ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ /* OPTION: using custom schema-name function */ FILE_DESCRIPTION( /* description */ (''), /* implementation_level */ '2;1'); FILE_NAME( /* name */ 'ATOM 40um FPC.stp', /* time_stamp */ '2014-02-17T15:35:02+00:00', /* author */ (''), /* organization */ (''), /* preprocessor_version */ 'ST-DEVELOPER v11', /* originating_system */ 'SIEMENS PLM Software NX 7.5', /* authorisation */ ''); FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 1 1 1 1 }')); ENDSEC; DATA; #10=SHAPE_REPRESENTATION_RELATIONSHIP('None', 'relationship between M-9693-2500-01_A-None and M-9693-2500-01_A-None',#22, #11); #11=ADVANCED_BREP_SHAPE_REPRESENTATION('M-9693-2500-01_A-None',(#3503,#3504, #3505),#52429); #12=SHAPE_DEFINITION_REPRESENTATION(#13,#22); #13=PRODUCT_DEFINITION_SHAPE('','',#14); #14=PRODUCT_DEFINITION(' ','',#16,#15); #15=PRODUCT_DEFINITION_CONTEXT('part definition',#21,'design'); #16=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE(' ',' ',#18,.NOT_KNOWN.); #17=PRODUCT_RELATED_PRODUCT_CATEGORY('part','',(#18)); #18=PRODUCT('M-9693-2500-01_A','M-9693-2500-01_A',' ',(#19)); #19=PRODUCT_CONTEXT(' ',#21,'mechanical'); #20=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2001,#21); #21=APPLICATION_CONTEXT( 'core data for automotive mechanical design processes'); #22=SHAPE_REPRESENTATION('M-9693-2500-01_A-None',(#32322),#52429); #23=DRAUGHTING_PRE_DEFINED_COLOUR('green'); #24=TOROIDAL_SURFACE('',#33908,2.50000021881345,0.5); #25=TOROIDAL_SURFACE('',#33913,4.56421378118655,0.5); #26=TOROIDAL_SURFACE('',#33918,4.56421378118655,0.5); #27=TOROIDAL_SURFACE('',#33923,2.50000021881345,0.5); #28=TOROIDAL_SURFACE('',#33928,2.79289343762691,1.); #29=TOROIDAL_SURFACE('',#33933,2.79289343762691,1.); #30=TOROIDAL_SURFACE('',#33938,4.2713205623731,1.); #31=TOROIDAL_SURFACE('',#33943,4.2713205623731,1.); #32=OVER_RIDING_STYLED_ITEM('',(#530),#5442,#526); #33=OVER_RIDING_STYLED_ITEM('',(#531),#5443,#526); #34=OVER_RIDING_STYLED_ITEM('',(#532),#5444,#526); #35=OVER_RIDING_STYLED_ITEM('',(#533),#5445,#526); #36=OVER_RIDING_STYLED_ITEM('',(#534),#5446,#526); #37=OVER_RIDING_STYLED_ITEM('',(#535),#5447,#526); #38=OVER_RIDING_STYLED_ITEM('',(#536),#5448,#526); #39=OVER_RIDING_STYLED_ITEM('',(#537),#5449,#526); #40=OVER_RIDING_STYLED_ITEM('',(#538),#5450,#526); #41=OVER_RIDING_STYLED_ITEM('',(#539),#5611,#526); #42=OVER_RIDING_STYLED_ITEM('',(#540),#5612,#526); #43=OVER_RIDING_STYLED_ITEM('',(#541),#5613,#526); #44=OVER_RIDING_STYLED_ITEM('',(#542),#5614,#526); #45=OVER_RIDING_STYLED_ITEM('',(#543),#5615,#526); #46=OVER_RIDING_STYLED_ITEM('',(#544),#5616,#526); #47=OVER_RIDING_STYLED_ITEM('',(#545),#5617,#526); #48=OVER_RIDING_STYLED_ITEM('',(#546),#5618,#526); #49=OVER_RIDING_STYLED_ITEM('',(#547),#5654,#526); #50=OVER_RIDING_STYLED_ITEM('',(#548),#5655,#526); #51=OVER_RIDING_STYLED_ITEM('',(#549),#5659,#526); #52=OVER_RIDING_STYLED_ITEM('',(#550),#5660,#526); #53=OVER_RIDING_STYLED_ITEM('',(#551),#5664,#526); #54=OVER_RIDING_STYLED_ITEM('',(#552),#5665,#526); #55=OVER_RIDING_STYLED_ITEM('',(#553),#5669,#526); #56=OVER_RIDING_STYLED_ITEM('',(#554),#5670,#526); #57=OVER_RIDING_STYLED_ITEM('',(#555),#5674,#526); #58=OVER_RIDING_STYLED_ITEM('',(#556),#5675,#526); #59=OVER_RIDING_STYLED_ITEM('',(#557),#5679,#526); #60=OVER_RIDING_STYLED_ITEM('',(#558),#5680,#526); #61=OVER_RIDING_STYLED_ITEM('',(#559),#5684,#526); #62=OVER_RIDING_STYLED_ITEM('',(#560),#5685,#526); #63=OVER_RIDING_STYLED_ITEM('',(#561),#5689,#526); #64=OVER_RIDING_STYLED_ITEM('',(#562),#5693,#526); #65=OVER_RIDING_STYLED_ITEM('',(#563),#5694,#526); #66=OVER_RIDING_STYLED_ITEM('',(#564),#5698,#526); #67=OVER_RIDING_STYLED_ITEM('',(#565),#5699,#526); #68=OVER_RIDING_STYLED_ITEM('',(#566),#5703,#526); #69=OVER_RIDING_STYLED_ITEM('',(#567),#5704,#526); #70=OVER_RIDING_STYLED_ITEM('',(#568),#5708,#526); #71=OVER_RIDING_STYLED_ITEM('',(#569),#5709,#526); #72=OVER_RIDING_STYLED_ITEM('',(#570),#5713,#526); #73=OVER_RIDING_STYLED_ITEM('',(#571),#5714,#526); #74=OVER_RIDING_STYLED_ITEM('',(#572),#5718,#526); #75=OVER_RIDING_STYLED_ITEM('',(#573),#5719,#526); #76=OVER_RIDING_STYLED_ITEM('',(#574),#5723,#526); #77=OVER_RIDING_STYLED_ITEM('',(#575),#5724,#526); #78=OVER_RIDING_STYLED_ITEM('',(#576),#5728,#526); #79=OVER_RIDING_STYLED_ITEM('',(#577),#5729,#526); #80=OVER_RIDING_STYLED_ITEM('',(#578),#5810,#526); #81=OVER_RIDING_STYLED_ITEM('',(#579),#5811,#526); #82=OVER_RIDING_STYLED_ITEM('',(#580),#5812,#526); #83=OVER_RIDING_STYLED_ITEM('',(#581),#5813,#526); #84=OVER_RIDING_STYLED_ITEM('',(#582),#5814,#526); #85=OVER_RIDING_STYLED_ITEM('',(#583),#5815,#526); #86=OVER_RIDING_STYLED_ITEM('',(#584),#5816,#526); #87=OVER_RIDING_STYLED_ITEM('',(#585),#5817,#526); #88=OVER_RIDING_STYLED_ITEM('',(#586),#5818,#526); #89=OVER_RIDING_STYLED_ITEM('',(#587),#5819,#526); #90=OVER_RIDING_STYLED_ITEM('',(#588),#5820,#526); #91=OVER_RIDING_STYLED_ITEM('',(#589),#5821,#526); #92=OVER_RIDING_STYLED_ITEM('',(#590),#5822,#526); #93=OVER_RIDING_STYLED_ITEM('',(#591),#5823,#526); #94=OVER_RIDING_STYLED_ITEM('',(#592),#5824,#526); #95=OVER_RIDING_STYLED_ITEM('',(#593),#5825,#526); #96=OVER_RIDING_STYLED_ITEM('',(#594),#5826,#526); #97=OVER_RIDING_STYLED_ITEM('',(#595),#5827,#526); #98=OVER_RIDING_STYLED_ITEM('',(#596),#5828,#526); #99=OVER_RIDING_STYLED_ITEM('',(#597),#5829,#526); #100=OVER_RIDING_STYLED_ITEM('',(#598),#5830,#526); #101=OVER_RIDING_STYLED_ITEM('',(#599),#5831,#526); #102=OVER_RIDING_STYLED_ITEM('',(#600),#5832,#526); #103=OVER_RIDING_STYLED_ITEM('',(#601),#5833,#526); #104=OVER_RIDING_STYLED_ITEM('',(#602),#5834,#526); #105=OVER_RIDING_STYLED_ITEM('',(#603),#5835,#526); #106=OVER_RIDING_STYLED_ITEM('',(#604),#5836,#526); #107=OVER_RIDING_STYLED_ITEM('',(#605),#5837,#526); #108=OVER_RIDING_STYLED_ITEM('',(#606),#5838,#526); #109=OVER_RIDING_STYLED_ITEM('',(#607),#5839,#526); #110=OVER_RIDING_STYLED_ITEM('',(#608),#5840,#526); #111=OVER_RIDING_STYLED_ITEM('',(#609),#5841,#526); #112=OVER_RIDING_STYLED_ITEM('',(#610),#5842,#526); #113=OVER_RIDING_STYLED_ITEM('',(#611),#5843,#526); #114=OVER_RIDING_STYLED_ITEM('',(#612),#5844,#526); #115=OVER_RIDING_STYLED_ITEM('',(#613),#5845,#526); #116=OVER_RIDING_STYLED_ITEM('',(#614),#5846,#526); #117=OVER_RIDING_STYLED_ITEM('',(#615),#5847,#526); #118=OVER_RIDING_STYLED_ITEM('',(#616),#5848,#526); #119=OVER_RIDING_STYLED_ITEM('',(#617),#5849,#526); #120=OVER_RIDING_STYLED_ITEM('',(#618),#5850,#526); #121=OVER_RIDING_STYLED_ITEM('',(#619),#5851,#526); #122=OVER_RIDING_STYLED_ITEM('',(#620),#5852,#526); #123=OVER_RIDING_STYLED_ITEM('',(#621),#5853,#526); #124=OVER_RIDING_STYLED_ITEM('',(#622),#5854,#526); #125=OVER_RIDING_STYLED_ITEM('',(#623),#5855,#526); #126=OVER_RIDING_STYLED_ITEM('',(#624),#5856,#526); #127=OVER_RIDING_STYLED_ITEM('',(#625),#5857,#526); #128=OVER_RIDING_STYLED_ITEM('',(#626),#5858,#526); #129=OVER_RIDING_STYLED_ITEM('',(#627),#5859,#526); #130=OVER_RIDING_STYLED_ITEM('',(#628),#5860,#526); #131=OVER_RIDING_STYLED_ITEM('',(#629),#5861,#526); #132=OVER_RIDING_STYLED_ITEM('',(#630),#5862,#526); #133=OVER_RIDING_STYLED_ITEM('',(#631),#5863,#526); #134=OVER_RIDING_STYLED_ITEM('',(#632),#5864,#526); #135=OVER_RIDING_STYLED_ITEM('',(#633),#5865,#526); #136=OVER_RIDING_STYLED_ITEM('',(#634),#5866,#526); #137=OVER_RIDING_STYLED_ITEM('',(#635),#5867,#526); #138=OVER_RIDING_STYLED_ITEM('',(#636),#5868,#526); #139=OVER_RIDING_STYLED_ITEM('',(#637),#5869,#526); #140=OVER_RIDING_STYLED_ITEM('',(#638),#5870,#526); #141=OVER_RIDING_STYLED_ITEM('',(#639),#5871,#526); #142=OVER_RIDING_STYLED_ITEM('',(#640),#5872,#526); #143=OVER_RIDING_STYLED_ITEM('',(#641),#5873,#526); #144=OVER_RIDING_STYLED_ITEM('',(#642),#5874,#526); #145=OVER_RIDING_STYLED_ITEM('',(#643),#5875,#526); #146=OVER_RIDING_STYLED_ITEM('',(#644),#5876,#526); #147=OVER_RIDING_STYLED_ITEM('',(#645),#5877,#526); #148=OVER_RIDING_STYLED_ITEM('',(#646),#5878,#526); #149=OVER_RIDING_STYLED_ITEM('',(#647),#5879,#526); #150=OVER_RIDING_STYLED_ITEM('',(#648),#5880,#526); #151=OVER_RIDING_STYLED_ITEM('',(#649),#5881,#526); #152=OVER_RIDING_STYLED_ITEM('',(#650),#5882,#526); #153=OVER_RIDING_STYLED_ITEM('',(#651),#5883,#526); #154=OVER_RIDING_STYLED_ITEM('',(#652),#5884,#526); #155=OVER_RIDING_STYLED_ITEM('',(#653),#5885,#526); #156=OVER_RIDING_STYLED_ITEM('',(#654),#5886,#526); #157=OVER_RIDING_STYLED_ITEM('',(#655),#5887,#526); #158=OVER_RIDING_STYLED_ITEM('',(#656),#5888,#526); #159=OVER_RIDING_STYLED_ITEM('',(#657),#5889,#526); #160=OVER_RIDING_STYLED_ITEM('',(#658),#5890,#526); #161=OVER_RIDING_STYLED_ITEM('',(#659),#5891,#526); #162=OVER_RIDING_STYLED_ITEM('',(#660),#5892,#526); #163=OVER_RIDING_STYLED_ITEM('',(#661),#5893,#526); #164=OVER_RIDING_STYLED_ITEM('',(#662),#5894,#526); #165=OVER_RIDING_STYLED_ITEM('',(#663),#5895,#526); #166=OVER_RIDING_STYLED_ITEM('',(#664),#5896,#526); #167=OVER_RIDING_STYLED_ITEM('',(#665),#5897,#526); #168=OVER_RIDING_STYLED_ITEM('',(#666),#5898,#526); #169=OVER_RIDING_STYLED_ITEM('',(#667),#5899,#526); #170=OVER_RIDING_STYLED_ITEM('',(#668),#5900,#526); #171=OVER_RIDING_STYLED_ITEM('',(#669),#5901,#526); #172=OVER_RIDING_STYLED_ITEM('',(#670),#5902,#526); #173=OVER_RIDING_STYLED_ITEM('',(#671),#5903,#526); #174=OVER_RIDING_STYLED_ITEM('',(#672),#5904,#526); #175=OVER_RIDING_STYLED_ITEM('',(#673),#5905,#526); #176=OVER_RIDING_STYLED_ITEM('',(#674),#5906,#526); #177=OVER_RIDING_STYLED_ITEM('',(#675),#5907,#526); #178=OVER_RIDING_STYLED_ITEM('',(#676),#5908,#526); #179=OVER_RIDING_STYLED_ITEM('',(#677),#5909,#526); #180=OVER_RIDING_STYLED_ITEM('',(#678),#5910,#526); #181=OVER_RIDING_STYLED_ITEM('',(#679),#5911,#526); #182=OVER_RIDING_STYLED_ITEM('',(#680),#5912,#526); #183=OVER_RIDING_STYLED_ITEM('',(#681),#5913,#526); #184=OVER_RIDING_STYLED_ITEM('',(#682),#5914,#526); #185=OVER_RIDING_STYLED_ITEM('',(#683),#5915,#526); #186=OVER_RIDING_STYLED_ITEM('',(#684),#5916,#526); #187=OVER_RIDING_STYLED_ITEM('',(#685),#5917,#526); #188=OVER_RIDING_STYLED_ITEM('',(#686),#5918,#526); #189=OVER_RIDING_STYLED_ITEM('',(#687),#5919,#526); #190=OVER_RIDING_STYLED_ITEM('',(#688),#5920,#526); #191=OVER_RIDING_STYLED_ITEM('',(#689),#5921,#526); #192=OVER_RIDING_STYLED_ITEM('',(#690),#5922,#526); #193=OVER_RIDING_STYLED_ITEM('',(#691),#5923,#526); #194=OVER_RIDING_STYLED_ITEM('',(#692),#5924,#526); #195=OVER_RIDING_STYLED_ITEM('',(#693),#5925,#526); #196=OVER_RIDING_STYLED_ITEM('',(#694),#5926,#526); #197=OVER_RIDING_STYLED_ITEM('',(#695),#5927,#526); #198=OVER_RIDING_STYLED_ITEM('',(#696),#5928,#526); #199=OVER_RIDING_STYLED_ITEM('',(#697),#5929,#526); #200=OVER_RIDING_STYLED_ITEM('',(#698),#5930,#526); #201=OVER_RIDING_STYLED_ITEM('',(#699),#5931,#526); #202=OVER_RIDING_STYLED_ITEM('',(#700),#5932,#526); #203=OVER_RIDING_STYLED_ITEM('',(#701),#5936,#526); #204=OVER_RIDING_STYLED_ITEM('',(#702),#5940,#526); #205=OVER_RIDING_STYLED_ITEM('',(#703),#5944,#526); #206=OVER_RIDING_STYLED_ITEM('',(#704),#5948,#526); #207=OVER_RIDING_STYLED_ITEM('',(#705),#5952,#526); #208=OVER_RIDING_STYLED_ITEM('',(#706),#5956,#526); #209=OVER_RIDING_STYLED_ITEM('',(#707),#5960,#526); #210=OVER_RIDING_STYLED_ITEM('',(#708),#5964,#526); #211=OVER_RIDING_STYLED_ITEM('',(#709),#5968,#526); #212=OVER_RIDING_STYLED_ITEM('',(#710),#5972,#526); #213=OVER_RIDING_STYLED_ITEM('',(#711),#5976,#526); #214=OVER_RIDING_STYLED_ITEM('',(#712),#5980,#526); #215=OVER_RIDING_STYLED_ITEM('',(#713),#5984,#526); #216=OVER_RIDING_STYLED_ITEM('',(#714),#5988,#526); #217=OVER_RIDING_STYLED_ITEM('',(#715),#5992,#526); #218=OVER_RIDING_STYLED_ITEM('',(#716),#5996,#526); #219=OVER_RIDING_STYLED_ITEM('',(#717),#5997,#526); #220=OVER_RIDING_STYLED_ITEM('',(#718),#5998,#526); #221=OVER_RIDING_STYLED_ITEM('',(#719),#5999,#526); #222=OVER_RIDING_STYLED_ITEM('',(#720),#6000,#526); #223=OVER_RIDING_STYLED_ITEM('',(#721),#6001,#526); #224=OVER_RIDING_STYLED_ITEM('',(#722),#6002,#526); #225=OVER_RIDING_STYLED_ITEM('',(#723),#6003,#526); #226=OVER_RIDING_STYLED_ITEM('',(#724),#6004,#526); #227=OVER_RIDING_STYLED_ITEM('',(#725),#6005,#526); #228=OVER_RIDING_STYLED_ITEM('',(#726),#6006,#526); #229=OVER_RIDING_STYLED_ITEM('',(#727),#6007,#526); #230=OVER_RIDING_STYLED_ITEM('',(#728),#6008,#526); #231=OVER_RIDING_STYLED_ITEM('',(#729),#6009,#526); #232=OVER_RIDING_STYLED_ITEM('',(#730),#6010,#526); #233=OVER_RIDING_STYLED_ITEM('',(#731),#6011,#526); #234=OVER_RIDING_STYLED_ITEM('',(#732),#6012,#526); #235=OVER_RIDING_STYLED_ITEM('',(#733),#6013,#526); #236=OVER_RIDING_STYLED_ITEM('',(#734),#6014,#526); #237=OVER_RIDING_STYLED_ITEM('',(#735),#6015,#526); #238=OVER_RIDING_STYLED_ITEM('',(#736),#6016,#526); #239=OVER_RIDING_STYLED_ITEM('',(#737),#6017,#526); #240=OVER_RIDING_STYLED_ITEM('',(#738),#6018,#526); #241=OVER_RIDING_STYLED_ITEM('',(#739),#6019,#526); #242=OVER_RIDING_STYLED_ITEM('',(#740),#6020,#526); #243=OVER_RIDING_STYLED_ITEM('',(#741),#6021,#526); #244=OVER_RIDING_STYLED_ITEM('',(#742),#6022,#526); #245=OVER_RIDING_STYLED_ITEM('',(#743),#6023,#526); #246=OVER_RIDING_STYLED_ITEM('',(#744),#6024,#526); #247=OVER_RIDING_STYLED_ITEM('',(#745),#6025,#526); #248=OVER_RIDING_STYLED_ITEM('',(#746),#6026,#526); #249=OVER_RIDING_STYLED_ITEM('',(#747),#6027,#526); #250=OVER_RIDING_STYLED_ITEM('',(#748),#6028,#526); #251=OVER_RIDING_STYLED_ITEM('',(#749),#6029,#526); #252=OVER_RIDING_STYLED_ITEM('',(#750),#6030,#526); #253=OVER_RIDING_STYLED_ITEM('',(#751),#6031,#526); #254=OVER_RIDING_STYLED_ITEM('',(#752),#6032,#526); #255=OVER_RIDING_STYLED_ITEM('',(#753),#6033,#526); #256=OVER_RIDING_STYLED_ITEM('',(#754),#6034,#526); #257=OVER_RIDING_STYLED_ITEM('',(#755),#6035,#526); #258=OVER_RIDING_STYLED_ITEM('',(#756),#6036,#526); #259=OVER_RIDING_STYLED_ITEM('',(#757),#6037,#526); #260=OVER_RIDING_STYLED_ITEM('',(#758),#6038,#526); #261=OVER_RIDING_STYLED_ITEM('',(#759),#6039,#526); #262=OVER_RIDING_STYLED_ITEM('',(#760),#6040,#526); #263=OVER_RIDING_STYLED_ITEM('',(#761),#6041,#526); #264=OVER_RIDING_STYLED_ITEM('',(#762),#6042,#526); #265=OVER_RIDING_STYLED_ITEM('',(#763),#6043,#526); #266=OVER_RIDING_STYLED_ITEM('',(#764),#6044,#526); #267=OVER_RIDING_STYLED_ITEM('',(#765),#6045,#526); #268=OVER_RIDING_STYLED_ITEM('',(#766),#6046,#526); #269=OVER_RIDING_STYLED_ITEM('',(#767),#6047,#526); #270=OVER_RIDING_STYLED_ITEM('',(#768),#6048,#526); #271=OVER_RIDING_STYLED_ITEM('',(#769),#6049,#526); #272=OVER_RIDING_STYLED_ITEM('',(#770),#6050,#526); #273=OVER_RIDING_STYLED_ITEM('',(#771),#6051,#526); #274=OVER_RIDING_STYLED_ITEM('',(#772),#6052,#526); #275=OVER_RIDING_STYLED_ITEM('',(#773),#6053,#526); #276=OVER_RIDING_STYLED_ITEM('',(#774),#6054,#526); #277=OVER_RIDING_STYLED_ITEM('',(#775),#6055,#526); #278=OVER_RIDING_STYLED_ITEM('',(#776),#6056,#526); #279=OVER_RIDING_STYLED_ITEM('',(#777),#6057,#526); #280=OVER_RIDING_STYLED_ITEM('',(#778),#6058,#526); #281=OVER_RIDING_STYLED_ITEM('',(#779),#6059,#526); #282=OVER_RIDING_STYLED_ITEM('',(#780),#6060,#526); #283=OVER_RIDING_STYLED_ITEM('',(#781),#6061,#526); #284=OVER_RIDING_STYLED_ITEM('',(#782),#6062,#526); #285=OVER_RIDING_STYLED_ITEM('',(#783),#6063,#526); #286=OVER_RIDING_STYLED_ITEM('',(#784),#6067,#526); #287=OVER_RIDING_STYLED_ITEM('',(#785),#6071,#526); #288=OVER_RIDING_STYLED_ITEM('',(#786),#6075,#526); #289=OVER_RIDING_STYLED_ITEM('',(#787),#6079,#526); #290=OVER_RIDING_STYLED_ITEM('',(#788),#6083,#526); #291=OVER_RIDING_STYLED_ITEM('',(#789),#6087,#526); #292=OVER_RIDING_STYLED_ITEM('',(#790),#6091,#526); #293=OVER_RIDING_STYLED_ITEM('',(#791),#6095,#526); #294=OVER_RIDING_STYLED_ITEM('',(#792),#6096,#526); #295=OVER_RIDING_STYLED_ITEM('',(#793),#6100,#526); #296=OVER_RIDING_STYLED_ITEM('',(#794),#6104,#526); #297=OVER_RIDING_STYLED_ITEM('',(#795),#6108,#526); #298=OVER_RIDING_STYLED_ITEM('',(#796),#6112,#526); #299=OVER_RIDING_STYLED_ITEM('',(#797),#6116,#526); #300=OVER_RIDING_STYLED_ITEM('',(#798),#6120,#526); #301=OVER_RIDING_STYLED_ITEM('',(#799),#6124,#526); #302=OVER_RIDING_STYLED_ITEM('',(#800),#6128,#526); #303=OVER_RIDING_STYLED_ITEM('',(#801),#6129,#526); #304=OVER_RIDING_STYLED_ITEM('',(#802),#6130,#526); #305=OVER_RIDING_STYLED_ITEM('',(#803),#6131,#526); #306=OVER_RIDING_STYLED_ITEM('',(#804),#6132,#526); #307=OVER_RIDING_STYLED_ITEM('',(#805),#6133,#526); #308=OVER_RIDING_STYLED_ITEM('',(#806),#6134,#526); #309=OVER_RIDING_STYLED_ITEM('',(#807),#6135,#526); #310=OVER_RIDING_STYLED_ITEM('',(#808),#6136,#526); #311=OVER_RIDING_STYLED_ITEM('',(#809),#6137,#526); #312=OVER_RIDING_STYLED_ITEM('',(#810),#6138,#526); #313=OVER_RIDING_STYLED_ITEM('',(#811),#6139,#526); #314=OVER_RIDING_STYLED_ITEM('',(#812),#6140,#526); #315=OVER_RIDING_STYLED_ITEM('',(#813),#6141,#526); #316=OVER_RIDING_STYLED_ITEM('',(#814),#6142,#526); #317=OVER_RIDING_STYLED_ITEM('',(#815),#6143,#526); #318=OVER_RIDING_STYLED_ITEM('',(#816),#6147,#526); #319=OVER_RIDING_STYLED_ITEM('',(#817),#6151,#526); #320=OVER_RIDING_STYLED_ITEM('',(#818),#6155,#526); #321=OVER_RIDING_STYLED_ITEM('',(#819),#6159,#526); #322=OVER_RIDING_STYLED_ITEM('',(#820),#6163,#526); #323=OVER_RIDING_STYLED_ITEM('',(#821),#6167,#526); #324=OVER_RIDING_STYLED_ITEM('',(#822),#6171,#526); #325=OVER_RIDING_STYLED_ITEM('',(#823),#6175,#526); #326=OVER_RIDING_STYLED_ITEM('',(#824),#6179,#526); #327=OVER_RIDING_STYLED_ITEM('',(#825),#6183,#526); #328=OVER_RIDING_STYLED_ITEM('',(#826),#6187,#526); #329=OVER_RIDING_STYLED_ITEM('',(#827),#6191,#526); #330=OVER_RIDING_STYLED_ITEM('',(#828),#6195,#526); #331=OVER_RIDING_STYLED_ITEM('',(#829),#6199,#526); #332=OVER_RIDING_STYLED_ITEM('',(#830),#6203,#526); #333=OVER_RIDING_STYLED_ITEM('',(#831),#6207,#526); #334=OVER_RIDING_STYLED_ITEM('',(#832),#6208,#526); #335=OVER_RIDING_STYLED_ITEM('',(#833),#6209,#526); #336=OVER_RIDING_STYLED_ITEM('',(#834),#6210,#526); #337=OVER_RIDING_STYLED_ITEM('',(#835),#6211,#526); #338=OVER_RIDING_STYLED_ITEM('',(#836),#6212,#526); #339=OVER_RIDING_STYLED_ITEM('',(#837),#6213,#526); #340=OVER_RIDING_STYLED_ITEM('',(#838),#6214,#526); #341=OVER_RIDING_STYLED_ITEM('',(#839),#6215,#526); #342=OVER_RIDING_STYLED_ITEM('',(#840),#6216,#526); #343=OVER_RIDING_STYLED_ITEM('',(#841),#6217,#526); #344=OVER_RIDING_STYLED_ITEM('',(#842),#6218,#526); #345=OVER_RIDING_STYLED_ITEM('',(#843),#6219,#526); #346=OVER_RIDING_STYLED_ITEM('',(#844),#6220,#526); #347=OVER_RIDING_STYLED_ITEM('',(#845),#6221,#526); #348=OVER_RIDING_STYLED_ITEM('',(#846),#6222,#526); #349=OVER_RIDING_STYLED_ITEM('',(#847),#6223,#526); #350=OVER_RIDING_STYLED_ITEM('',(#848),#6224,#526); #351=OVER_RIDING_STYLED_ITEM('',(#849),#6225,#526); #352=OVER_RIDING_STYLED_ITEM('',(#850),#6226,#526); #353=OVER_RIDING_STYLED_ITEM('',(#851),#6227,#526); #354=OVER_RIDING_STYLED_ITEM('',(#852),#6228,#526); #355=OVER_RIDING_STYLED_ITEM('',(#853),#6229,#526); #356=OVER_RIDING_STYLED_ITEM('',(#854),#6230,#526); #357=OVER_RIDING_STYLED_ITEM('',(#855),#6231,#526); #358=OVER_RIDING_STYLED_ITEM('',(#856),#6232,#526); #359=OVER_RIDING_STYLED_ITEM('',(#857),#6233,#526); #360=OVER_RIDING_STYLED_ITEM('',(#858),#6234,#526); #361=OVER_RIDING_STYLED_ITEM('',(#859),#6235,#526); #362=OVER_RIDING_STYLED_ITEM('',(#860),#6236,#526); #363=OVER_RIDING_STYLED_ITEM('',(#861),#6237,#526); #364=OVER_RIDING_STYLED_ITEM('',(#862),#6238,#526); #365=OVER_RIDING_STYLED_ITEM('',(#863),#6239,#526); #366=OVER_RIDING_STYLED_ITEM('',(#864),#6240,#526); #367=OVER_RIDING_STYLED_ITEM('',(#865),#6241,#526); #368=OVER_RIDING_STYLED_ITEM('',(#866),#6242,#526); #369=OVER_RIDING_STYLED_ITEM('',(#867),#6243,#526); #370=OVER_RIDING_STYLED_ITEM('',(#868),#6244,#526); #371=OVER_RIDING_STYLED_ITEM('',(#869),#6245,#526); #372=OVER_RIDING_STYLED_ITEM('',(#870),#6246,#526); #373=OVER_RIDING_STYLED_ITEM('',(#871),#6247,#526); #374=OVER_RIDING_STYLED_ITEM('',(#872),#6248,#526); #375=OVER_RIDING_STYLED_ITEM('',(#873),#6249,#526); #376=OVER_RIDING_STYLED_ITEM('',(#874),#6250,#526); #377=OVER_RIDING_STYLED_ITEM('',(#875),#6251,#526); #378=OVER_RIDING_STYLED_ITEM('',(#876),#6252,#526); #379=OVER_RIDING_STYLED_ITEM('',(#877),#6253,#526); #380=OVER_RIDING_STYLED_ITEM('',(#878),#6254,#526); #381=OVER_RIDING_STYLED_ITEM('',(#879),#6255,#526); #382=OVER_RIDING_STYLED_ITEM('',(#880),#6256,#526); #383=OVER_RIDING_STYLED_ITEM('',(#881),#6257,#526); #384=OVER_RIDING_STYLED_ITEM('',(#882),#6258,#526); #385=OVER_RIDING_STYLED_ITEM('',(#883),#6259,#526); #386=OVER_RIDING_STYLED_ITEM('',(#884),#6260,#526); #387=OVER_RIDING_STYLED_ITEM('',(#885),#6261,#526); #388=OVER_RIDING_STYLED_ITEM('',(#886),#6262,#526); #389=OVER_RIDING_STYLED_ITEM('',(#887),#6263,#526); #390=OVER_RIDING_STYLED_ITEM('',(#888),#6264,#526); #391=OVER_RIDING_STYLED_ITEM('',(#889),#6265,#526); #392=OVER_RIDING_STYLED_ITEM('',(#890),#6266,#526); #393=OVER_RIDING_STYLED_ITEM('',(#891),#6267,#526); #394=OVER_RIDING_STYLED_ITEM('',(#892),#6268,#526); #395=OVER_RIDING_STYLED_ITEM('',(#893),#6269,#526); #396=OVER_RIDING_STYLED_ITEM('',(#894),#6270,#526); #397=OVER_RIDING_STYLED_ITEM('',(#895),#6271,#526); #398=OVER_RIDING_STYLED_ITEM('',(#896),#6272,#526); #399=OVER_RIDING_STYLED_ITEM('',(#897),#6273,#526); #400=OVER_RIDING_STYLED_ITEM('',(#898),#6274,#526); #401=OVER_RIDING_STYLED_ITEM('',(#899),#6275,#526); #402=OVER_RIDING_STYLED_ITEM('',(#900),#6276,#526); #403=OVER_RIDING_STYLED_ITEM('',(#901),#6277,#526); #404=OVER_RIDING_STYLED_ITEM('',(#902),#6278,#526); #405=OVER_RIDING_STYLED_ITEM('',(#903),#6279,#526); #406=OVER_RIDING_STYLED_ITEM('',(#904),#6280,#526); #407=OVER_RIDING_STYLED_ITEM('',(#905),#6281,#526); #408=OVER_RIDING_STYLED_ITEM('',(#906),#6282,#526); #409=OVER_RIDING_STYLED_ITEM('',(#907),#6283,#526); #410=OVER_RIDING_STYLED_ITEM('',(#908),#6284,#526); #411=OVER_RIDING_STYLED_ITEM('',(#909),#6285,#526); #412=OVER_RIDING_STYLED_ITEM('',(#910),#6286,#526); #413=OVER_RIDING_STYLED_ITEM('',(#911),#6287,#526); #414=OVER_RIDING_STYLED_ITEM('',(#912),#6289,#526); #415=OVER_RIDING_STYLED_ITEM('',(#913),#6290,#526); #416=OVER_RIDING_STYLED_ITEM('',(#914),#6291,#526); #417=OVER_RIDING_STYLED_ITEM('',(#915),#6292,#526); #418=OVER_RIDING_STYLED_ITEM('',(#916),#6293,#526); #419=OVER_RIDING_STYLED_ITEM('',(#917),#6295,#526); #420=OVER_RIDING_STYLED_ITEM('',(#918),#6296,#526); #421=OVER_RIDING_STYLED_ITEM('',(#919),#6297,#526); #422=OVER_RIDING_STYLED_ITEM('',(#920),#6298,#526); #423=OVER_RIDING_STYLED_ITEM('',(#921),#6299,#526); #424=OVER_RIDING_STYLED_ITEM('',(#922),#6301,#526); #425=OVER_RIDING_STYLED_ITEM('',(#923),#6302,#526); #426=OVER_RIDING_STYLED_ITEM('',(#924),#6303,#526); #427=OVER_RIDING_STYLED_ITEM('',(#925),#6304,#526); #428=OVER_RIDING_STYLED_ITEM('',(#926),#6305,#526); #429=OVER_RIDING_STYLED_ITEM('',(#927),#6307,#526); #430=OVER_RIDING_STYLED_ITEM('',(#928),#6308,#526); #431=OVER_RIDING_STYLED_ITEM('',(#929),#6309,#526); #432=OVER_RIDING_STYLED_ITEM('',(#930),#6310,#526); #433=OVER_RIDING_STYLED_ITEM('',(#931),#6311,#526); #434=OVER_RIDING_STYLED_ITEM('',(#932),#6313,#526); #435=OVER_RIDING_STYLED_ITEM('',(#933),#6314,#526); #436=OVER_RIDING_STYLED_ITEM('',(#934),#6315,#526); #437=OVER_RIDING_STYLED_ITEM('',(#935),#6316,#526); #438=OVER_RIDING_STYLED_ITEM('',(#936),#6317,#526); #439=OVER_RIDING_STYLED_ITEM('',(#937),#6319,#526); #440=OVER_RIDING_STYLED_ITEM('',(#938),#6320,#526); #441=OVER_RIDING_STYLED_ITEM('',(#939),#6321,#526); #442=OVER_RIDING_STYLED_ITEM('',(#940),#6322,#526); #443=OVER_RIDING_STYLED_ITEM('',(#941),#6323,#526); #444=OVER_RIDING_STYLED_ITEM('',(#942),#6325,#526); #445=OVER_RIDING_STYLED_ITEM('',(#943),#6326,#526); #446=OVER_RIDING_STYLED_ITEM('',(#944),#6327,#526); #447=OVER_RIDING_STYLED_ITEM('',(#945),#6328,#526); #448=OVER_RIDING_STYLED_ITEM('',(#946),#6329,#526); #449=OVER_RIDING_STYLED_ITEM('',(#947),#6331,#526); #450=OVER_RIDING_STYLED_ITEM('',(#948),#6332,#526); #451=OVER_RIDING_STYLED_ITEM('',(#949),#6333,#526); #452=OVER_RIDING_STYLED_ITEM('',(#950),#6334,#526); #453=OVER_RIDING_STYLED_ITEM('',(#951),#6335,#526); #454=OVER_RIDING_STYLED_ITEM('',(#952),#6336,#526); #455=OVER_RIDING_STYLED_ITEM('',(#953),#6338,#526); #456=OVER_RIDING_STYLED_ITEM('',(#954),#6339,#526); #457=OVER_RIDING_STYLED_ITEM('',(#955),#6340,#526); #458=OVER_RIDING_STYLED_ITEM('',(#956),#6341,#526); #459=OVER_RIDING_STYLED_ITEM('',(#957),#6342,#526); #460=OVER_RIDING_STYLED_ITEM('',(#958),#6344,#526); #461=OVER_RIDING_STYLED_ITEM('',(#959),#6345,#526); #462=OVER_RIDING_STYLED_ITEM('',(#960),#6346,#526); #463=OVER_RIDING_STYLED_ITEM('',(#961),#6347,#526); #464=OVER_RIDING_STYLED_ITEM('',(#962),#6348,#526); #465=OVER_RIDING_STYLED_ITEM('',(#963),#6350,#526); #466=OVER_RIDING_STYLED_ITEM('',(#964),#6351,#526); #467=OVER_RIDING_STYLED_ITEM('',(#965),#6352,#526); #468=OVER_RIDING_STYLED_ITEM('',(#966),#6353,#526); #469=OVER_RIDING_STYLED_ITEM('',(#967),#6354,#526); #470=OVER_RIDING_STYLED_ITEM('',(#968),#6356,#526); #471=OVER_RIDING_STYLED_ITEM('',(#969),#6357,#526); #472=OVER_RIDING_STYLED_ITEM('',(#970),#6358,#526); #473=OVER_RIDING_STYLED_ITEM('',(#971),#6359,#526); #474=OVER_RIDING_STYLED_ITEM('',(#972),#6360,#526); #475=OVER_RIDING_STYLED_ITEM('',(#973),#6362,#526); #476=OVER_RIDING_STYLED_ITEM('',(#974),#6363,#526); #477=OVER_RIDING_STYLED_ITEM('',(#975),#6364,#526); #478=OVER_RIDING_STYLED_ITEM('',(#976),#6365,#526); #479=OVER_RIDING_STYLED_ITEM('',(#977),#6366,#526); #480=OVER_RIDING_STYLED_ITEM('',(#978),#6368,#526); #481=OVER_RIDING_STYLED_ITEM('',(#979),#6369,#526); #482=OVER_RIDING_STYLED_ITEM('',(#980),#6370,#526); #483=OVER_RIDING_STYLED_ITEM('',(#981),#6371,#526); #484=OVER_RIDING_STYLED_ITEM('',(#982),#6372,#526); #485=OVER_RIDING_STYLED_ITEM('',(#983),#6374,#526); #486=OVER_RIDING_STYLED_ITEM('',(#984),#6375,#526); #487=OVER_RIDING_STYLED_ITEM('',(#985),#6376,#526); #488=OVER_RIDING_STYLED_ITEM('',(#986),#6377,#526); #489=OVER_RIDING_STYLED_ITEM('',(#987),#6378,#526); #490=OVER_RIDING_STYLED_ITEM('',(#988),#6380,#526); #491=OVER_RIDING_STYLED_ITEM('',(#989),#6381,#526); #492=OVER_RIDING_STYLED_ITEM('',(#990),#6382,#526); #493=OVER_RIDING_STYLED_ITEM('',(#991),#6383,#526); #494=OVER_RIDING_STYLED_ITEM('',(#992),#6384,#526); #495=OVER_RIDING_STYLED_ITEM('',(#993),#6385,#526); #496=OVER_RIDING_STYLED_ITEM('',(#994),#6386,#526); #497=OVER_RIDING_STYLED_ITEM('',(#995),#6387,#526); #498=OVER_RIDING_STYLED_ITEM('',(#996),#6388,#526); #499=OVER_RIDING_STYLED_ITEM('',(#997),#6389,#526); #500=OVER_RIDING_STYLED_ITEM('',(#998),#6390,#526); #501=OVER_RIDING_STYLED_ITEM('',(#999),#6391,#526); #502=OVER_RIDING_STYLED_ITEM('',(#1000),#6392,#526); #503=OVER_RIDING_STYLED_ITEM('',(#1001),#6393,#526); #504=OVER_RIDING_STYLED_ITEM('',(#1002),#6394,#526); #505=OVER_RIDING_STYLED_ITEM('',(#1003),#6395,#526); #506=OVER_RIDING_STYLED_ITEM('',(#1004),#6396,#526); #507=OVER_RIDING_STYLED_ITEM('',(#1005),#6397,#526); #508=OVER_RIDING_STYLED_ITEM('',(#1006),#6398,#526); #509=OVER_RIDING_STYLED_ITEM('',(#1007),#6399,#526); #510=OVER_RIDING_STYLED_ITEM('',(#1008),#6400,#526); #511=OVER_RIDING_STYLED_ITEM('',(#1009),#6401,#526); #512=OVER_RIDING_STYLED_ITEM('',(#1010),#6402,#526); #513=OVER_RIDING_STYLED_ITEM('',(#1011),#6403,#526); #514=OVER_RIDING_STYLED_ITEM('',(#1012),#6404,#526); #515=OVER_RIDING_STYLED_ITEM('',(#1013),#6405,#526); #516=OVER_RIDING_STYLED_ITEM('',(#1014),#6406,#526); #517=OVER_RIDING_STYLED_ITEM('',(#1015),#6407,#526); #518=OVER_RIDING_STYLED_ITEM('',(#1016),#6408,#526); #519=OVER_RIDING_STYLED_ITEM('',(#1017),#6409,#526); #520=OVER_RIDING_STYLED_ITEM('',(#1018),#6410,#526); #521=OVER_RIDING_STYLED_ITEM('',(#1019),#6411,#526); #522=OVER_RIDING_STYLED_ITEM('',(#1020),#6412,#526); #523=OVER_RIDING_STYLED_ITEM('',(#1021),#6413,#526); #524=PRESENTATION_LAYER_ASSIGNMENT('1','Layer 1',(#3503,#3504,#3505)); #525=STYLED_ITEM('',(#528),#3503); #526=STYLED_ITEM('',(#529),#3504); #527=STYLED_ITEM('',(#1022),#3505); #528=PRESENTATION_STYLE_ASSIGNMENT((#1023)); #529=PRESENTATION_STYLE_ASSIGNMENT((#1024)); #530=PRESENTATION_STYLE_ASSIGNMENT((#1025)); #531=PRESENTATION_STYLE_ASSIGNMENT((#1026)); #532=PRESENTATION_STYLE_ASSIGNMENT((#1027)); #533=PRESENTATION_STYLE_ASSIGNMENT((#1028)); #534=PRESENTATION_STYLE_ASSIGNMENT((#1029)); #535=PRESENTATION_STYLE_ASSIGNMENT((#1030)); #536=PRESENTATION_STYLE_ASSIGNMENT((#1031)); #537=PRESENTATION_STYLE_ASSIGNMENT((#1032)); #538=PRESENTATION_STYLE_ASSIGNMENT((#1033)); #539=PRESENTATION_STYLE_ASSIGNMENT((#1034)); #540=PRESENTATION_STYLE_ASSIGNMENT((#1035)); #541=PRESENTATION_STYLE_ASSIGNMENT((#1036)); #542=PRESENTATION_STYLE_ASSIGNMENT((#1037)); #543=PRESENTATION_STYLE_ASSIGNMENT((#1038)); #544=PRESENTATION_STYLE_ASSIGNMENT((#1039)); #545=PRESENTATION_STYLE_ASSIGNMENT((#1040)); #546=PRESENTATION_STYLE_ASSIGNMENT((#1041)); #547=PRESENTATION_STYLE_ASSIGNMENT((#1042)); #548=PRESENTATION_STYLE_ASSIGNMENT((#1043)); #549=PRESENTATION_STYLE_ASSIGNMENT((#1044)); #550=PRESENTATION_STYLE_ASSIGNMENT((#1045)); #551=PRESENTATION_STYLE_ASSIGNMENT((#1046)); #552=PRESENTATION_STYLE_ASSIGNMENT((#1047)); #553=PRESENTATION_STYLE_ASSIGNMENT((#1048)); #554=PRESENTATION_STYLE_ASSIGNMENT((#1049)); #555=PRESENTATION_STYLE_ASSIGNMENT((#1050)); #556=PRESENTATION_STYLE_ASSIGNMENT((#1051)); #557=PRESENTATION_STYLE_ASSIGNMENT((#1052)); #558=PRESENTATION_STYLE_ASSIGNMENT((#1053)); #559=PRESENTATION_STYLE_ASSIGNMENT((#1054)); #560=PRESENTATION_STYLE_ASSIGNMENT((#1055)); #561=PRESENTATION_STYLE_ASSIGNMENT((#1056)); #562=PRESENTATION_STYLE_ASSIGNMENT((#1057)); #563=PRESENTATION_STYLE_ASSIGNMENT((#1058)); #564=PRESENTATION_STYLE_ASSIGNMENT((#1059)); #565=PRESENTATION_STYLE_ASSIGNMENT((#1060)); #566=PRESENTATION_STYLE_ASSIGNMENT((#1061)); #567=PRESENTATION_STYLE_ASSIGNMENT((#1062)); #568=PRESENTATION_STYLE_ASSIGNMENT((#1063)); #569=PRESENTATION_STYLE_ASSIGNMENT((#1064)); #570=PRESENTATION_STYLE_ASSIGNMENT((#1065)); #571=PRESENTATION_STYLE_ASSIGNMENT((#1066)); #572=PRESENTATION_STYLE_ASSIGNMENT((#1067)); #573=PRESENTATION_STYLE_ASSIGNMENT((#1068)); #574=PRESENTATION_STYLE_ASSIGNMENT((#1069)); #575=PRESENTATION_STYLE_ASSIGNMENT((#1070)); #576=PRESENTATION_STYLE_ASSIGNMENT((#1071)); #577=PRESENTATION_STYLE_ASSIGNMENT((#1072)); #578=PRESENTATION_STYLE_ASSIGNMENT((#1073)); #579=PRESENTATION_STYLE_ASSIGNMENT((#1074)); #580=PRESENTATION_STYLE_ASSIGNMENT((#1075)); #581=PRESENTATION_STYLE_ASSIGNMENT((#1076)); #582=PRESENTATION_STYLE_ASSIGNMENT((#1077)); #583=PRESENTATION_STYLE_ASSIGNMENT((#1078)); #584=PRESENTATION_STYLE_ASSIGNMENT((#1079)); #585=PRESENTATION_STYLE_ASSIGNMENT((#1080)); #586=PRESENTATION_STYLE_ASSIGNMENT((#1081)); #587=PRESENTATION_STYLE_ASSIGNMENT((#1082)); #588=PRESENTATION_STYLE_ASSIGNMENT((#1083)); #589=PRESENTATION_STYLE_ASSIGNMENT((#1084)); #590=PRESENTATION_STYLE_ASSIGNMENT((#1085)); #591=PRESENTATION_STYLE_ASSIGNMENT((#1086)); #592=PRESENTATION_STYLE_ASSIGNMENT((#1087)); #593=PRESENTATION_STYLE_ASSIGNMENT((#1088)); #594=PRESENTATION_STYLE_ASSIGNMENT((#1089)); #595=PRESENTATION_STYLE_ASSIGNMENT((#1090)); #596=PRESENTATION_STYLE_ASSIGNMENT((#1091)); #597=PRESENTATION_STYLE_ASSIGNMENT((#1092)); #598=PRESENTATION_STYLE_ASSIGNMENT((#1093)); #599=PRESENTATION_STYLE_ASSIGNMENT((#1094)); #600=PRESENTATION_STYLE_ASSIGNMENT((#1095)); #601=PRESENTATION_STYLE_ASSIGNMENT((#1096)); #602=PRESENTATION_STYLE_ASSIGNMENT((#1097)); #603=PRESENTATION_STYLE_ASSIGNMENT((#1098)); #604=PRESENTATION_STYLE_ASSIGNMENT((#1099)); #605=PRESENTATION_STYLE_ASSIGNMENT((#1100)); #606=PRESENTATION_STYLE_ASSIGNMENT((#1101)); #607=PRESENTATION_STYLE_ASSIGNMENT((#1102)); #608=PRESENTATION_STYLE_ASSIGNMENT((#1103)); #609=PRESENTATION_STYLE_ASSIGNMENT((#1104)); #610=PRESENTATION_STYLE_ASSIGNMENT((#1105)); #611=PRESENTATION_STYLE_ASSIGNMENT((#1106)); #612=PRESENTATION_STYLE_ASSIGNMENT((#1107)); #613=PRESENTATION_STYLE_ASSIGNMENT((#1108)); #614=PRESENTATION_STYLE_ASSIGNMENT((#1109)); #615=PRESENTATION_STYLE_ASSIGNMENT((#1110)); #616=PRESENTATION_STYLE_ASSIGNMENT((#1111)); #617=PRESENTATION_STYLE_ASSIGNMENT((#1112)); #618=PRESENTATION_STYLE_ASSIGNMENT((#1113)); #619=PRESENTATION_STYLE_ASSIGNMENT((#1114)); #620=PRESENTATION_STYLE_ASSIGNMENT((#1115)); #621=PRESENTATION_STYLE_ASSIGNMENT((#1116)); #622=PRESENTATION_STYLE_ASSIGNMENT((#1117)); #623=PRESENTATION_STYLE_ASSIGNMENT((#1118)); #624=PRESENTATION_STYLE_ASSIGNMENT((#1119)); #625=PRESENTATION_STYLE_ASSIGNMENT((#1120)); #626=PRESENTATION_STYLE_ASSIGNMENT((#1121)); #627=PRESENTATION_STYLE_ASSIGNMENT((#1122)); #628=PRESENTATION_STYLE_ASSIGNMENT((#1123)); #629=PRESENTATION_STYLE_ASSIGNMENT((#1124)); #630=PRESENTATION_STYLE_ASSIGNMENT((#1125)); #631=PRESENTATION_STYLE_ASSIGNMENT((#1126)); #632=PRESENTATION_STYLE_ASSIGNMENT((#1127)); #633=PRESENTATION_STYLE_ASSIGNMENT((#1128)); #634=PRESENTATION_STYLE_ASSIGNMENT((#1129)); #635=PRESENTATION_STYLE_ASSIGNMENT((#1130)); #636=PRESENTATION_STYLE_ASSIGNMENT((#1131)); #637=PRESENTATION_STYLE_ASSIGNMENT((#1132)); #638=PRESENTATION_STYLE_ASSIGNMENT((#1133)); #639=PRESENTATION_STYLE_ASSIGNMENT((#1134)); #640=PRESENTATION_STYLE_ASSIGNMENT((#1135)); #641=PRESENTATION_STYLE_ASSIGNMENT((#1136)); #642=PRESENTATION_STYLE_ASSIGNMENT((#1137)); #643=PRESENTATION_STYLE_ASSIGNMENT((#1138)); #644=PRESENTATION_STYLE_ASSIGNMENT((#1139)); #645=PRESENTATION_STYLE_ASSIGNMENT((#1140)); #646=PRESENTATION_STYLE_ASSIGNMENT((#1141)); #647=PRESENTATION_STYLE_ASSIGNMENT((#1142)); #648=PRESENTATION_STYLE_ASSIGNMENT((#1143)); #649=PRESENTATION_STYLE_ASSIGNMENT((#1144)); #650=PRESENTATION_STYLE_ASSIGNMENT((#1145)); #651=PRESENTATION_STYLE_ASSIGNMENT((#1146)); #652=PRESENTATION_STYLE_ASSIGNMENT((#1147)); #653=PRESENTATION_STYLE_ASSIGNMENT((#1148)); #654=PRESENTATION_STYLE_ASSIGNMENT((#1149)); #655=PRESENTATION_STYLE_ASSIGNMENT((#1150)); #656=PRESENTATION_STYLE_ASSIGNMENT((#1151)); #657=PRESENTATION_STYLE_ASSIGNMENT((#1152)); #658=PRESENTATION_STYLE_ASSIGNMENT((#1153)); #659=PRESENTATION_STYLE_ASSIGNMENT((#1154)); #660=PRESENTATION_STYLE_ASSIGNMENT((#1155)); #661=PRESENTATION_STYLE_ASSIGNMENT((#1156)); #662=PRESENTATION_STYLE_ASSIGNMENT((#1157)); #663=PRESENTATION_STYLE_ASSIGNMENT((#1158)); #664=PRESENTATION_STYLE_ASSIGNMENT((#1159)); #665=PRESENTATION_STYLE_ASSIGNMENT((#1160)); #666=PRESENTATION_STYLE_ASSIGNMENT((#1161)); #667=PRESENTATION_STYLE_ASSIGNMENT((#1162)); #668=PRESENTATION_STYLE_ASSIGNMENT((#1163)); #669=PRESENTATION_STYLE_ASSIGNMENT((#1164)); #670=PRESENTATION_STYLE_ASSIGNMENT((#1165)); #671=PRESENTATION_STYLE_ASSIGNMENT((#1166)); #672=PRESENTATION_STYLE_ASSIGNMENT((#1167)); #673=PRESENTATION_STYLE_ASSIGNMENT((#1168)); #674=PRESENTATION_STYLE_ASSIGNMENT((#1169)); #675=PRESENTATION_STYLE_ASSIGNMENT((#1170)); #676=PRESENTATION_STYLE_ASSIGNMENT((#1171)); #677=PRESENTATION_STYLE_ASSIGNMENT((#1172)); #678=PRESENTATION_STYLE_ASSIGNMENT((#1173)); #679=PRESENTATION_STYLE_ASSIGNMENT((#1174)); #680=PRESENTATION_STYLE_ASSIGNMENT((#1175)); #681=PRESENTATION_STYLE_ASSIGNMENT((#1176)); #682=PRESENTATION_STYLE_ASSIGNMENT((#1177)); #683=PRESENTATION_STYLE_ASSIGNMENT((#1178)); #684=PRESENTATION_STYLE_ASSIGNMENT((#1179)); #685=PRESENTATION_STYLE_ASSIGNMENT((#1180)); #686=PRESENTATION_STYLE_ASSIGNMENT((#1181)); #687=PRESENTATION_STYLE_ASSIGNMENT((#1182)); #688=PRESENTATION_STYLE_ASSIGNMENT((#1183)); #689=PRESENTATION_STYLE_ASSIGNMENT((#1184)); #690=PRESENTATION_STYLE_ASSIGNMENT((#1185)); #691=PRESENTATION_STYLE_ASSIGNMENT((#1186)); #692=PRESENTATION_STYLE_ASSIGNMENT((#1187)); #693=PRESENTATION_STYLE_ASSIGNMENT((#1188)); #694=PRESENTATION_STYLE_ASSIGNMENT((#1189)); #695=PRESENTATION_STYLE_ASSIGNMENT((#1190)); #696=PRESENTATION_STYLE_ASSIGNMENT((#1191)); #697=PRESENTATION_STYLE_ASSIGNMENT((#1192)); #698=PRESENTATION_STYLE_ASSIGNMENT((#1193)); #699=PRESENTATION_STYLE_ASSIGNMENT((#1194)); #700=PRESENTATION_STYLE_ASSIGNMENT((#1195)); #701=PRESENTATION_STYLE_ASSIGNMENT((#1196)); #702=PRESENTATION_STYLE_ASSIGNMENT((#1197)); #703=PRESENTATION_STYLE_ASSIGNMENT((#1198)); #704=PRESENTATION_STYLE_ASSIGNMENT((#1199)); #705=PRESENTATION_STYLE_ASSIGNMENT((#1200)); #706=PRESENTATION_STYLE_ASSIGNMENT((#1201)); #707=PRESENTATION_STYLE_ASSIGNMENT((#1202)); #708=PRESENTATION_STYLE_ASSIGNMENT((#1203)); #709=PRESENTATION_STYLE_ASSIGNMENT((#1204)); #710=PRESENTATION_STYLE_ASSIGNMENT((#1205)); #711=PRESENTATION_STYLE_ASSIGNMENT((#1206)); #712=PRESENTATION_STYLE_ASSIGNMENT((#1207)); #713=PRESENTATION_STYLE_ASSIGNMENT((#1208)); #714=PRESENTATION_STYLE_ASSIGNMENT((#1209)); #715=PRESENTATION_STYLE_ASSIGNMENT((#1210)); #716=PRESENTATION_STYLE_ASSIGNMENT((#1211)); #717=PRESENTATION_STYLE_ASSIGNMENT((#1212)); #718=PRESENTATION_STYLE_ASSIGNMENT((#1213)); #719=PRESENTATION_STYLE_ASSIGNMENT((#1214)); #720=PRESENTATION_STYLE_ASSIGNMENT((#1215)); #721=PRESENTATION_STYLE_ASSIGNMENT((#1216)); #722=PRESENTATION_STYLE_ASSIGNMENT((#1217)); #723=PRESENTATION_STYLE_ASSIGNMENT((#1218)); #724=PRESENTATION_STYLE_ASSIGNMENT((#1219)); #725=PRESENTATION_STYLE_ASSIGNMENT((#1220)); #726=PRESENTATION_STYLE_ASSIGNMENT((#1221)); #727=PRESENTATION_STYLE_ASSIGNMENT((#1222)); #728=PRESENTATION_STYLE_ASSIGNMENT((#1223)); #729=PRESENTATION_STYLE_ASSIGNMENT((#1224)); #730=PRESENTATION_STYLE_ASSIGNMENT((#1225)); #731=PRESENTATION_STYLE_ASSIGNMENT((#1226)); #732=PRESENTATION_STYLE_ASSIGNMENT((#1227)); #733=PRESENTATION_STYLE_ASSIGNMENT((#1228)); #734=PRESENTATION_STYLE_ASSIGNMENT((#1229)); #735=PRESENTATION_STYLE_ASSIGNMENT((#1230)); #736=PRESENTATION_STYLE_ASSIGNMENT((#1231)); #737=PRESENTATION_STYLE_ASSIGNMENT((#1232)); #738=PRESENTATION_STYLE_ASSIGNMENT((#1233)); #739=PRESENTATION_STYLE_ASSIGNMENT((#1234)); #740=PRESENTATION_STYLE_ASSIGNMENT((#1235)); #741=PRESENTATION_STYLE_ASSIGNMENT((#1236)); #742=PRESENTATION_STYLE_ASSIGNMENT((#1237)); #743=PRESENTATION_STYLE_ASSIGNMENT((#1238)); #744=PRESENTATION_STYLE_ASSIGNMENT((#1239)); #745=PRESENTATION_STYLE_ASSIGNMENT((#1240)); #746=PRESENTATION_STYLE_ASSIGNMENT((#1241)); #747=PRESENTATION_STYLE_ASSIGNMENT((#1242)); #748=PRESENTATION_STYLE_ASSIGNMENT((#1243)); #749=PRESENTATION_STYLE_ASSIGNMENT((#1244)); #750=PRESENTATION_STYLE_ASSIGNMENT((#1245)); #751=PRESENTATION_STYLE_ASSIGNMENT((#1246)); #752=PRESENTATION_STYLE_ASSIGNMENT((#1247)); #753=PRESENTATION_STYLE_ASSIGNMENT((#1248)); #754=PRESENTATION_STYLE_ASSIGNMENT((#1249)); #755=PRESENTATION_STYLE_ASSIGNMENT((#1250)); #756=PRESENTATION_STYLE_ASSIGNMENT((#1251)); #757=PRESENTATION_STYLE_ASSIGNMENT((#1252)); #758=PRESENTATION_STYLE_ASSIGNMENT((#1253)); #759=PRESENTATION_STYLE_ASSIGNMENT((#1254)); #760=PRESENTATION_STYLE_ASSIGNMENT((#1255)); #761=PRESENTATION_STYLE_ASSIGNMENT((#1256)); #762=PRESENTATION_STYLE_ASSIGNMENT((#1257)); #763=PRESENTATION_STYLE_ASSIGNMENT((#1258)); #764=PRESENTATION_STYLE_ASSIGNMENT((#1259)); #765=PRESENTATION_STYLE_ASSIGNMENT((#1260)); #766=PRESENTATION_STYLE_ASSIGNMENT((#1261)); #767=PRESENTATION_STYLE_ASSIGNMENT((#1262)); #768=PRESENTATION_STYLE_ASSIGNMENT((#1263)); #769=PRESENTATION_STYLE_ASSIGNMENT((#1264)); #770=PRESENTATION_STYLE_ASSIGNMENT((#1265)); #771=PRESENTATION_STYLE_ASSIGNMENT((#1266)); #772=PRESENTATION_STYLE_ASSIGNMENT((#1267)); #773=PRESENTATION_STYLE_ASSIGNMENT((#1268)); #774=PRESENTATION_STYLE_ASSIGNMENT((#1269)); #775=PRESENTATION_STYLE_ASSIGNMENT((#1270)); #776=PRESENTATION_STYLE_ASSIGNMENT((#1271)); #777=PRESENTATION_STYLE_ASSIGNMENT((#1272)); #778=PRESENTATION_STYLE_ASSIGNMENT((#1273)); #779=PRESENTATION_STYLE_ASSIGNMENT((#1274)); #780=PRESENTATION_STYLE_ASSIGNMENT((#1275)); #781=PRESENTATION_STYLE_ASSIGNMENT((#1276)); #782=PRESENTATION_STYLE_ASSIGNMENT((#1277)); #783=PRESENTATION_STYLE_ASSIGNMENT((#1278)); #784=PRESENTATION_STYLE_ASSIGNMENT((#1279)); #785=PRESENTATION_STYLE_ASSIGNMENT((#1280)); #786=PRESENTATION_STYLE_ASSIGNMENT((#1281)); #787=PRESENTATION_STYLE_ASSIGNMENT((#1282)); #788=PRESENTATION_STYLE_ASSIGNMENT((#1283)); #789=PRESENTATION_STYLE_ASSIGNMENT((#1284)); #790=PRESENTATION_STYLE_ASSIGNMENT((#1285)); #791=PRESENTATION_STYLE_ASSIGNMENT((#1286)); #792=PRESENTATION_STYLE_ASSIGNMENT((#1287)); #793=PRESENTATION_STYLE_ASSIGNMENT((#1288)); #794=PRESENTATION_STYLE_ASSIGNMENT((#1289)); #795=PRESENTATION_STYLE_ASSIGNMENT((#1290)); #796=PRESENTATION_STYLE_ASSIGNMENT((#1291)); #797=PRESENTATION_STYLE_ASSIGNMENT((#1292)); #798=PRESENTATION_STYLE_ASSIGNMENT((#1293)); #799=PRESENTATION_STYLE_ASSIGNMENT((#1294)); #800=PRESENTATION_STYLE_ASSIGNMENT((#1295)); #801=PRESENTATION_STYLE_ASSIGNMENT((#1296)); #802=PRESENTATION_STYLE_ASSIGNMENT((#1297)); #803=PRESENTATION_STYLE_ASSIGNMENT((#1298)); #804=PRESENTATION_STYLE_ASSIGNMENT((#1299)); #805=PRESENTATION_STYLE_ASSIGNMENT((#1300)); #806=PRESENTATION_STYLE_ASSIGNMENT((#1301)); #807=PRESENTATION_STYLE_ASSIGNMENT((#1302)); #808=PRESENTATION_STYLE_ASSIGNMENT((#1303)); #809=PRESENTATION_STYLE_ASSIGNMENT((#1304)); #810=PRESENTATION_STYLE_ASSIGNMENT((#1305)); #811=PRESENTATION_STYLE_ASSIGNMENT((#1306)); #812=PRESENTATION_STYLE_ASSIGNMENT((#1307)); #813=PRESENTATION_STYLE_ASSIGNMENT((#1308)); #814=PRESENTATION_STYLE_ASSIGNMENT((#1309)); #815=PRESENTATION_STYLE_ASSIGNMENT((#1310)); #816=PRESENTATION_STYLE_ASSIGNMENT((#1311)); #817=PRESENTATION_STYLE_ASSIGNMENT((#1312)); #818=PRESENTATION_STYLE_ASSIGNMENT((#1313)); #819=PRESENTATION_STYLE_ASSIGNMENT((#1314)); #820=PRESENTATION_STYLE_ASSIGNMENT((#1315)); #821=PRESENTATION_STYLE_ASSIGNMENT((#1316)); #822=PRESENTATION_STYLE_ASSIGNMENT((#1317)); #823=PRESENTATION_STYLE_ASSIGNMENT((#1318)); #824=PRESENTATION_STYLE_ASSIGNMENT((#1319)); #825=PRESENTATION_STYLE_ASSIGNMENT((#1320)); #826=PRESENTATION_STYLE_ASSIGNMENT((#1321)); #827=PRESENTATION_STYLE_ASSIGNMENT((#1322)); #828=PRESENTATION_STYLE_ASSIGNMENT((#1323)); #829=PRESENTATION_STYLE_ASSIGNMENT((#1324)); #830=PRESENTATION_STYLE_ASSIGNMENT((#1325)); #831=PRESENTATION_STYLE_ASSIGNMENT((#1326)); #832=PRESENTATION_STYLE_ASSIGNMENT((#1327)); #833=PRESENTATION_STYLE_ASSIGNMENT((#1328)); #834=PRESENTATION_STYLE_ASSIGNMENT((#1329)); #835=PRESENTATION_STYLE_ASSIGNMENT((#1330)); #836=PRESENTATION_STYLE_ASSIGNMENT((#1331)); #837=PRESENTATION_STYLE_ASSIGNMENT((#1332)); #838=PRESENTATION_STYLE_ASSIGNMENT((#1333)); #839=PRESENTATION_STYLE_ASSIGNMENT((#1334)); #840=PRESENTATION_STYLE_ASSIGNMENT((#1335)); #841=PRESENTATION_STYLE_ASSIGNMENT((#1336)); #842=PRESENTATION_STYLE_ASSIGNMENT((#1337)); #843=PRESENTATION_STYLE_ASSIGNMENT((#1338)); #844=PRESENTATION_STYLE_ASSIGNMENT((#1339)); #845=PRESENTATION_STYLE_ASSIGNMENT((#1340)); #846=PRESENTATION_STYLE_ASSIGNMENT((#1341)); #847=PRESENTATION_STYLE_ASSIGNMENT((#1342)); #848=PRESENTATION_STYLE_ASSIGNMENT((#1343)); #849=PRESENTATION_STYLE_ASSIGNMENT((#1344)); #850=PRESENTATION_STYLE_ASSIGNMENT((#1345)); #851=PRESENTATION_STYLE_ASSIGNMENT((#1346)); #852=PRESENTATION_STYLE_ASSIGNMENT((#1347)); #853=PRESENTATION_STYLE_ASSIGNMENT((#1348)); #854=PRESENTATION_STYLE_ASSIGNMENT((#1349)); #855=PRESENTATION_STYLE_ASSIGNMENT((#1350)); #856=PRESENTATION_STYLE_ASSIGNMENT((#1351)); #857=PRESENTATION_STYLE_ASSIGNMENT((#1352)); #858=PRESENTATION_STYLE_ASSIGNMENT((#1353)); #859=PRESENTATION_STYLE_ASSIGNMENT((#1354)); #860=PRESENTATION_STYLE_ASSIGNMENT((#1355)); #861=PRESENTATION_STYLE_ASSIGNMENT((#1356)); #862=PRESENTATION_STYLE_ASSIGNMENT((#1357)); #863=PRESENTATION_STYLE_ASSIGNMENT((#1358)); #864=PRESENTATION_STYLE_ASSIGNMENT((#1359)); #865=PRESENTATION_STYLE_ASSIGNMENT((#1360)); #866=PRESENTATION_STYLE_ASSIGNMENT((#1361)); #867=PRESENTATION_STYLE_ASSIGNMENT((#1362)); #868=PRESENTATION_STYLE_ASSIGNMENT((#1363)); #869=PRESENTATION_STYLE_ASSIGNMENT((#1364)); #870=PRESENTATION_STYLE_ASSIGNMENT((#1365)); #871=PRESENTATION_STYLE_ASSIGNMENT((#1366)); #872=PRESENTATION_STYLE_ASSIGNMENT((#1367)); #873=PRESENTATION_STYLE_ASSIGNMENT((#1368)); #874=PRESENTATION_STYLE_ASSIGNMENT((#1369)); #875=PRESENTATION_STYLE_ASSIGNMENT((#1370)); #876=PRESENTATION_STYLE_ASSIGNMENT((#1371)); #877=PRESENTATION_STYLE_ASSIGNMENT((#1372)); #878=PRESENTATION_STYLE_ASSIGNMENT((#1373)); #879=PRESENTATION_STYLE_ASSIGNMENT((#1374)); #880=PRESENTATION_STYLE_ASSIGNMENT((#1375)); #881=PRESENTATION_STYLE_ASSIGNMENT((#1376)); #882=PRESENTATION_STYLE_ASSIGNMENT((#1377)); #883=PRESENTATION_STYLE_ASSIGNMENT((#1378)); #884=PRESENTATION_STYLE_ASSIGNMENT((#1379)); #885=PRESENTATION_STYLE_ASSIGNMENT((#1380)); #886=PRESENTATION_STYLE_ASSIGNMENT((#1381)); #887=PRESENTATION_STYLE_ASSIGNMENT((#1382)); #888=PRESENTATION_STYLE_ASSIGNMENT((#1383)); #889=PRESENTATION_STYLE_ASSIGNMENT((#1384)); #890=PRESENTATION_STYLE_ASSIGNMENT((#1385)); #891=PRESENTATION_STYLE_ASSIGNMENT((#1386)); #892=PRESENTATION_STYLE_ASSIGNMENT((#1387)); #893=PRESENTATION_STYLE_ASSIGNMENT((#1388)); #894=PRESENTATION_STYLE_ASSIGNMENT((#1389)); #895=PRESENTATION_STYLE_ASSIGNMENT((#1390)); #896=PRESENTATION_STYLE_ASSIGNMENT((#1391)); #897=PRESENTATION_STYLE_ASSIGNMENT((#1392)); #898=PRESENTATION_STYLE_ASSIGNMENT((#1393)); #899=PRESENTATION_STYLE_ASSIGNMENT((#1394)); #900=PRESENTATION_STYLE_ASSIGNMENT((#1395)); #901=PRESENTATION_STYLE_ASSIGNMENT((#1396)); #902=PRESENTATION_STYLE_ASSIGNMENT((#1397)); #903=PRESENTATION_STYLE_ASSIGNMENT((#1398)); #904=PRESENTATION_STYLE_ASSIGNMENT((#1399)); #905=PRESENTATION_STYLE_ASSIGNMENT((#1400)); #906=PRESENTATION_STYLE_ASSIGNMENT((#1401)); #907=PRESENTATION_STYLE_ASSIGNMENT((#1402)); #908=PRESENTATION_STYLE_ASSIGNMENT((#1403)); #909=PRESENTATION_STYLE_ASSIGNMENT((#1404)); #910=PRESENTATION_STYLE_ASSIGNMENT((#1405)); #911=PRESENTATION_STYLE_ASSIGNMENT((#1406)); #912=PRESENTATION_STYLE_ASSIGNMENT((#1407)); #913=PRESENTATION_STYLE_ASSIGNMENT((#1408)); #914=PRESENTATION_STYLE_ASSIGNMENT((#1409)); #915=PRESENTATION_STYLE_ASSIGNMENT((#1410)); #916=PRESENTATION_STYLE_ASSIGNMENT((#1411)); #917=PRESENTATION_STYLE_ASSIGNMENT((#1412)); #918=PRESENTATION_STYLE_ASSIGNMENT((#1413)); #919=PRESENTATION_STYLE_ASSIGNMENT((#1414)); #920=PRESENTATION_STYLE_ASSIGNMENT((#1415)); #921=PRESENTATION_STYLE_ASSIGNMENT((#1416)); #922=PRESENTATION_STYLE_ASSIGNMENT((#1417)); #923=PRESENTATION_STYLE_ASSIGNMENT((#1418)); #924=PRESENTATION_STYLE_ASSIGNMENT((#1419)); #925=PRESENTATION_STYLE_ASSIGNMENT((#1420)); #926=PRESENTATION_STYLE_ASSIGNMENT((#1421)); #927=PRESENTATION_STYLE_ASSIGNMENT((#1422)); #928=PRESENTATION_STYLE_ASSIGNMENT((#1423)); #929=PRESENTATION_STYLE_ASSIGNMENT((#1424)); #930=PRESENTATION_STYLE_ASSIGNMENT((#1425)); #931=PRESENTATION_STYLE_ASSIGNMENT((#1426)); #932=PRESENTATION_STYLE_ASSIGNMENT((#1427)); #933=PRESENTATION_STYLE_ASSIGNMENT((#1428)); #934=PRESENTATION_STYLE_ASSIGNMENT((#1429)); #935=PRESENTATION_STYLE_ASSIGNMENT((#1430)); #936=PRESENTATION_STYLE_ASSIGNMENT((#1431)); #937=PRESENTATION_STYLE_ASSIGNMENT((#1432)); #938=PRESENTATION_STYLE_ASSIGNMENT((#1433)); #939=PRESENTATION_STYLE_ASSIGNMENT((#1434)); #940=PRESENTATION_STYLE_ASSIGNMENT((#1435)); #941=PRESENTATION_STYLE_ASSIGNMENT((#1436)); #942=PRESENTATION_STYLE_ASSIGNMENT((#1437)); #943=PRESENTATION_STYLE_ASSIGNMENT((#1438)); #944=PRESENTATION_STYLE_ASSIGNMENT((#1439)); #945=PRESENTATION_STYLE_ASSIGNMENT((#1440)); #946=PRESENTATION_STYLE_ASSIGNMENT((#1441)); #947=PRESENTATION_STYLE_ASSIGNMENT((#1442)); #948=PRESENTATION_STYLE_ASSIGNMENT((#1443)); #949=PRESENTATION_STYLE_ASSIGNMENT((#1444)); #950=PRESENTATION_STYLE_ASSIGNMENT((#1445)); #951=PRESENTATION_STYLE_ASSIGNMENT((#1446)); #952=PRESENTATION_STYLE_ASSIGNMENT((#1447)); #953=PRESENTATION_STYLE_ASSIGNMENT((#1448)); #954=PRESENTATION_STYLE_ASSIGNMENT((#1449)); #955=PRESENTATION_STYLE_ASSIGNMENT((#1450)); #956=PRESENTATION_STYLE_ASSIGNMENT((#1451)); #957=PRESENTATION_STYLE_ASSIGNMENT((#1452)); #958=PRESENTATION_STYLE_ASSIGNMENT((#1453)); #959=PRESENTATION_STYLE_ASSIGNMENT((#1454)); #960=PRESENTATION_STYLE_ASSIGNMENT((#1455)); #961=PRESENTATION_STYLE_ASSIGNMENT((#1456)); #962=PRESENTATION_STYLE_ASSIGNMENT((#1457)); #963=PRESENTATION_STYLE_ASSIGNMENT((#1458)); #964=PRESENTATION_STYLE_ASSIGNMENT((#1459)); #965=PRESENTATION_STYLE_ASSIGNMENT((#1460)); #966=PRESENTATION_STYLE_ASSIGNMENT((#1461)); #967=PRESENTATION_STYLE_ASSIGNMENT((#1462)); #968=PRESENTATION_STYLE_ASSIGNMENT((#1463)); #969=PRESENTATION_STYLE_ASSIGNMENT((#1464)); #970=PRESENTATION_STYLE_ASSIGNMENT((#1465)); #971=PRESENTATION_STYLE_ASSIGNMENT((#1466)); #972=PRESENTATION_STYLE_ASSIGNMENT((#1467)); #973=PRESENTATION_STYLE_ASSIGNMENT((#1468)); #974=PRESENTATION_STYLE_ASSIGNMENT((#1469)); #975=PRESENTATION_STYLE_ASSIGNMENT((#1470)); #976=PRESENTATION_STYLE_ASSIGNMENT((#1471)); #977=PRESENTATION_STYLE_ASSIGNMENT((#1472)); #978=PRESENTATION_STYLE_ASSIGNMENT((#1473)); #979=PRESENTATION_STYLE_ASSIGNMENT((#1474)); #980=PRESENTATION_STYLE_ASSIGNMENT((#1475)); #981=PRESENTATION_STYLE_ASSIGNMENT((#1476)); #982=PRESENTATION_STYLE_ASSIGNMENT((#1477)); #983=PRESENTATION_STYLE_ASSIGNMENT((#1478)); #984=PRESENTATION_STYLE_ASSIGNMENT((#1479)); #985=PRESENTATION_STYLE_ASSIGNMENT((#1480)); #986=PRESENTATION_STYLE_ASSIGNMENT((#1481)); #987=PRESENTATION_STYLE_ASSIGNMENT((#1482)); #988=PRESENTATION_STYLE_ASSIGNMENT((#1483)); #989=PRESENTATION_STYLE_ASSIGNMENT((#1484)); #990=PRESENTATION_STYLE_ASSIGNMENT((#1485)); #991=PRESENTATION_STYLE_ASSIGNMENT((#1486)); #992=PRESENTATION_STYLE_ASSIGNMENT((#1487)); #993=PRESENTATION_STYLE_ASSIGNMENT((#1488)); #994=PRESENTATION_STYLE_ASSIGNMENT((#1489)); #995=PRESENTATION_STYLE_ASSIGNMENT((#1490)); #996=PRESENTATION_STYLE_ASSIGNMENT((#1491)); #997=PRESENTATION_STYLE_ASSIGNMENT((#1492)); #998=PRESENTATION_STYLE_ASSIGNMENT((#1493)); #999=PRESENTATION_STYLE_ASSIGNMENT((#1494)); #1000=PRESENTATION_STYLE_ASSIGNMENT((#1495)); #1001=PRESENTATION_STYLE_ASSIGNMENT((#1496)); #1002=PRESENTATION_STYLE_ASSIGNMENT((#1497)); #1003=PRESENTATION_STYLE_ASSIGNMENT((#1498)); #1004=PRESENTATION_STYLE_ASSIGNMENT((#1499)); #1005=PRESENTATION_STYLE_ASSIGNMENT((#1500)); #1006=PRESENTATION_STYLE_ASSIGNMENT((#1501)); #1007=PRESENTATION_STYLE_ASSIGNMENT((#1502)); #1008=PRESENTATION_STYLE_ASSIGNMENT((#1503)); #1009=PRESENTATION_STYLE_ASSIGNMENT((#1504)); #1010=PRESENTATION_STYLE_ASSIGNMENT((#1505)); #1011=PRESENTATION_STYLE_ASSIGNMENT((#1506)); #1012=PRESENTATION_STYLE_ASSIGNMENT((#1507)); #1013=PRESENTATION_STYLE_ASSIGNMENT((#1508)); #1014=PRESENTATION_STYLE_ASSIGNMENT((#1509)); #1015=PRESENTATION_STYLE_ASSIGNMENT((#1510)); #1016=PRESENTATION_STYLE_ASSIGNMENT((#1511)); #1017=PRESENTATION_STYLE_ASSIGNMENT((#1512)); #1018=PRESENTATION_STYLE_ASSIGNMENT((#1513)); #1019=PRESENTATION_STYLE_ASSIGNMENT((#1514)); #1020=PRESENTATION_STYLE_ASSIGNMENT((#1515)); #1021=PRESENTATION_STYLE_ASSIGNMENT((#1516)); #1022=PRESENTATION_STYLE_ASSIGNMENT((#1517)); #1023=SURFACE_STYLE_USAGE(.BOTH.,#1518); #1024=SURFACE_STYLE_USAGE(.BOTH.,#1519); #1025=SURFACE_STYLE_USAGE(.BOTH.,#1520); #1026=SURFACE_STYLE_USAGE(.BOTH.,#1521); #1027=SURFACE_STYLE_USAGE(.BOTH.,#1522); #1028=SURFACE_STYLE_USAGE(.BOTH.,#1523); #1029=SURFACE_STYLE_USAGE(.BOTH.,#1524); #1030=SURFACE_STYLE_USAGE(.BOTH.,#1525); #1031=SURFACE_STYLE_USAGE(.BOTH.,#1526); #1032=SURFACE_STYLE_USAGE(.BOTH.,#1527); #1033=SURFACE_STYLE_USAGE(.BOTH.,#1528); #1034=SURFACE_STYLE_USAGE(.BOTH.,#1529); #1035=SURFACE_STYLE_USAGE(.BOTH.,#1530); #1036=SURFACE_STYLE_USAGE(.BOTH.,#1531); #1037=SURFACE_STYLE_USAGE(.BOTH.,#1532); #1038=SURFACE_STYLE_USAGE(.BOTH.,#1533); #1039=SURFACE_STYLE_USAGE(.BOTH.,#1534); #1040=SURFACE_STYLE_USAGE(.BOTH.,#1535); #1041=SURFACE_STYLE_USAGE(.BOTH.,#1536); #1042=SURFACE_STYLE_USAGE(.BOTH.,#1537); #1043=SURFACE_STYLE_USAGE(.BOTH.,#1538); #1044=SURFACE_STYLE_USAGE(.BOTH.,#1539); #1045=SURFACE_STYLE_USAGE(.BOTH.,#1540); #1046=SURFACE_STYLE_USAGE(.BOTH.,#1541); #1047=SURFACE_STYLE_USAGE(.BOTH.,#1542); #1048=SURFACE_STYLE_USAGE(.BOTH.,#1543); #1049=SURFACE_STYLE_USAGE(.BOTH.,#1544); #1050=SURFACE_STYLE_USAGE(.BOTH.,#1545); #1051=SURFACE_STYLE_USAGE(.BOTH.,#1546); #1052=SURFACE_STYLE_USAGE(.BOTH.,#1547); #1053=SURFACE_STYLE_USAGE(.BOTH.,#1548); #1054=SURFACE_STYLE_USAGE(.BOTH.,#1549); #1055=SURFACE_STYLE_USAGE(.BOTH.,#1550); #1056=SURFACE_STYLE_USAGE(.BOTH.,#1551); #1057=SURFACE_STYLE_USAGE(.BOTH.,#1552); #1058=SURFACE_STYLE_USAGE(.BOTH.,#1553); #1059=SURFACE_STYLE_USAGE(.BOTH.,#1554); #1060=SURFACE_STYLE_USAGE(.BOTH.,#1555); #1061=SURFACE_STYLE_USAGE(.BOTH.,#1556); #1062=SURFACE_STYLE_USAGE(.BOTH.,#1557); #1063=SURFACE_STYLE_USAGE(.BOTH.,#1558); #1064=SURFACE_STYLE_USAGE(.BOTH.,#1559); #1065=SURFACE_STYLE_USAGE(.BOTH.,#1560); #1066=SURFACE_STYLE_USAGE(.BOTH.,#1561); #1067=SURFACE_STYLE_USAGE(.BOTH.,#1562); #1068=SURFACE_STYLE_USAGE(.BOTH.,#1563); #1069=SURFACE_STYLE_USAGE(.BOTH.,#1564); #1070=SURFACE_STYLE_USAGE(.BOTH.,#1565); #1071=SURFACE_STYLE_USAGE(.BOTH.,#1566); #1072=SURFACE_STYLE_USAGE(.BOTH.,#1567); #1073=SURFACE_STYLE_USAGE(.BOTH.,#1568); #1074=SURFACE_STYLE_USAGE(.BOTH.,#1569); #1075=SURFACE_STYLE_USAGE(.BOTH.,#1570); #1076=SURFACE_STYLE_USAGE(.BOTH.,#1571); #1077=SURFACE_STYLE_USAGE(.BOTH.,#1572); #1078=SURFACE_STYLE_USAGE(.BOTH.,#1573); #1079=SURFACE_STYLE_USAGE(.BOTH.,#1574); #1080=SURFACE_STYLE_USAGE(.BOTH.,#1575); #1081=SURFACE_STYLE_USAGE(.BOTH.,#1576); #1082=SURFACE_STYLE_USAGE(.BOTH.,#1577); #1083=SURFACE_STYLE_USAGE(.BOTH.,#1578); #1084=SURFACE_STYLE_USAGE(.BOTH.,#1579); #1085=SURFACE_STYLE_USAGE(.BOTH.,#1580); #1086=SURFACE_STYLE_USAGE(.BOTH.,#1581); #1087=SURFACE_STYLE_USAGE(.BOTH.,#1582); #1088=SURFACE_STYLE_USAGE(.BOTH.,#1583); #1089=SURFACE_STYLE_USAGE(.BOTH.,#1584); #1090=SURFACE_STYLE_USAGE(.BOTH.,#1585); #1091=SURFACE_STYLE_USAGE(.BOTH.,#1586); #1092=SURFACE_STYLE_USAGE(.BOTH.,#1587); #1093=SURFACE_STYLE_USAGE(.BOTH.,#1588); #1094=SURFACE_STYLE_USAGE(.BOTH.,#1589); #1095=SURFACE_STYLE_USAGE(.BOTH.,#1590); #1096=SURFACE_STYLE_USAGE(.BOTH.,#1591); #1097=SURFACE_STYLE_USAGE(.BOTH.,#1592); #1098=SURFACE_STYLE_USAGE(.BOTH.,#1593); #1099=SURFACE_STYLE_USAGE(.BOTH.,#1594); #1100=SURFACE_STYLE_USAGE(.BOTH.,#1595); #1101=SURFACE_STYLE_USAGE(.BOTH.,#1596); #1102=SURFACE_STYLE_USAGE(.BOTH.,#1597); #1103=SURFACE_STYLE_USAGE(.BOTH.,#1598); #1104=SURFACE_STYLE_USAGE(.BOTH.,#1599); #1105=SURFACE_STYLE_USAGE(.BOTH.,#1600); #1106=SURFACE_STYLE_USAGE(.BOTH.,#1601); #1107=SURFACE_STYLE_USAGE(.BOTH.,#1602); #1108=SURFACE_STYLE_USAGE(.BOTH.,#1603); #1109=SURFACE_STYLE_USAGE(.BOTH.,#1604); #1110=SURFACE_STYLE_USAGE(.BOTH.,#1605); #1111=SURFACE_STYLE_USAGE(.BOTH.,#1606); #1112=SURFACE_STYLE_USAGE(.BOTH.,#1607); #1113=SURFACE_STYLE_USAGE(.BOTH.,#1608); #1114=SURFACE_STYLE_USAGE(.BOTH.,#1609); #1115=SURFACE_STYLE_USAGE(.BOTH.,#1610); #1116=SURFACE_STYLE_USAGE(.BOTH.,#1611); #1117=SURFACE_STYLE_USAGE(.BOTH.,#1612); #1118=SURFACE_STYLE_USAGE(.BOTH.,#1613); #1119=SURFACE_STYLE_USAGE(.BOTH.,#1614); #1120=SURFACE_STYLE_USAGE(.BOTH.,#1615); #1121=SURFACE_STYLE_USAGE(.BOTH.,#1616); #1122=SURFACE_STYLE_USAGE(.BOTH.,#1617); #1123=SURFACE_STYLE_USAGE(.BOTH.,#1618); #1124=SURFACE_STYLE_USAGE(.BOTH.,#1619); #1125=SURFACE_STYLE_USAGE(.BOTH.,#1620); #1126=SURFACE_STYLE_USAGE(.BOTH.,#1621); #1127=SURFACE_STYLE_USAGE(.BOTH.,#1622); #1128=SURFACE_STYLE_USAGE(.BOTH.,#1623); #1129=SURFACE_STYLE_USAGE(.BOTH.,#1624); #1130=SURFACE_STYLE_USAGE(.BOTH.,#1625); #1131=SURFACE_STYLE_USAGE(.BOTH.,#1626); #1132=SURFACE_STYLE_USAGE(.BOTH.,#1627); #1133=SURFACE_STYLE_USAGE(.BOTH.,#1628); #1134=SURFACE_STYLE_USAGE(.BOTH.,#1629); #1135=SURFACE_STYLE_USAGE(.BOTH.,#1630); #1136=SURFACE_STYLE_USAGE(.BOTH.,#1631); #1137=SURFACE_STYLE_USAGE(.BOTH.,#1632); #1138=SURFACE_STYLE_USAGE(.BOTH.,#1633); #1139=SURFACE_STYLE_USAGE(.BOTH.,#1634); #1140=SURFACE_STYLE_USAGE(.BOTH.,#1635); #1141=SURFACE_STYLE_USAGE(.BOTH.,#1636); #1142=SURFACE_STYLE_USAGE(.BOTH.,#1637); #1143=SURFACE_STYLE_USAGE(.BOTH.,#1638); #1144=SURFACE_STYLE_USAGE(.BOTH.,#1639); #1145=SURFACE_STYLE_USAGE(.BOTH.,#1640); #1146=SURFACE_STYLE_USAGE(.BOTH.,#1641); #1147=SURFACE_STYLE_USAGE(.BOTH.,#1642); #1148=SURFACE_STYLE_USAGE(.BOTH.,#1643); #1149=SURFACE_STYLE_USAGE(.BOTH.,#1644); #1150=SURFACE_STYLE_USAGE(.BOTH.,#1645); #1151=SURFACE_STYLE_USAGE(.BOTH.,#1646); #1152=SURFACE_STYLE_USAGE(.BOTH.,#1647); #1153=SURFACE_STYLE_USAGE(.BOTH.,#1648); #1154=SURFACE_STYLE_USAGE(.BOTH.,#1649); #1155=SURFACE_STYLE_USAGE(.BOTH.,#1650); #1156=SURFACE_STYLE_USAGE(.BOTH.,#1651); #1157=SURFACE_STYLE_USAGE(.BOTH.,#1652); #1158=SURFACE_STYLE_USAGE(.BOTH.,#1653); #1159=SURFACE_STYLE_USAGE(.BOTH.,#1654); #1160=SURFACE_STYLE_USAGE(.BOTH.,#1655); #1161=SURFACE_STYLE_USAGE(.BOTH.,#1656); #1162=SURFACE_STYLE_USAGE(.BOTH.,#1657); #1163=SURFACE_STYLE_USAGE(.BOTH.,#1658); #1164=SURFACE_STYLE_USAGE(.BOTH.,#1659); #1165=SURFACE_STYLE_USAGE(.BOTH.,#1660); #1166=SURFACE_STYLE_USAGE(.BOTH.,#1661); #1167=SURFACE_STYLE_USAGE(.BOTH.,#1662); #1168=SURFACE_STYLE_USAGE(.BOTH.,#1663); #1169=SURFACE_STYLE_USAGE(.BOTH.,#1664); #1170=SURFACE_STYLE_USAGE(.BOTH.,#1665); #1171=SURFACE_STYLE_USAGE(.BOTH.,#1666); #1172=SURFACE_STYLE_USAGE(.BOTH.,#1667); #1173=SURFACE_STYLE_USAGE(.BOTH.,#1668); #1174=SURFACE_STYLE_USAGE(.BOTH.,#1669); #1175=SURFACE_STYLE_USAGE(.BOTH.,#1670); #1176=SURFACE_STYLE_USAGE(.BOTH.,#1671); #1177=SURFACE_STYLE_USAGE(.BOTH.,#1672); #1178=SURFACE_STYLE_USAGE(.BOTH.,#1673); #1179=SURFACE_STYLE_USAGE(.BOTH.,#1674); #1180=SURFACE_STYLE_USAGE(.BOTH.,#1675); #1181=SURFACE_STYLE_USAGE(.BOTH.,#1676); #1182=SURFACE_STYLE_USAGE(.BOTH.,#1677); #1183=SURFACE_STYLE_USAGE(.BOTH.,#1678); #1184=SURFACE_STYLE_USAGE(.BOTH.,#1679); #1185=SURFACE_STYLE_USAGE(.BOTH.,#1680); #1186=SURFACE_STYLE_USAGE(.BOTH.,#1681); #1187=SURFACE_STYLE_USAGE(.BOTH.,#1682); #1188=SURFACE_STYLE_USAGE(.BOTH.,#1683); #1189=SURFACE_STYLE_USAGE(.BOTH.,#1684); #1190=SURFACE_STYLE_USAGE(.BOTH.,#1685); #1191=SURFACE_STYLE_USAGE(.BOTH.,#1686); #1192=SURFACE_STYLE_USAGE(.BOTH.,#1687); #1193=SURFACE_STYLE_USAGE(.BOTH.,#1688); #1194=SURFACE_STYLE_USAGE(.BOTH.,#1689); #1195=SURFACE_STYLE_USAGE(.BOTH.,#1690); #1196=SURFACE_STYLE_USAGE(.BOTH.,#1691); #1197=SURFACE_STYLE_USAGE(.BOTH.,#1692); #1198=SURFACE_STYLE_USAGE(.BOTH.,#1693); #1199=SURFACE_STYLE_USAGE(.BOTH.,#1694); #1200=SURFACE_STYLE_USAGE(.BOTH.,#1695); #1201=SURFACE_STYLE_USAGE(.BOTH.,#1696); #1202=SURFACE_STYLE_USAGE(.BOTH.,#1697); #1203=SURFACE_STYLE_USAGE(.BOTH.,#1698); #1204=SURFACE_STYLE_USAGE(.BOTH.,#1699); #1205=SURFACE_STYLE_USAGE(.BOTH.,#1700); #1206=SURFACE_STYLE_USAGE(.BOTH.,#1701); #1207=SURFACE_STYLE_USAGE(.BOTH.,#1702); #1208=SURFACE_STYLE_USAGE(.BOTH.,#1703); #1209=SURFACE_STYLE_USAGE(.BOTH.,#1704); #1210=SURFACE_STYLE_USAGE(.BOTH.,#1705); #1211=SURFACE_STYLE_USAGE(.BOTH.,#1706); #1212=SURFACE_STYLE_USAGE(.BOTH.,#1707); #1213=SURFACE_STYLE_USAGE(.BOTH.,#1708); #1214=SURFACE_STYLE_USAGE(.BOTH.,#1709); #1215=SURFACE_STYLE_USAGE(.BOTH.,#1710); #1216=SURFACE_STYLE_USAGE(.BOTH.,#1711); #1217=SURFACE_STYLE_USAGE(.BOTH.,#1712); #1218=SURFACE_STYLE_USAGE(.BOTH.,#1713); #1219=SURFACE_STYLE_USAGE(.BOTH.,#1714); #1220=SURFACE_STYLE_USAGE(.BOTH.,#1715); #1221=SURFACE_STYLE_USAGE(.BOTH.,#1716); #1222=SURFACE_STYLE_USAGE(.BOTH.,#1717); #1223=SURFACE_STYLE_USAGE(.BOTH.,#1718); #1224=SURFACE_STYLE_USAGE(.BOTH.,#1719); #1225=SURFACE_STYLE_USAGE(.BOTH.,#1720); #1226=SURFACE_STYLE_USAGE(.BOTH.,#1721); #1227=SURFACE_STYLE_USAGE(.BOTH.,#1722); #1228=SURFACE_STYLE_USAGE(.BOTH.,#1723); #1229=SURFACE_STYLE_USAGE(.BOTH.,#1724); #1230=SURFACE_STYLE_USAGE(.BOTH.,#1725); #1231=SURFACE_STYLE_USAGE(.BOTH.,#1726); #1232=SURFACE_STYLE_USAGE(.BOTH.,#1727); #1233=SURFACE_STYLE_USAGE(.BOTH.,#1728); #1234=SURFACE_STYLE_USAGE(.BOTH.,#1729); #1235=SURFACE_STYLE_USAGE(.BOTH.,#1730); #1236=SURFACE_STYLE_USAGE(.BOTH.,#1731); #1237=SURFACE_STYLE_USAGE(.BOTH.,#1732); #1238=SURFACE_STYLE_USAGE(.BOTH.,#1733); #1239=SURFACE_STYLE_USAGE(.BOTH.,#1734); #1240=SURFACE_STYLE_USAGE(.BOTH.,#1735); #1241=SURFACE_STYLE_USAGE(.BOTH.,#1736); #1242=SURFACE_STYLE_USAGE(.BOTH.,#1737); #1243=SURFACE_STYLE_USAGE(.BOTH.,#1738); #1244=SURFACE_STYLE_USAGE(.BOTH.,#1739); #1245=SURFACE_STYLE_USAGE(.BOTH.,#1740); #1246=SURFACE_STYLE_USAGE(.BOTH.,#1741); #1247=SURFACE_STYLE_USAGE(.BOTH.,#1742); #1248=SURFACE_STYLE_USAGE(.BOTH.,#1743); #1249=SURFACE_STYLE_USAGE(.BOTH.,#1744); #1250=SURFACE_STYLE_USAGE(.BOTH.,#1745); #1251=SURFACE_STYLE_USAGE(.BOTH.,#1746); #1252=SURFACE_STYLE_USAGE(.BOTH.,#1747); #1253=SURFACE_STYLE_USAGE(.BOTH.,#1748); #1254=SURFACE_STYLE_USAGE(.BOTH.,#1749); #1255=SURFACE_STYLE_USAGE(.BOTH.,#1750); #1256=SURFACE_STYLE_USAGE(.BOTH.,#1751); #1257=SURFACE_STYLE_USAGE(.BOTH.,#1752); #1258=SURFACE_STYLE_USAGE(.BOTH.,#1753); #1259=SURFACE_STYLE_USAGE(.BOTH.,#1754); #1260=SURFACE_STYLE_USAGE(.BOTH.,#1755); #1261=SURFACE_STYLE_USAGE(.BOTH.,#1756); #1262=SURFACE_STYLE_USAGE(.BOTH.,#1757); #1263=SURFACE_STYLE_USAGE(.BOTH.,#1758); #1264=SURFACE_STYLE_USAGE(.BOTH.,#1759); #1265=SURFACE_STYLE_USAGE(.BOTH.,#1760); #1266=SURFACE_STYLE_USAGE(.BOTH.,#1761); #1267=SURFACE_STYLE_USAGE(.BOTH.,#1762); #1268=SURFACE_STYLE_USAGE(.BOTH.,#1763); #1269=SURFACE_STYLE_USAGE(.BOTH.,#1764); #1270=SURFACE_STYLE_USAGE(.BOTH.,#1765); #1271=SURFACE_STYLE_USAGE(.BOTH.,#1766); #1272=SURFACE_STYLE_USAGE(.BOTH.,#1767); #1273=SURFACE_STYLE_USAGE(.BOTH.,#1768); #1274=SURFACE_STYLE_USAGE(.BOTH.,#1769); #1275=SURFACE_STYLE_USAGE(.BOTH.,#1770); #1276=SURFACE_STYLE_USAGE(.BOTH.,#1771); #1277=SURFACE_STYLE_USAGE(.BOTH.,#1772); #1278=SURFACE_STYLE_USAGE(.BOTH.,#1773); #1279=SURFACE_STYLE_USAGE(.BOTH.,#1774); #1280=SURFACE_STYLE_USAGE(.BOTH.,#1775); #1281=SURFACE_STYLE_USAGE(.BOTH.,#1776); #1282=SURFACE_STYLE_USAGE(.BOTH.,#1777); #1283=SURFACE_STYLE_USAGE(.BOTH.,#1778); #1284=SURFACE_STYLE_USAGE(.BOTH.,#1779); #1285=SURFACE_STYLE_USAGE(.BOTH.,#1780); #1286=SURFACE_STYLE_USAGE(.BOTH.,#1781); #1287=SURFACE_STYLE_USAGE(.BOTH.,#1782); #1288=SURFACE_STYLE_USAGE(.BOTH.,#1783); #1289=SURFACE_STYLE_USAGE(.BOTH.,#1784); #1290=SURFACE_STYLE_USAGE(.BOTH.,#1785); #1291=SURFACE_STYLE_USAGE(.BOTH.,#1786); #1292=SURFACE_STYLE_USAGE(.BOTH.,#1787); #1293=SURFACE_STYLE_USAGE(.BOTH.,#1788); #1294=SURFACE_STYLE_USAGE(.BOTH.,#1789); #1295=SURFACE_STYLE_USAGE(.BOTH.,#1790); #1296=SURFACE_STYLE_USAGE(.BOTH.,#1791); #1297=SURFACE_STYLE_USAGE(.BOTH.,#1792); #1298=SURFACE_STYLE_USAGE(.BOTH.,#1793); #1299=SURFACE_STYLE_USAGE(.BOTH.,#1794); #1300=SURFACE_STYLE_USAGE(.BOTH.,#1795); #1301=SURFACE_STYLE_USAGE(.BOTH.,#1796); #1302=SURFACE_STYLE_USAGE(.BOTH.,#1797); #1303=SURFACE_STYLE_USAGE(.BOTH.,#1798); #1304=SURFACE_STYLE_USAGE(.BOTH.,#1799); #1305=SURFACE_STYLE_USAGE(.BOTH.,#1800); #1306=SURFACE_STYLE_USAGE(.BOTH.,#1801); #1307=SURFACE_STYLE_USAGE(.BOTH.,#1802); #1308=SURFACE_STYLE_USAGE(.BOTH.,#1803); #1309=SURFACE_STYLE_USAGE(.BOTH.,#1804); #1310=SURFACE_STYLE_USAGE(.BOTH.,#1805); #1311=SURFACE_STYLE_USAGE(.BOTH.,#1806); #1312=SURFACE_STYLE_USAGE(.BOTH.,#1807); #1313=SURFACE_STYLE_USAGE(.BOTH.,#1808); #1314=SURFACE_STYLE_USAGE(.BOTH.,#1809); #1315=SURFACE_STYLE_USAGE(.BOTH.,#1810); #1316=SURFACE_STYLE_USAGE(.BOTH.,#1811); #1317=SURFACE_STYLE_USAGE(.BOTH.,#1812); #1318=SURFACE_STYLE_USAGE(.BOTH.,#1813); #1319=SURFACE_STYLE_USAGE(.BOTH.,#1814); #1320=SURFACE_STYLE_USAGE(.BOTH.,#1815); #1321=SURFACE_STYLE_USAGE(.BOTH.,#1816); #1322=SURFACE_STYLE_USAGE(.BOTH.,#1817); #1323=SURFACE_STYLE_USAGE(.BOTH.,#1818); #1324=SURFACE_STYLE_USAGE(.BOTH.,#1819); #1325=SURFACE_STYLE_USAGE(.BOTH.,#1820); #1326=SURFACE_STYLE_USAGE(.BOTH.,#1821); #1327=SURFACE_STYLE_USAGE(.BOTH.,#1822); #1328=SURFACE_STYLE_USAGE(.BOTH.,#1823); #1329=SURFACE_STYLE_USAGE(.BOTH.,#1824); #1330=SURFACE_STYLE_USAGE(.BOTH.,#1825); #1331=SURFACE_STYLE_USAGE(.BOTH.,#1826); #1332=SURFACE_STYLE_USAGE(.BOTH.,#1827); #1333=SURFACE_STYLE_USAGE(.BOTH.,#1828); #1334=SURFACE_STYLE_USAGE(.BOTH.,#1829); #1335=SURFACE_STYLE_USAGE(.BOTH.,#1830); #1336=SURFACE_STYLE_USAGE(.BOTH.,#1831); #1337=SURFACE_STYLE_USAGE(.BOTH.,#1832); #1338=SURFACE_STYLE_USAGE(.BOTH.,#1833); #1339=SURFACE_STYLE_USAGE(.BOTH.,#1834); #1340=SURFACE_STYLE_USAGE(.BOTH.,#1835); #1341=SURFACE_STYLE_USAGE(.BOTH.,#1836); #1342=SURFACE_STYLE_USAGE(.BOTH.,#1837); #1343=SURFACE_STYLE_USAGE(.BOTH.,#1838); #1344=SURFACE_STYLE_USAGE(.BOTH.,#1839); #1345=SURFACE_STYLE_USAGE(.BOTH.,#1840); #1346=SURFACE_STYLE_USAGE(.BOTH.,#1841); #1347=SURFACE_STYLE_USAGE(.BOTH.,#1842); #1348=SURFACE_STYLE_USAGE(.BOTH.,#1843); #1349=SURFACE_STYLE_USAGE(.BOTH.,#1844); #1350=SURFACE_STYLE_USAGE(.BOTH.,#1845); #1351=SURFACE_STYLE_USAGE(.BOTH.,#1846); #1352=SURFACE_STYLE_USAGE(.BOTH.,#1847); #1353=SURFACE_STYLE_USAGE(.BOTH.,#1848); #1354=SURFACE_STYLE_USAGE(.BOTH.,#1849); #1355=SURFACE_STYLE_USAGE(.BOTH.,#1850); #1356=SURFACE_STYLE_USAGE(.BOTH.,#1851); #1357=SURFACE_STYLE_USAGE(.BOTH.,#1852); #1358=SURFACE_STYLE_USAGE(.BOTH.,#1853); #1359=SURFACE_STYLE_USAGE(.BOTH.,#1854); #1360=SURFACE_STYLE_USAGE(.BOTH.,#1855); #1361=SURFACE_STYLE_USAGE(.BOTH.,#1856); #1362=SURFACE_STYLE_USAGE(.BOTH.,#1857); #1363=SURFACE_STYLE_USAGE(.BOTH.,#1858); #1364=SURFACE_STYLE_USAGE(.BOTH.,#1859); #1365=SURFACE_STYLE_USAGE(.BOTH.,#1860); #1366=SURFACE_STYLE_USAGE(.BOTH.,#1861); #1367=SURFACE_STYLE_USAGE(.BOTH.,#1862); #1368=SURFACE_STYLE_USAGE(.BOTH.,#1863); #1369=SURFACE_STYLE_USAGE(.BOTH.,#1864); #1370=SURFACE_STYLE_USAGE(.BOTH.,#1865); #1371=SURFACE_STYLE_USAGE(.BOTH.,#1866); #1372=SURFACE_STYLE_USAGE(.BOTH.,#1867); #1373=SURFACE_STYLE_USAGE(.BOTH.,#1868); #1374=SURFACE_STYLE_USAGE(.BOTH.,#1869); #1375=SURFACE_STYLE_USAGE(.BOTH.,#1870); #1376=SURFACE_STYLE_USAGE(.BOTH.,#1871); #1377=SURFACE_STYLE_USAGE(.BOTH.,#1872); #1378=SURFACE_STYLE_USAGE(.BOTH.,#1873); #1379=SURFACE_STYLE_USAGE(.BOTH.,#1874); #1380=SURFACE_STYLE_USAGE(.BOTH.,#1875); #1381=SURFACE_STYLE_USAGE(.BOTH.,#1876); #1382=SURFACE_STYLE_USAGE(.BOTH.,#1877); #1383=SURFACE_STYLE_USAGE(.BOTH.,#1878); #1384=SURFACE_STYLE_USAGE(.BOTH.,#1879); #1385=SURFACE_STYLE_USAGE(.BOTH.,#1880); #1386=SURFACE_STYLE_USAGE(.BOTH.,#1881); #1387=SURFACE_STYLE_USAGE(.BOTH.,#1882); #1388=SURFACE_STYLE_USAGE(.BOTH.,#1883); #1389=SURFACE_STYLE_USAGE(.BOTH.,#1884); #1390=SURFACE_STYLE_USAGE(.BOTH.,#1885); #1391=SURFACE_STYLE_USAGE(.BOTH.,#1886); #1392=SURFACE_STYLE_USAGE(.BOTH.,#1887); #1393=SURFACE_STYLE_USAGE(.BOTH.,#1888); #1394=SURFACE_STYLE_USAGE(.BOTH.,#1889); #1395=SURFACE_STYLE_USAGE(.BOTH.,#1890); #1396=SURFACE_STYLE_USAGE(.BOTH.,#1891); #1397=SURFACE_STYLE_USAGE(.BOTH.,#1892); #1398=SURFACE_STYLE_USAGE(.BOTH.,#1893); #1399=SURFACE_STYLE_USAGE(.BOTH.,#1894); #1400=SURFACE_STYLE_USAGE(.BOTH.,#1895); #1401=SURFACE_STYLE_USAGE(.BOTH.,#1896); #1402=SURFACE_STYLE_USAGE(.BOTH.,#1897); #1403=SURFACE_STYLE_USAGE(.BOTH.,#1898); #1404=SURFACE_STYLE_USAGE(.BOTH.,#1899); #1405=SURFACE_STYLE_USAGE(.BOTH.,#1900); #1406=SURFACE_STYLE_USAGE(.BOTH.,#1901); #1407=SURFACE_STYLE_USAGE(.BOTH.,#1902); #1408=SURFACE_STYLE_USAGE(.BOTH.,#1903); #1409=SURFACE_STYLE_USAGE(.BOTH.,#1904); #1410=SURFACE_STYLE_USAGE(.BOTH.,#1905); #1411=SURFACE_STYLE_USAGE(.BOTH.,#1906); #1412=SURFACE_STYLE_USAGE(.BOTH.,#1907); #1413=SURFACE_STYLE_USAGE(.BOTH.,#1908); #1414=SURFACE_STYLE_USAGE(.BOTH.,#1909); #1415=SURFACE_STYLE_USAGE(.BOTH.,#1910); #1416=SURFACE_STYLE_USAGE(.BOTH.,#1911); #1417=SURFACE_STYLE_USAGE(.BOTH.,#1912); #1418=SURFACE_STYLE_USAGE(.BOTH.,#1913); #1419=SURFACE_STYLE_USAGE(.BOTH.,#1914); #1420=SURFACE_STYLE_USAGE(.BOTH.,#1915); #1421=SURFACE_STYLE_USAGE(.BOTH.,#1916); #1422=SURFACE_STYLE_USAGE(.BOTH.,#1917); #1423=SURFACE_STYLE_USAGE(.BOTH.,#1918); #1424=SURFACE_STYLE_USAGE(.BOTH.,#1919); #1425=SURFACE_STYLE_USAGE(.BOTH.,#1920); #1426=SURFACE_STYLE_USAGE(.BOTH.,#1921); #1427=SURFACE_STYLE_USAGE(.BOTH.,#1922); #1428=SURFACE_STYLE_USAGE(.BOTH.,#1923); #1429=SURFACE_STYLE_USAGE(.BOTH.,#1924); #1430=SURFACE_STYLE_USAGE(.BOTH.,#1925); #1431=SURFACE_STYLE_USAGE(.BOTH.,#1926); #1432=SURFACE_STYLE_USAGE(.BOTH.,#1927); #1433=SURFACE_STYLE_USAGE(.BOTH.,#1928); #1434=SURFACE_STYLE_USAGE(.BOTH.,#1929); #1435=SURFACE_STYLE_USAGE(.BOTH.,#1930); #1436=SURFACE_STYLE_USAGE(.BOTH.,#1931); #1437=SURFACE_STYLE_USAGE(.BOTH.,#1932); #1438=SURFACE_STYLE_USAGE(.BOTH.,#1933); #1439=SURFACE_STYLE_USAGE(.BOTH.,#1934); #1440=SURFACE_STYLE_USAGE(.BOTH.,#1935); #1441=SURFACE_STYLE_USAGE(.BOTH.,#1936); #1442=SURFACE_STYLE_USAGE(.BOTH.,#1937); #1443=SURFACE_STYLE_USAGE(.BOTH.,#1938); #1444=SURFACE_STYLE_USAGE(.BOTH.,#1939); #1445=SURFACE_STYLE_USAGE(.BOTH.,#1940); #1446=SURFACE_STYLE_USAGE(.BOTH.,#1941); #1447=SURFACE_STYLE_USAGE(.BOTH.,#1942); #1448=SURFACE_STYLE_USAGE(.BOTH.,#1943); #1449=SURFACE_STYLE_USAGE(.BOTH.,#1944); #1450=SURFACE_STYLE_USAGE(.BOTH.,#1945); #1451=SURFACE_STYLE_USAGE(.BOTH.,#1946); #1452=SURFACE_STYLE_USAGE(.BOTH.,#1947); #1453=SURFACE_STYLE_USAGE(.BOTH.,#1948); #1454=SURFACE_STYLE_USAGE(.BOTH.,#1949); #1455=SURFACE_STYLE_USAGE(.BOTH.,#1950); #1456=SURFACE_STYLE_USAGE(.BOTH.,#1951); #1457=SURFACE_STYLE_USAGE(.BOTH.,#1952); #1458=SURFACE_STYLE_USAGE(.BOTH.,#1953); #1459=SURFACE_STYLE_USAGE(.BOTH.,#1954); #1460=SURFACE_STYLE_USAGE(.BOTH.,#1955); #1461=SURFACE_STYLE_USAGE(.BOTH.,#1956); #1462=SURFACE_STYLE_USAGE(.BOTH.,#1957); #1463=SURFACE_STYLE_USAGE(.BOTH.,#1958); #1464=SURFACE_STYLE_USAGE(.BOTH.,#1959); #1465=SURFACE_STYLE_USAGE(.BOTH.,#1960); #1466=SURFACE_STYLE_USAGE(.BOTH.,#1961); #1467=SURFACE_STYLE_USAGE(.BOTH.,#1962); #1468=SURFACE_STYLE_USAGE(.BOTH.,#1963); #1469=SURFACE_STYLE_USAGE(.BOTH.,#1964); #1470=SURFACE_STYLE_USAGE(.BOTH.,#1965); #1471=SURFACE_STYLE_USAGE(.BOTH.,#1966); #1472=SURFACE_STYLE_USAGE(.BOTH.,#1967); #1473=SURFACE_STYLE_USAGE(.BOTH.,#1968); #1474=SURFACE_STYLE_USAGE(.BOTH.,#1969); #1475=SURFACE_STYLE_USAGE(.BOTH.,#1970); #1476=SURFACE_STYLE_USAGE(.BOTH.,#1971); #1477=SURFACE_STYLE_USAGE(.BOTH.,#1972); #1478=SURFACE_STYLE_USAGE(.BOTH.,#1973); #1479=SURFACE_STYLE_USAGE(.BOTH.,#1974); #1480=SURFACE_STYLE_USAGE(.BOTH.,#1975); #1481=SURFACE_STYLE_USAGE(.BOTH.,#1976); #1482=SURFACE_STYLE_USAGE(.BOTH.,#1977); #1483=SURFACE_STYLE_USAGE(.BOTH.,#1978); #1484=SURFACE_STYLE_USAGE(.BOTH.,#1979); #1485=SURFACE_STYLE_USAGE(.BOTH.,#1980); #1486=SURFACE_STYLE_USAGE(.BOTH.,#1981); #1487=SURFACE_STYLE_USAGE(.BOTH.,#1982); #1488=SURFACE_STYLE_USAGE(.BOTH.,#1983); #1489=SURFACE_STYLE_USAGE(.BOTH.,#1984); #1490=SURFACE_STYLE_USAGE(.BOTH.,#1985); #1491=SURFACE_STYLE_USAGE(.BOTH.,#1986); #1492=SURFACE_STYLE_USAGE(.BOTH.,#1987); #1493=SURFACE_STYLE_USAGE(.BOTH.,#1988); #1494=SURFACE_STYLE_USAGE(.BOTH.,#1989); #1495=SURFACE_STYLE_USAGE(.BOTH.,#1990); #1496=SURFACE_STYLE_USAGE(.BOTH.,#1991); #1497=SURFACE_STYLE_USAGE(.BOTH.,#1992); #1498=SURFACE_STYLE_USAGE(.BOTH.,#1993); #1499=SURFACE_STYLE_USAGE(.BOTH.,#1994); #1500=SURFACE_STYLE_USAGE(.BOTH.,#1995); #1501=SURFACE_STYLE_USAGE(.BOTH.,#1996); #1502=SURFACE_STYLE_USAGE(.BOTH.,#1997); #1503=SURFACE_STYLE_USAGE(.BOTH.,#1998); #1504=SURFACE_STYLE_USAGE(.BOTH.,#1999); #1505=SURFACE_STYLE_USAGE(.BOTH.,#2000); #1506=SURFACE_STYLE_USAGE(.BOTH.,#2001); #1507=SURFACE_STYLE_USAGE(.BOTH.,#2002); #1508=SURFACE_STYLE_USAGE(.BOTH.,#2003); #1509=SURFACE_STYLE_USAGE(.BOTH.,#2004); #1510=SURFACE_STYLE_USAGE(.BOTH.,#2005); #1511=SURFACE_STYLE_USAGE(.BOTH.,#2006); #1512=SURFACE_STYLE_USAGE(.BOTH.,#2007); #1513=SURFACE_STYLE_USAGE(.BOTH.,#2008); #1514=SURFACE_STYLE_USAGE(.BOTH.,#2009); #1515=SURFACE_STYLE_USAGE(.BOTH.,#2010); #1516=SURFACE_STYLE_USAGE(.BOTH.,#2011); #1517=SURFACE_STYLE_USAGE(.BOTH.,#2012); #1518=SURFACE_SIDE_STYLE('',(#2013)); #1519=SURFACE_SIDE_STYLE('',(#2014)); #1520=SURFACE_SIDE_STYLE('',(#2015)); #1521=SURFACE_SIDE_STYLE('',(#2016)); #1522=SURFACE_SIDE_STYLE('',(#2017)); #1523=SURFACE_SIDE_STYLE('',(#2018)); #1524=SURFACE_SIDE_STYLE('',(#2019)); #1525=SURFACE_SIDE_STYLE('',(#2020)); #1526=SURFACE_SIDE_STYLE('',(#2021)); #1527=SURFACE_SIDE_STYLE('',(#2022)); #1528=SURFACE_SIDE_STYLE('',(#2023)); #1529=SURFACE_SIDE_STYLE('',(#2024)); #1530=SURFACE_SIDE_STYLE('',(#2025)); #1531=SURFACE_SIDE_STYLE('',(#2026)); #1532=SURFACE_SIDE_STYLE('',(#2027)); #1533=SURFACE_SIDE_STYLE('',(#2028)); #1534=SURFACE_SIDE_STYLE('',(#2029)); #1535=SURFACE_SIDE_STYLE('',(#2030)); #1536=SURFACE_SIDE_STYLE('',(#2031)); #1537=SURFACE_SIDE_STYLE('',(#2032)); #1538=SURFACE_SIDE_STYLE('',(#2033)); #1539=SURFACE_SIDE_STYLE('',(#2034)); #1540=SURFACE_SIDE_STYLE('',(#2035)); #1541=SURFACE_SIDE_STYLE('',(#2036)); #1542=SURFACE_SIDE_STYLE('',(#2037)); #1543=SURFACE_SIDE_STYLE('',(#2038)); #1544=SURFACE_SIDE_STYLE('',(#2039)); #1545=SURFACE_SIDE_STYLE('',(#2040)); #1546=SURFACE_SIDE_STYLE('',(#2041)); #1547=SURFACE_SIDE_STYLE('',(#2042)); #1548=SURFACE_SIDE_STYLE('',(#2043)); #1549=SURFACE_SIDE_STYLE('',(#2044)); #1550=SURFACE_SIDE_STYLE('',(#2045)); #1551=SURFACE_SIDE_STYLE('',(#2046)); #1552=SURFACE_SIDE_STYLE('',(#2047)); #1553=SURFACE_SIDE_STYLE('',(#2048)); #1554=SURFACE_SIDE_STYLE('',(#2049)); #1555=SURFACE_SIDE_STYLE('',(#2050)); #1556=SURFACE_SIDE_STYLE('',(#2051)); #1557=SURFACE_SIDE_STYLE('',(#2052)); #1558=SURFACE_SIDE_STYLE('',(#2053)); #1559=SURFACE_SIDE_STYLE('',(#2054)); #1560=SURFACE_SIDE_STYLE('',(#2055)); #1561=SURFACE_SIDE_STYLE('',(#2056)); #1562=SURFACE_SIDE_STYLE('',(#2057)); #1563=SURFACE_SIDE_STYLE('',(#2058)); #1564=SURFACE_SIDE_STYLE('',(#2059)); #1565=SURFACE_SIDE_STYLE('',(#2060)); #1566=SURFACE_SIDE_STYLE('',(#2061)); #1567=SURFACE_SIDE_STYLE('',(#2062)); #1568=SURFACE_SIDE_STYLE('',(#2063)); #1569=SURFACE_SIDE_STYLE('',(#2064)); #1570=SURFACE_SIDE_STYLE('',(#2065)); #1571=SURFACE_SIDE_STYLE('',(#2066)); #1572=SURFACE_SIDE_STYLE('',(#2067)); #1573=SURFACE_SIDE_STYLE('',(#2068)); #1574=SURFACE_SIDE_STYLE('',(#2069)); #1575=SURFACE_SIDE_STYLE('',(#2070)); #1576=SURFACE_SIDE_STYLE('',(#2071)); #1577=SURFACE_SIDE_STYLE('',(#2072)); #1578=SURFACE_SIDE_STYLE('',(#2073)); #1579=SURFACE_SIDE_STYLE('',(#2074)); #1580=SURFACE_SIDE_STYLE('',(#2075)); #1581=SURFACE_SIDE_STYLE('',(#2076)); #1582=SURFACE_SIDE_STYLE('',(#2077)); #1583=SURFACE_SIDE_STYLE('',(#2078)); #1584=SURFACE_SIDE_STYLE('',(#2079)); #1585=SURFACE_SIDE_STYLE('',(#2080)); #1586=SURFACE_SIDE_STYLE('',(#2081)); #1587=SURFACE_SIDE_STYLE('',(#2082)); #1588=SURFACE_SIDE_STYLE('',(#2083)); #1589=SURFACE_SIDE_STYLE('',(#2084)); #1590=SURFACE_SIDE_STYLE('',(#2085)); #1591=SURFACE_SIDE_STYLE('',(#2086)); #1592=SURFACE_SIDE_STYLE('',(#2087)); #1593=SURFACE_SIDE_STYLE('',(#2088)); #1594=SURFACE_SIDE_STYLE('',(#2089)); #1595=SURFACE_SIDE_STYLE('',(#2090)); #1596=SURFACE_SIDE_STYLE('',(#2091)); #1597=SURFACE_SIDE_STYLE('',(#2092)); #1598=SURFACE_SIDE_STYLE('',(#2093)); #1599=SURFACE_SIDE_STYLE('',(#2094)); #1600=SURFACE_SIDE_STYLE('',(#2095)); #1601=SURFACE_SIDE_STYLE('',(#2096)); #1602=SURFACE_SIDE_STYLE('',(#2097)); #1603=SURFACE_SIDE_STYLE('',(#2098)); #1604=SURFACE_SIDE_STYLE('',(#2099)); #1605=SURFACE_SIDE_STYLE('',(#2100)); #1606=SURFACE_SIDE_STYLE('',(#2101)); #1607=SURFACE_SIDE_STYLE('',(#2102)); #1608=SURFACE_SIDE_STYLE('',(#2103)); #1609=SURFACE_SIDE_STYLE('',(#2104)); #1610=SURFACE_SIDE_STYLE('',(#2105)); #1611=SURFACE_SIDE_STYLE('',(#2106)); #1612=SURFACE_SIDE_STYLE('',(#2107)); #1613=SURFACE_SIDE_STYLE('',(#2108)); #1614=SURFACE_SIDE_STYLE('',(#2109)); #1615=SURFACE_SIDE_STYLE('',(#2110)); #1616=SURFACE_SIDE_STYLE('',(#2111)); #1617=SURFACE_SIDE_STYLE('',(#2112)); #1618=SURFACE_SIDE_STYLE('',(#2113)); #1619=SURFACE_SIDE_STYLE('',(#2114)); #1620=SURFACE_SIDE_STYLE('',(#2115)); #1621=SURFACE_SIDE_STYLE('',(#2116)); #1622=SURFACE_SIDE_STYLE('',(#2117)); #1623=SURFACE_SIDE_STYLE('',(#2118)); #1624=SURFACE_SIDE_STYLE('',(#2119)); #1625=SURFACE_SIDE_STYLE('',(#2120)); #1626=SURFACE_SIDE_STYLE('',(#2121)); #1627=SURFACE_SIDE_STYLE('',(#2122)); #1628=SURFACE_SIDE_STYLE('',(#2123)); #1629=SURFACE_SIDE_STYLE('',(#2124)); #1630=SURFACE_SIDE_STYLE('',(#2125)); #1631=SURFACE_SIDE_STYLE('',(#2126)); #1632=SURFACE_SIDE_STYLE('',(#2127)); #1633=SURFACE_SIDE_STYLE('',(#2128)); #1634=SURFACE_SIDE_STYLE('',(#2129)); #1635=SURFACE_SIDE_STYLE('',(#2130)); #1636=SURFACE_SIDE_STYLE('',(#2131)); #1637=SURFACE_SIDE_STYLE('',(#2132)); #1638=SURFACE_SIDE_STYLE('',(#2133)); #1639=SURFACE_SIDE_STYLE('',(#2134)); #1640=SURFACE_SIDE_STYLE('',(#2135)); #1641=SURFACE_SIDE_STYLE('',(#2136)); #1642=SURFACE_SIDE_STYLE('',(#2137)); #1643=SURFACE_SIDE_STYLE('',(#2138)); #1644=SURFACE_SIDE_STYLE('',(#2139)); #1645=SURFACE_SIDE_STYLE('',(#2140)); #1646=SURFACE_SIDE_STYLE('',(#2141)); #1647=SURFACE_SIDE_STYLE('',(#2142)); #1648=SURFACE_SIDE_STYLE('',(#2143)); #1649=SURFACE_SIDE_STYLE('',(#2144)); #1650=SURFACE_SIDE_STYLE('',(#2145)); #1651=SURFACE_SIDE_STYLE('',(#2146)); #1652=SURFACE_SIDE_STYLE('',(#2147)); #1653=SURFACE_SIDE_STYLE('',(#2148)); #1654=SURFACE_SIDE_STYLE('',(#2149)); #1655=SURFACE_SIDE_STYLE('',(#2150)); #1656=SURFACE_SIDE_STYLE('',(#2151)); #1657=SURFACE_SIDE_STYLE('',(#2152)); #1658=SURFACE_SIDE_STYLE('',(#2153)); #1659=SURFACE_SIDE_STYLE('',(#2154)); #1660=SURFACE_SIDE_STYLE('',(#2155)); #1661=SURFACE_SIDE_STYLE('',(#2156)); #1662=SURFACE_SIDE_STYLE('',(#2157)); #1663=SURFACE_SIDE_STYLE('',(#2158)); #1664=SURFACE_SIDE_STYLE('',(#2159)); #1665=SURFACE_SIDE_STYLE('',(#2160)); #1666=SURFACE_SIDE_STYLE('',(#2161)); #1667=SURFACE_SIDE_STYLE('',(#2162)); #1668=SURFACE_SIDE_STYLE('',(#2163)); #1669=SURFACE_SIDE_STYLE('',(#2164)); #1670=SURFACE_SIDE_STYLE('',(#2165)); #1671=SURFACE_SIDE_STYLE('',(#2166)); #1672=SURFACE_SIDE_STYLE('',(#2167)); #1673=SURFACE_SIDE_STYLE('',(#2168)); #1674=SURFACE_SIDE_STYLE('',(#2169)); #1675=SURFACE_SIDE_STYLE('',(#2170)); #1676=SURFACE_SIDE_STYLE('',(#2171)); #1677=SURFACE_SIDE_STYLE('',(#2172)); #1678=SURFACE_SIDE_STYLE('',(#2173)); #1679=SURFACE_SIDE_STYLE('',(#2174)); #1680=SURFACE_SIDE_STYLE('',(#2175)); #1681=SURFACE_SIDE_STYLE('',(#2176)); #1682=SURFACE_SIDE_STYLE('',(#2177)); #1683=SURFACE_SIDE_STYLE('',(#2178)); #1684=SURFACE_SIDE_STYLE('',(#2179)); #1685=SURFACE_SIDE_STYLE('',(#2180)); #1686=SURFACE_SIDE_STYLE('',(#2181)); #1687=SURFACE_SIDE_STYLE('',(#2182)); #1688=SURFACE_SIDE_STYLE('',(#2183)); #1689=SURFACE_SIDE_STYLE('',(#2184)); #1690=SURFACE_SIDE_STYLE('',(#2185)); #1691=SURFACE_SIDE_STYLE('',(#2186)); #1692=SURFACE_SIDE_STYLE('',(#2187)); #1693=SURFACE_SIDE_STYLE('',(#2188)); #1694=SURFACE_SIDE_STYLE('',(#2189)); #1695=SURFACE_SIDE_STYLE('',(#2190)); #1696=SURFACE_SIDE_STYLE('',(#2191)); #1697=SURFACE_SIDE_STYLE('',(#2192)); #1698=SURFACE_SIDE_STYLE('',(#2193)); #1699=SURFACE_SIDE_STYLE('',(#2194)); #1700=SURFACE_SIDE_STYLE('',(#2195)); #1701=SURFACE_SIDE_STYLE('',(#2196)); #1702=SURFACE_SIDE_STYLE('',(#2197)); #1703=SURFACE_SIDE_STYLE('',(#2198)); #1704=SURFACE_SIDE_STYLE('',(#2199)); #1705=SURFACE_SIDE_STYLE('',(#2200)); #1706=SURFACE_SIDE_STYLE('',(#2201)); #1707=SURFACE_SIDE_STYLE('',(#2202)); #1708=SURFACE_SIDE_STYLE('',(#2203)); #1709=SURFACE_SIDE_STYLE('',(#2204)); #1710=SURFACE_SIDE_STYLE('',(#2205)); #1711=SURFACE_SIDE_STYLE('',(#2206)); #1712=SURFACE_SIDE_STYLE('',(#2207)); #1713=SURFACE_SIDE_STYLE('',(#2208)); #1714=SURFACE_SIDE_STYLE('',(#2209)); #1715=SURFACE_SIDE_STYLE('',(#2210)); #1716=SURFACE_SIDE_STYLE('',(#2211)); #1717=SURFACE_SIDE_STYLE('',(#2212)); #1718=SURFACE_SIDE_STYLE('',(#2213)); #1719=SURFACE_SIDE_STYLE('',(#2214)); #1720=SURFACE_SIDE_STYLE('',(#2215)); #1721=SURFACE_SIDE_STYLE('',(#2216)); #1722=SURFACE_SIDE_STYLE('',(#2217)); #1723=SURFACE_SIDE_STYLE('',(#2218)); #1724=SURFACE_SIDE_STYLE('',(#2219)); #1725=SURFACE_SIDE_STYLE('',(#2220)); #1726=SURFACE_SIDE_STYLE('',(#2221)); #1727=SURFACE_SIDE_STYLE('',(#2222)); #1728=SURFACE_SIDE_STYLE('',(#2223)); #1729=SURFACE_SIDE_STYLE('',(#2224)); #1730=SURFACE_SIDE_STYLE('',(#2225)); #1731=SURFACE_SIDE_STYLE('',(#2226)); #1732=SURFACE_SIDE_STYLE('',(#2227)); #1733=SURFACE_SIDE_STYLE('',(#2228)); #1734=SURFACE_SIDE_STYLE('',(#2229)); #1735=SURFACE_SIDE_STYLE('',(#2230)); #1736=SURFACE_SIDE_STYLE('',(#2231)); #1737=SURFACE_SIDE_STYLE('',(#2232)); #1738=SURFACE_SIDE_STYLE('',(#2233)); #1739=SURFACE_SIDE_STYLE('',(#2234)); #1740=SURFACE_SIDE_STYLE('',(#2235)); #1741=SURFACE_SIDE_STYLE('',(#2236)); #1742=SURFACE_SIDE_STYLE('',(#2237)); #1743=SURFACE_SIDE_STYLE('',(#2238)); #1744=SURFACE_SIDE_STYLE('',(#2239)); #1745=SURFACE_SIDE_STYLE('',(#2240)); #1746=SURFACE_SIDE_STYLE('',(#2241)); #1747=SURFACE_SIDE_STYLE('',(#2242)); #1748=SURFACE_SIDE_STYLE('',(#2243)); #1749=SURFACE_SIDE_STYLE('',(#2244)); #1750=SURFACE_SIDE_STYLE('',(#2245)); #1751=SURFACE_SIDE_STYLE('',(#2246)); #1752=SURFACE_SIDE_STYLE('',(#2247)); #1753=SURFACE_SIDE_STYLE('',(#2248)); #1754=SURFACE_SIDE_STYLE('',(#2249)); #1755=SURFACE_SIDE_STYLE('',(#2250)); #1756=SURFACE_SIDE_STYLE('',(#2251)); #1757=SURFACE_SIDE_STYLE('',(#2252)); #1758=SURFACE_SIDE_STYLE('',(#2253)); #1759=SURFACE_SIDE_STYLE('',(#2254)); #1760=SURFACE_SIDE_STYLE('',(#2255)); #1761=SURFACE_SIDE_STYLE('',(#2256)); #1762=SURFACE_SIDE_STYLE('',(#2257)); #1763=SURFACE_SIDE_STYLE('',(#2258)); #1764=SURFACE_SIDE_STYLE('',(#2259)); #1765=SURFACE_SIDE_STYLE('',(#2260)); #1766=SURFACE_SIDE_STYLE('',(#2261)); #1767=SURFACE_SIDE_STYLE('',(#2262)); #1768=SURFACE_SIDE_STYLE('',(#2263)); #1769=SURFACE_SIDE_STYLE('',(#2264)); #1770=SURFACE_SIDE_STYLE('',(#2265)); #1771=SURFACE_SIDE_STYLE('',(#2266)); #1772=SURFACE_SIDE_STYLE('',(#2267)); #1773=SURFACE_SIDE_STYLE('',(#2268)); #1774=SURFACE_SIDE_STYLE('',(#2269)); #1775=SURFACE_SIDE_STYLE('',(#2270)); #1776=SURFACE_SIDE_STYLE('',(#2271)); #1777=SURFACE_SIDE_STYLE('',(#2272)); #1778=SURFACE_SIDE_STYLE('',(#2273)); #1779=SURFACE_SIDE_STYLE('',(#2274)); #1780=SURFACE_SIDE_STYLE('',(#2275)); #1781=SURFACE_SIDE_STYLE('',(#2276)); #1782=SURFACE_SIDE_STYLE('',(#2277)); #1783=SURFACE_SIDE_STYLE('',(#2278)); #1784=SURFACE_SIDE_STYLE('',(#2279)); #1785=SURFACE_SIDE_STYLE('',(#2280)); #1786=SURFACE_SIDE_STYLE('',(#2281)); #1787=SURFACE_SIDE_STYLE('',(#2282)); #1788=SURFACE_SIDE_STYLE('',(#2283)); #1789=SURFACE_SIDE_STYLE('',(#2284)); #1790=SURFACE_SIDE_STYLE('',(#2285)); #1791=SURFACE_SIDE_STYLE('',(#2286)); #1792=SURFACE_SIDE_STYLE('',(#2287)); #1793=SURFACE_SIDE_STYLE('',(#2288)); #1794=SURFACE_SIDE_STYLE('',(#2289)); #1795=SURFACE_SIDE_STYLE('',(#2290)); #1796=SURFACE_SIDE_STYLE('',(#2291)); #1797=SURFACE_SIDE_STYLE('',(#2292)); #1798=SURFACE_SIDE_STYLE('',(#2293)); #1799=SURFACE_SIDE_STYLE('',(#2294)); #1800=SURFACE_SIDE_STYLE('',(#2295)); #1801=SURFACE_SIDE_STYLE('',(#2296)); #1802=SURFACE_SIDE_STYLE('',(#2297)); #1803=SURFACE_SIDE_STYLE('',(#2298)); #1804=SURFACE_SIDE_STYLE('',(#2299)); #1805=SURFACE_SIDE_STYLE('',(#2300)); #1806=SURFACE_SIDE_STYLE('',(#2301)); #1807=SURFACE_SIDE_STYLE('',(#2302)); #1808=SURFACE_SIDE_STYLE('',(#2303)); #1809=SURFACE_SIDE_STYLE('',(#2304)); #1810=SURFACE_SIDE_STYLE('',(#2305)); #1811=SURFACE_SIDE_STYLE('',(#2306)); #1812=SURFACE_SIDE_STYLE('',(#2307)); #1813=SURFACE_SIDE_STYLE('',(#2308)); #1814=SURFACE_SIDE_STYLE('',(#2309)); #1815=SURFACE_SIDE_STYLE('',(#2310)); #1816=SURFACE_SIDE_STYLE('',(#2311)); #1817=SURFACE_SIDE_STYLE('',(#2312)); #1818=SURFACE_SIDE_STYLE('',(#2313)); #1819=SURFACE_SIDE_STYLE('',(#2314)); #1820=SURFACE_SIDE_STYLE('',(#2315)); #1821=SURFACE_SIDE_STYLE('',(#2316)); #1822=SURFACE_SIDE_STYLE('',(#2317)); #1823=SURFACE_SIDE_STYLE('',(#2318)); #1824=SURFACE_SIDE_STYLE('',(#2319)); #1825=SURFACE_SIDE_STYLE('',(#2320)); #1826=SURFACE_SIDE_STYLE('',(#2321)); #1827=SURFACE_SIDE_STYLE('',(#2322)); #1828=SURFACE_SIDE_STYLE('',(#2323)); #1829=SURFACE_SIDE_STYLE('',(#2324)); #1830=SURFACE_SIDE_STYLE('',(#2325)); #1831=SURFACE_SIDE_STYLE('',(#2326)); #1832=SURFACE_SIDE_STYLE('',(#2327)); #1833=SURFACE_SIDE_STYLE('',(#2328)); #1834=SURFACE_SIDE_STYLE('',(#2329)); #1835=SURFACE_SIDE_STYLE('',(#2330)); #1836=SURFACE_SIDE_STYLE('',(#2331)); #1837=SURFACE_SIDE_STYLE('',(#2332)); #1838=SURFACE_SIDE_STYLE('',(#2333)); #1839=SURFACE_SIDE_STYLE('',(#2334)); #1840=SURFACE_SIDE_STYLE('',(#2335)); #1841=SURFACE_SIDE_STYLE('',(#2336)); #1842=SURFACE_SIDE_STYLE('',(#2337)); #1843=SURFACE_SIDE_STYLE('',(#2338)); #1844=SURFACE_SIDE_STYLE('',(#2339)); #1845=SURFACE_SIDE_STYLE('',(#2340)); #1846=SURFACE_SIDE_STYLE('',(#2341)); #1847=SURFACE_SIDE_STYLE('',(#2342)); #1848=SURFACE_SIDE_STYLE('',(#2343)); #1849=SURFACE_SIDE_STYLE('',(#2344)); #1850=SURFACE_SIDE_STYLE('',(#2345)); #1851=SURFACE_SIDE_STYLE('',(#2346)); #1852=SURFACE_SIDE_STYLE('',(#2347)); #1853=SURFACE_SIDE_STYLE('',(#2348)); #1854=SURFACE_SIDE_STYLE('',(#2349)); #1855=SURFACE_SIDE_STYLE('',(#2350)); #1856=SURFACE_SIDE_STYLE('',(#2351)); #1857=SURFACE_SIDE_STYLE('',(#2352)); #1858=SURFACE_SIDE_STYLE('',(#2353)); #1859=SURFACE_SIDE_STYLE('',(#2354)); #1860=SURFACE_SIDE_STYLE('',(#2355)); #1861=SURFACE_SIDE_STYLE('',(#2356)); #1862=SURFACE_SIDE_STYLE('',(#2357)); #1863=SURFACE_SIDE_STYLE('',(#2358)); #1864=SURFACE_SIDE_STYLE('',(#2359)); #1865=SURFACE_SIDE_STYLE('',(#2360)); #1866=SURFACE_SIDE_STYLE('',(#2361)); #1867=SURFACE_SIDE_STYLE('',(#2362)); #1868=SURFACE_SIDE_STYLE('',(#2363)); #1869=SURFACE_SIDE_STYLE('',(#2364)); #1870=SURFACE_SIDE_STYLE('',(#2365)); #1871=SURFACE_SIDE_STYLE('',(#2366)); #1872=SURFACE_SIDE_STYLE('',(#2367)); #1873=SURFACE_SIDE_STYLE('',(#2368)); #1874=SURFACE_SIDE_STYLE('',(#2369)); #1875=SURFACE_SIDE_STYLE('',(#2370)); #1876=SURFACE_SIDE_STYLE('',(#2371)); #1877=SURFACE_SIDE_STYLE('',(#2372)); #1878=SURFACE_SIDE_STYLE('',(#2373)); #1879=SURFACE_SIDE_STYLE('',(#2374)); #1880=SURFACE_SIDE_STYLE('',(#2375)); #1881=SURFACE_SIDE_STYLE('',(#2376)); #1882=SURFACE_SIDE_STYLE('',(#2377)); #1883=SURFACE_SIDE_STYLE('',(#2378)); #1884=SURFACE_SIDE_STYLE('',(#2379)); #1885=SURFACE_SIDE_STYLE('',(#2380)); #1886=SURFACE_SIDE_STYLE('',(#2381)); #1887=SURFACE_SIDE_STYLE('',(#2382)); #1888=SURFACE_SIDE_STYLE('',(#2383)); #1889=SURFACE_SIDE_STYLE('',(#2384)); #1890=SURFACE_SIDE_STYLE('',(#2385)); #1891=SURFACE_SIDE_STYLE('',(#2386)); #1892=SURFACE_SIDE_STYLE('',(#2387)); #1893=SURFACE_SIDE_STYLE('',(#2388)); #1894=SURFACE_SIDE_STYLE('',(#2389)); #1895=SURFACE_SIDE_STYLE('',(#2390)); #1896=SURFACE_SIDE_STYLE('',(#2391)); #1897=SURFACE_SIDE_STYLE('',(#2392)); #1898=SURFACE_SIDE_STYLE('',(#2393)); #1899=SURFACE_SIDE_STYLE('',(#2394)); #1900=SURFACE_SIDE_STYLE('',(#2395)); #1901=SURFACE_SIDE_STYLE('',(#2396)); #1902=SURFACE_SIDE_STYLE('',(#2397)); #1903=SURFACE_SIDE_STYLE('',(#2398)); #1904=SURFACE_SIDE_STYLE('',(#2399)); #1905=SURFACE_SIDE_STYLE('',(#2400)); #1906=SURFACE_SIDE_STYLE('',(#2401)); #1907=SURFACE_SIDE_STYLE('',(#2402)); #1908=SURFACE_SIDE_STYLE('',(#2403)); #1909=SURFACE_SIDE_STYLE('',(#2404)); #1910=SURFACE_SIDE_STYLE('',(#2405)); #1911=SURFACE_SIDE_STYLE('',(#2406)); #1912=SURFACE_SIDE_STYLE('',(#2407)); #1913=SURFACE_SIDE_STYLE('',(#2408)); #1914=SURFACE_SIDE_STYLE('',(#2409)); #1915=SURFACE_SIDE_STYLE('',(#2410)); #1916=SURFACE_SIDE_STYLE('',(#2411)); #1917=SURFACE_SIDE_STYLE('',(#2412)); #1918=SURFACE_SIDE_STYLE('',(#2413)); #1919=SURFACE_SIDE_STYLE('',(#2414)); #1920=SURFACE_SIDE_STYLE('',(#2415)); #1921=SURFACE_SIDE_STYLE('',(#2416)); #1922=SURFACE_SIDE_STYLE('',(#2417)); #1923=SURFACE_SIDE_STYLE('',(#2418)); #1924=SURFACE_SIDE_STYLE('',(#2419)); #1925=SURFACE_SIDE_STYLE('',(#2420)); #1926=SURFACE_SIDE_STYLE('',(#2421)); #1927=SURFACE_SIDE_STYLE('',(#2422)); #1928=SURFACE_SIDE_STYLE('',(#2423)); #1929=SURFACE_SIDE_STYLE('',(#2424)); #1930=SURFACE_SIDE_STYLE('',(#2425)); #1931=SURFACE_SIDE_STYLE('',(#2426)); #1932=SURFACE_SIDE_STYLE('',(#2427)); #1933=SURFACE_SIDE_STYLE('',(#2428)); #1934=SURFACE_SIDE_STYLE('',(#2429)); #1935=SURFACE_SIDE_STYLE('',(#2430)); #1936=SURFACE_SIDE_STYLE('',(#2431)); #1937=SURFACE_SIDE_STYLE('',(#2432)); #1938=SURFACE_SIDE_STYLE('',(#2433)); #1939=SURFACE_SIDE_STYLE('',(#2434)); #1940=SURFACE_SIDE_STYLE('',(#2435)); #1941=SURFACE_SIDE_STYLE('',(#2436)); #1942=SURFACE_SIDE_STYLE('',(#2437)); #1943=SURFACE_SIDE_STYLE('',(#2438)); #1944=SURFACE_SIDE_STYLE('',(#2439)); #1945=SURFACE_SIDE_STYLE('',(#2440)); #1946=SURFACE_SIDE_STYLE('',(#2441)); #1947=SURFACE_SIDE_STYLE('',(#2442)); #1948=SURFACE_SIDE_STYLE('',(#2443)); #1949=SURFACE_SIDE_STYLE('',(#2444)); #1950=SURFACE_SIDE_STYLE('',(#2445)); #1951=SURFACE_SIDE_STYLE('',(#2446)); #1952=SURFACE_SIDE_STYLE('',(#2447)); #1953=SURFACE_SIDE_STYLE('',(#2448)); #1954=SURFACE_SIDE_STYLE('',(#2449)); #1955=SURFACE_SIDE_STYLE('',(#2450)); #1956=SURFACE_SIDE_STYLE('',(#2451)); #1957=SURFACE_SIDE_STYLE('',(#2452)); #1958=SURFACE_SIDE_STYLE('',(#2453)); #1959=SURFACE_SIDE_STYLE('',(#2454)); #1960=SURFACE_SIDE_STYLE('',(#2455)); #1961=SURFACE_SIDE_STYLE('',(#2456)); #1962=SURFACE_SIDE_STYLE('',(#2457)); #1963=SURFACE_SIDE_STYLE('',(#2458)); #1964=SURFACE_SIDE_STYLE('',(#2459)); #1965=SURFACE_SIDE_STYLE('',(#2460)); #1966=SURFACE_SIDE_STYLE('',(#2461)); #1967=SURFACE_SIDE_STYLE('',(#2462)); #1968=SURFACE_SIDE_STYLE('',(#2463)); #1969=SURFACE_SIDE_STYLE('',(#2464)); #1970=SURFACE_SIDE_STYLE('',(#2465)); #1971=SURFACE_SIDE_STYLE('',(#2466)); #1972=SURFACE_SIDE_STYLE('',(#2467)); #1973=SURFACE_SIDE_STYLE('',(#2468)); #1974=SURFACE_SIDE_STYLE('',(#2469)); #1975=SURFACE_SIDE_STYLE('',(#2470)); #1976=SURFACE_SIDE_STYLE('',(#2471)); #1977=SURFACE_SIDE_STYLE('',(#2472)); #1978=SURFACE_SIDE_STYLE('',(#2473)); #1979=SURFACE_SIDE_STYLE('',(#2474)); #1980=SURFACE_SIDE_STYLE('',(#2475)); #1981=SURFACE_SIDE_STYLE('',(#2476)); #1982=SURFACE_SIDE_STYLE('',(#2477)); #1983=SURFACE_SIDE_STYLE('',(#2478)); #1984=SURFACE_SIDE_STYLE('',(#2479)); #1985=SURFACE_SIDE_STYLE('',(#2480)); #1986=SURFACE_SIDE_STYLE('',(#2481)); #1987=SURFACE_SIDE_STYLE('',(#2482)); #1988=SURFACE_SIDE_STYLE('',(#2483)); #1989=SURFACE_SIDE_STYLE('',(#2484)); #1990=SURFACE_SIDE_STYLE('',(#2485)); #1991=SURFACE_SIDE_STYLE('',(#2486)); #1992=SURFACE_SIDE_STYLE('',(#2487)); #1993=SURFACE_SIDE_STYLE('',(#2488)); #1994=SURFACE_SIDE_STYLE('',(#2489)); #1995=SURFACE_SIDE_STYLE('',(#2490)); #1996=SURFACE_SIDE_STYLE('',(#2491)); #1997=SURFACE_SIDE_STYLE('',(#2492)); #1998=SURFACE_SIDE_STYLE('',(#2493)); #1999=SURFACE_SIDE_STYLE('',(#2494)); #2000=SURFACE_SIDE_STYLE('',(#2495)); #2001=SURFACE_SIDE_STYLE('',(#2496)); #2002=SURFACE_SIDE_STYLE('',(#2497)); #2003=SURFACE_SIDE_STYLE('',(#2498)); #2004=SURFACE_SIDE_STYLE('',(#2499)); #2005=SURFACE_SIDE_STYLE('',(#2500)); #2006=SURFACE_SIDE_STYLE('',(#2501)); #2007=SURFACE_SIDE_STYLE('',(#2502)); #2008=SURFACE_SIDE_STYLE('',(#2503)); #2009=SURFACE_SIDE_STYLE('',(#2504)); #2010=SURFACE_SIDE_STYLE('',(#2505)); #2011=SURFACE_SIDE_STYLE('',(#2506)); #2012=SURFACE_SIDE_STYLE('',(#2507)); #2013=SURFACE_STYLE_FILL_AREA(#2508); #2014=SURFACE_STYLE_FILL_AREA(#2509); #2015=SURFACE_STYLE_FILL_AREA(#2510); #2016=SURFACE_STYLE_FILL_AREA(#2511); #2017=SURFACE_STYLE_FILL_AREA(#2512); #2018=SURFACE_STYLE_FILL_AREA(#2513); #2019=SURFACE_STYLE_FILL_AREA(#2514); #2020=SURFACE_STYLE_FILL_AREA(#2515); #2021=SURFACE_STYLE_FILL_AREA(#2516); #2022=SURFACE_STYLE_FILL_AREA(#2517); #2023=SURFACE_STYLE_FILL_AREA(#2518); #2024=SURFACE_STYLE_FILL_AREA(#2519); #2025=SURFACE_STYLE_FILL_AREA(#2520); #2026=SURFACE_STYLE_FILL_AREA(#2521); #2027=SURFACE_STYLE_FILL_AREA(#2522); #2028=SURFACE_STYLE_FILL_AREA(#2523); #2029=SURFACE_STYLE_FILL_AREA(#2524); #2030=SURFACE_STYLE_FILL_AREA(#2525); #2031=SURFACE_STYLE_FILL_AREA(#2526); #2032=SURFACE_STYLE_FILL_AREA(#2527); #2033=SURFACE_STYLE_FILL_AREA(#2528); #2034=SURFACE_STYLE_FILL_AREA(#2529); #2035=SURFACE_STYLE_FILL_AREA(#2530); #2036=SURFACE_STYLE_FILL_AREA(#2531); #2037=SURFACE_STYLE_FILL_AREA(#2532); #2038=SURFACE_STYLE_FILL_AREA(#2533); #2039=SURFACE_STYLE_FILL_AREA(#2534); #2040=SURFACE_STYLE_FILL_AREA(#2535); #2041=SURFACE_STYLE_FILL_AREA(#2536); #2042=SURFACE_STYLE_FILL_AREA(#2537); #2043=SURFACE_STYLE_FILL_AREA(#2538); #2044=SURFACE_STYLE_FILL_AREA(#2539); #2045=SURFACE_STYLE_FILL_AREA(#2540); #2046=SURFACE_STYLE_FILL_AREA(#2541); #2047=SURFACE_STYLE_FILL_AREA(#2542); #2048=SURFACE_STYLE_FILL_AREA(#2543); #2049=SURFACE_STYLE_FILL_AREA(#2544); #2050=SURFACE_STYLE_FILL_AREA(#2545); #2051=SURFACE_STYLE_FILL_AREA(#2546); #2052=SURFACE_STYLE_FILL_AREA(#2547); #2053=SURFACE_STYLE_FILL_AREA(#2548); #2054=SURFACE_STYLE_FILL_AREA(#2549); #2055=SURFACE_STYLE_FILL_AREA(#2550); #2056=SURFACE_STYLE_FILL_AREA(#2551); #2057=SURFACE_STYLE_FILL_AREA(#2552); #2058=SURFACE_STYLE_FILL_AREA(#2553); #2059=SURFACE_STYLE_FILL_AREA(#2554); #2060=SURFACE_STYLE_FILL_AREA(#2555); #2061=SURFACE_STYLE_FILL_AREA(#2556); #2062=SURFACE_STYLE_FILL_AREA(#2557); #2063=SURFACE_STYLE_FILL_AREA(#2558); #2064=SURFACE_STYLE_FILL_AREA(#2559); #2065=SURFACE_STYLE_FILL_AREA(#2560); #2066=SURFACE_STYLE_FILL_AREA(#2561); #2067=SURFACE_STYLE_FILL_AREA(#2562); #2068=SURFACE_STYLE_FILL_AREA(#2563); #2069=SURFACE_STYLE_FILL_AREA(#2564); #2070=SURFACE_STYLE_FILL_AREA(#2565); #2071=SURFACE_STYLE_FILL_AREA(#2566); #2072=SURFACE_STYLE_FILL_AREA(#2567); #2073=SURFACE_STYLE_FILL_AREA(#2568); #2074=SURFACE_STYLE_FILL_AREA(#2569); #2075=SURFACE_STYLE_FILL_AREA(#2570); #2076=SURFACE_STYLE_FILL_AREA(#2571); #2077=SURFACE_STYLE_FILL_AREA(#2572); #2078=SURFACE_STYLE_FILL_AREA(#2573); #2079=SURFACE_STYLE_FILL_AREA(#2574); #2080=SURFACE_STYLE_FILL_AREA(#2575); #2081=SURFACE_STYLE_FILL_AREA(#2576); #2082=SURFACE_STYLE_FILL_AREA(#2577); #2083=SURFACE_STYLE_FILL_AREA(#2578); #2084=SURFACE_STYLE_FILL_AREA(#2579); #2085=SURFACE_STYLE_FILL_AREA(#2580); #2086=SURFACE_STYLE_FILL_AREA(#2581); #2087=SURFACE_STYLE_FILL_AREA(#2582); #2088=SURFACE_STYLE_FILL_AREA(#2583); #2089=SURFACE_STYLE_FILL_AREA(#2584); #2090=SURFACE_STYLE_FILL_AREA(#2585); #2091=SURFACE_STYLE_FILL_AREA(#2586); #2092=SURFACE_STYLE_FILL_AREA(#2587); #2093=SURFACE_STYLE_FILL_AREA(#2588); #2094=SURFACE_STYLE_FILL_AREA(#2589); #2095=SURFACE_STYLE_FILL_AREA(#2590); #2096=SURFACE_STYLE_FILL_AREA(#2591); #2097=SURFACE_STYLE_FILL_AREA(#2592); #2098=SURFACE_STYLE_FILL_AREA(#2593); #2099=SURFACE_STYLE_FILL_AREA(#2594); #2100=SURFACE_STYLE_FILL_AREA(#2595); #2101=SURFACE_STYLE_FILL_AREA(#2596); #2102=SURFACE_STYLE_FILL_AREA(#2597); #2103=SURFACE_STYLE_FILL_AREA(#2598); #2104=SURFACE_STYLE_FILL_AREA(#2599); #2105=SURFACE_STYLE_FILL_AREA(#2600); #2106=SURFACE_STYLE_FILL_AREA(#2601); #2107=SURFACE_STYLE_FILL_AREA(#2602); #2108=SURFACE_STYLE_FILL_AREA(#2603); #2109=SURFACE_STYLE_FILL_AREA(#2604); #2110=SURFACE_STYLE_FILL_AREA(#2605); #2111=SURFACE_STYLE_FILL_AREA(#2606); #2112=SURFACE_STYLE_FILL_AREA(#2607); #2113=SURFACE_STYLE_FILL_AREA(#2608); #2114=SURFACE_STYLE_FILL_AREA(#2609); #2115=SURFACE_STYLE_FILL_AREA(#2610); #2116=SURFACE_STYLE_FILL_AREA(#2611); #2117=SURFACE_STYLE_FILL_AREA(#2612); #2118=SURFACE_STYLE_FILL_AREA(#2613); #2119=SURFACE_STYLE_FILL_AREA(#2614); #2120=SURFACE_STYLE_FILL_AREA(#2615); #2121=SURFACE_STYLE_FILL_AREA(#2616); #2122=SURFACE_STYLE_FILL_AREA(#2617); #2123=SURFACE_STYLE_FILL_AREA(#2618); #2124=SURFACE_STYLE_FILL_AREA(#2619); #2125=SURFACE_STYLE_FILL_AREA(#2620); #2126=SURFACE_STYLE_FILL_AREA(#2621); #2127=SURFACE_STYLE_FILL_AREA(#2622); #2128=SURFACE_STYLE_FILL_AREA(#2623); #2129=SURFACE_STYLE_FILL_AREA(#2624); #2130=SURFACE_STYLE_FILL_AREA(#2625); #2131=SURFACE_STYLE_FILL_AREA(#2626); #2132=SURFACE_STYLE_FILL_AREA(#2627); #2133=SURFACE_STYLE_FILL_AREA(#2628); #2134=SURFACE_STYLE_FILL_AREA(#2629); #2135=SURFACE_STYLE_FILL_AREA(#2630); #2136=SURFACE_STYLE_FILL_AREA(#2631); #2137=SURFACE_STYLE_FILL_AREA(#2632); #2138=SURFACE_STYLE_FILL_AREA(#2633); #2139=SURFACE_STYLE_FILL_AREA(#2634); #2140=SURFACE_STYLE_FILL_AREA(#2635); #2141=SURFACE_STYLE_FILL_AREA(#2636); #2142=SURFACE_STYLE_FILL_AREA(#2637); #2143=SURFACE_STYLE_FILL_AREA(#2638); #2144=SURFACE_STYLE_FILL_AREA(#2639); #2145=SURFACE_STYLE_FILL_AREA(#2640); #2146=SURFACE_STYLE_FILL_AREA(#2641); #2147=SURFACE_STYLE_FILL_AREA(#2642); #2148=SURFACE_STYLE_FILL_AREA(#2643); #2149=SURFACE_STYLE_FILL_AREA(#2644); #2150=SURFACE_STYLE_FILL_AREA(#2645); #2151=SURFACE_STYLE_FILL_AREA(#2646); #2152=SURFACE_STYLE_FILL_AREA(#2647); #2153=SURFACE_STYLE_FILL_AREA(#2648); #2154=SURFACE_STYLE_FILL_AREA(#2649); #2155=SURFACE_STYLE_FILL_AREA(#2650); #2156=SURFACE_STYLE_FILL_AREA(#2651); #2157=SURFACE_STYLE_FILL_AREA(#2652); #2158=SURFACE_STYLE_FILL_AREA(#2653); #2159=SURFACE_STYLE_FILL_AREA(#2654); #2160=SURFACE_STYLE_FILL_AREA(#2655); #2161=SURFACE_STYLE_FILL_AREA(#2656); #2162=SURFACE_STYLE_FILL_AREA(#2657); #2163=SURFACE_STYLE_FILL_AREA(#2658); #2164=SURFACE_STYLE_FILL_AREA(#2659); #2165=SURFACE_STYLE_FILL_AREA(#2660); #2166=SURFACE_STYLE_FILL_AREA(#2661); #2167=SURFACE_STYLE_FILL_AREA(#2662); #2168=SURFACE_STYLE_FILL_AREA(#2663); #2169=SURFACE_STYLE_FILL_AREA(#2664); #2170=SURFACE_STYLE_FILL_AREA(#2665); #2171=SURFACE_STYLE_FILL_AREA(#2666); #2172=SURFACE_STYLE_FILL_AREA(#2667); #2173=SURFACE_STYLE_FILL_AREA(#2668); #2174=SURFACE_STYLE_FILL_AREA(#2669); #2175=SURFACE_STYLE_FILL_AREA(#2670); #2176=SURFACE_STYLE_FILL_AREA(#2671); #2177=SURFACE_STYLE_FILL_AREA(#2672); #2178=SURFACE_STYLE_FILL_AREA(#2673); #2179=SURFACE_STYLE_FILL_AREA(#2674); #2180=SURFACE_STYLE_FILL_AREA(#2675); #2181=SURFACE_STYLE_FILL_AREA(#2676); #2182=SURFACE_STYLE_FILL_AREA(#2677); #2183=SURFACE_STYLE_FILL_AREA(#2678); #2184=SURFACE_STYLE_FILL_AREA(#2679); #2185=SURFACE_STYLE_FILL_AREA(#2680); #2186=SURFACE_STYLE_FILL_AREA(#2681); #2187=SURFACE_STYLE_FILL_AREA(#2682); #2188=SURFACE_STYLE_FILL_AREA(#2683); #2189=SURFACE_STYLE_FILL_AREA(#2684); #2190=SURFACE_STYLE_FILL_AREA(#2685); #2191=SURFACE_STYLE_FILL_AREA(#2686); #2192=SURFACE_STYLE_FILL_AREA(#2687); #2193=SURFACE_STYLE_FILL_AREA(#2688); #2194=SURFACE_STYLE_FILL_AREA(#2689); #2195=SURFACE_STYLE_FILL_AREA(#2690); #2196=SURFACE_STYLE_FILL_AREA(#2691); #2197=SURFACE_STYLE_FILL_AREA(#2692); #2198=SURFACE_STYLE_FILL_AREA(#2693); #2199=SURFACE_STYLE_FILL_AREA(#2694); #2200=SURFACE_STYLE_FILL_AREA(#2695); #2201=SURFACE_STYLE_FILL_AREA(#2696); #2202=SURFACE_STYLE_FILL_AREA(#2697); #2203=SURFACE_STYLE_FILL_AREA(#2698); #2204=SURFACE_STYLE_FILL_AREA(#2699); #2205=SURFACE_STYLE_FILL_AREA(#2700); #2206=SURFACE_STYLE_FILL_AREA(#2701); #2207=SURFACE_STYLE_FILL_AREA(#2702); #2208=SURFACE_STYLE_FILL_AREA(#2703); #2209=SURFACE_STYLE_FILL_AREA(#2704); #2210=SURFACE_STYLE_FILL_AREA(#2705); #2211=SURFACE_STYLE_FILL_AREA(#2706); #2212=SURFACE_STYLE_FILL_AREA(#2707); #2213=SURFACE_STYLE_FILL_AREA(#2708); #2214=SURFACE_STYLE_FILL_AREA(#2709); #2215=SURFACE_STYLE_FILL_AREA(#2710); #2216=SURFACE_STYLE_FILL_AREA(#2711); #2217=SURFACE_STYLE_FILL_AREA(#2712); #2218=SURFACE_STYLE_FILL_AREA(#2713); #2219=SURFACE_STYLE_FILL_AREA(#2714); #2220=SURFACE_STYLE_FILL_AREA(#2715); #2221=SURFACE_STYLE_FILL_AREA(#2716); #2222=SURFACE_STYLE_FILL_AREA(#2717); #2223=SURFACE_STYLE_FILL_AREA(#2718); #2224=SURFACE_STYLE_FILL_AREA(#2719); #2225=SURFACE_STYLE_FILL_AREA(#2720); #2226=SURFACE_STYLE_FILL_AREA(#2721); #2227=SURFACE_STYLE_FILL_AREA(#2722); #2228=SURFACE_STYLE_FILL_AREA(#2723); #2229=SURFACE_STYLE_FILL_AREA(#2724); #2230=SURFACE_STYLE_FILL_AREA(#2725); #2231=SURFACE_STYLE_FILL_AREA(#2726); #2232=SURFACE_STYLE_FILL_AREA(#2727); #2233=SURFACE_STYLE_FILL_AREA(#2728); #2234=SURFACE_STYLE_FILL_AREA(#2729); #2235=SURFACE_STYLE_FILL_AREA(#2730); #2236=SURFACE_STYLE_FILL_AREA(#2731); #2237=SURFACE_STYLE_FILL_AREA(#2732); #2238=SURFACE_STYLE_FILL_AREA(#2733); #2239=SURFACE_STYLE_FILL_AREA(#2734); #2240=SURFACE_STYLE_FILL_AREA(#2735); #2241=SURFACE_STYLE_FILL_AREA(#2736); #2242=SURFACE_STYLE_FILL_AREA(#2737); #2243=SURFACE_STYLE_FILL_AREA(#2738); #2244=SURFACE_STYLE_FILL_AREA(#2739); #2245=SURFACE_STYLE_FILL_AREA(#2740); #2246=SURFACE_STYLE_FILL_AREA(#2741); #2247=SURFACE_STYLE_FILL_AREA(#2742); #2248=SURFACE_STYLE_FILL_AREA(#2743); #2249=SURFACE_STYLE_FILL_AREA(#2744); #2250=SURFACE_STYLE_FILL_AREA(#2745); #2251=SURFACE_STYLE_FILL_AREA(#2746); #2252=SURFACE_STYLE_FILL_AREA(#2747); #2253=SURFACE_STYLE_FILL_AREA(#2748); #2254=SURFACE_STYLE_FILL_AREA(#2749); #2255=SURFACE_STYLE_FILL_AREA(#2750); #2256=SURFACE_STYLE_FILL_AREA(#2751); #2257=SURFACE_STYLE_FILL_AREA(#2752); #2258=SURFACE_STYLE_FILL_AREA(#2753); #2259=SURFACE_STYLE_FILL_AREA(#2754); #2260=SURFACE_STYLE_FILL_AREA(#2755); #2261=SURFACE_STYLE_FILL_AREA(#2756); #2262=SURFACE_STYLE_FILL_AREA(#2757); #2263=SURFACE_STYLE_FILL_AREA(#2758); #2264=SURFACE_STYLE_FILL_AREA(#2759); #2265=SURFACE_STYLE_FILL_AREA(#2760); #2266=SURFACE_STYLE_FILL_AREA(#2761); #2267=SURFACE_STYLE_FILL_AREA(#2762); #2268=SURFACE_STYLE_FILL_AREA(#2763); #2269=SURFACE_STYLE_FILL_AREA(#2764); #2270=SURFACE_STYLE_FILL_AREA(#2765); #2271=SURFACE_STYLE_FILL_AREA(#2766); #2272=SURFACE_STYLE_FILL_AREA(#2767); #2273=SURFACE_STYLE_FILL_AREA(#2768); #2274=SURFACE_STYLE_FILL_AREA(#2769); #2275=SURFACE_STYLE_FILL_AREA(#2770); #2276=SURFACE_STYLE_FILL_AREA(#2771); #2277=SURFACE_STYLE_FILL_AREA(#2772); #2278=SURFACE_STYLE_FILL_AREA(#2773); #2279=SURFACE_STYLE_FILL_AREA(#2774); #2280=SURFACE_STYLE_FILL_AREA(#2775); #2281=SURFACE_STYLE_FILL_AREA(#2776); #2282=SURFACE_STYLE_FILL_AREA(#2777); #2283=SURFACE_STYLE_FILL_AREA(#2778); #2284=SURFACE_STYLE_FILL_AREA(#2779); #2285=SURFACE_STYLE_FILL_AREA(#2780); #2286=SURFACE_STYLE_FILL_AREA(#2781); #2287=SURFACE_STYLE_FILL_AREA(#2782); #2288=SURFACE_STYLE_FILL_AREA(#2783); #2289=SURFACE_STYLE_FILL_AREA(#2784); #2290=SURFACE_STYLE_FILL_AREA(#2785); #2291=SURFACE_STYLE_FILL_AREA(#2786); #2292=SURFACE_STYLE_FILL_AREA(#2787); #2293=SURFACE_STYLE_FILL_AREA(#2788); #2294=SURFACE_STYLE_FILL_AREA(#2789); #2295=SURFACE_STYLE_FILL_AREA(#2790); #2296=SURFACE_STYLE_FILL_AREA(#2791); #2297=SURFACE_STYLE_FILL_AREA(#2792); #2298=SURFACE_STYLE_FILL_AREA(#2793); #2299=SURFACE_STYLE_FILL_AREA(#2794); #2300=SURFACE_STYLE_FILL_AREA(#2795); #2301=SURFACE_STYLE_FILL_AREA(#2796); #2302=SURFACE_STYLE_FILL_AREA(#2797); #2303=SURFACE_STYLE_FILL_AREA(#2798); #2304=SURFACE_STYLE_FILL_AREA(#2799); #2305=SURFACE_STYLE_FILL_AREA(#2800); #2306=SURFACE_STYLE_FILL_AREA(#2801); #2307=SURFACE_STYLE_FILL_AREA(#2802); #2308=SURFACE_STYLE_FILL_AREA(#2803); #2309=SURFACE_STYLE_FILL_AREA(#2804); #2310=SURFACE_STYLE_FILL_AREA(#2805); #2311=SURFACE_STYLE_FILL_AREA(#2806); #2312=SURFACE_STYLE_FILL_AREA(#2807); #2313=SURFACE_STYLE_FILL_AREA(#2808); #2314=SURFACE_STYLE_FILL_AREA(#2809); #2315=SURFACE_STYLE_FILL_AREA(#2810); #2316=SURFACE_STYLE_FILL_AREA(#2811); #2317=SURFACE_STYLE_FILL_AREA(#2812); #2318=SURFACE_STYLE_FILL_AREA(#2813); #2319=SURFACE_STYLE_FILL_AREA(#2814); #2320=SURFACE_STYLE_FILL_AREA(#2815); #2321=SURFACE_STYLE_FILL_AREA(#2816); #2322=SURFACE_STYLE_FILL_AREA(#2817); #2323=SURFACE_STYLE_FILL_AREA(#2818); #2324=SURFACE_STYLE_FILL_AREA(#2819); #2325=SURFACE_STYLE_FILL_AREA(#2820); #2326=SURFACE_STYLE_FILL_AREA(#2821); #2327=SURFACE_STYLE_FILL_AREA(#2822); #2328=SURFACE_STYLE_FILL_AREA(#2823); #2329=SURFACE_STYLE_FILL_AREA(#2824); #2330=SURFACE_STYLE_FILL_AREA(#2825); #2331=SURFACE_STYLE_FILL_AREA(#2826); #2332=SURFACE_STYLE_FILL_AREA(#2827); #2333=SURFACE_STYLE_FILL_AREA(#2828); #2334=SURFACE_STYLE_FILL_AREA(#2829); #2335=SURFACE_STYLE_FILL_AREA(#2830); #2336=SURFACE_STYLE_FILL_AREA(#2831); #2337=SURFACE_STYLE_FILL_AREA(#2832); #2338=SURFACE_STYLE_FILL_AREA(#2833); #2339=SURFACE_STYLE_FILL_AREA(#2834); #2340=SURFACE_STYLE_FILL_AREA(#2835); #2341=SURFACE_STYLE_FILL_AREA(#2836); #2342=SURFACE_STYLE_FILL_AREA(#2837); #2343=SURFACE_STYLE_FILL_AREA(#2838); #2344=SURFACE_STYLE_FILL_AREA(#2839); #2345=SURFACE_STYLE_FILL_AREA(#2840); #2346=SURFACE_STYLE_FILL_AREA(#2841); #2347=SURFACE_STYLE_FILL_AREA(#2842); #2348=SURFACE_STYLE_FILL_AREA(#2843); #2349=SURFACE_STYLE_FILL_AREA(#2844); #2350=SURFACE_STYLE_FILL_AREA(#2845); #2351=SURFACE_STYLE_FILL_AREA(#2846); #2352=SURFACE_STYLE_FILL_AREA(#2847); #2353=SURFACE_STYLE_FILL_AREA(#2848); #2354=SURFACE_STYLE_FILL_AREA(#2849); #2355=SURFACE_STYLE_FILL_AREA(#2850); #2356=SURFACE_STYLE_FILL_AREA(#2851); #2357=SURFACE_STYLE_FILL_AREA(#2852); #2358=SURFACE_STYLE_FILL_AREA(#2853); #2359=SURFACE_STYLE_FILL_AREA(#2854); #2360=SURFACE_STYLE_FILL_AREA(#2855); #2361=SURFACE_STYLE_FILL_AREA(#2856); #2362=SURFACE_STYLE_FILL_AREA(#2857); #2363=SURFACE_STYLE_FILL_AREA(#2858); #2364=SURFACE_STYLE_FILL_AREA(#2859); #2365=SURFACE_STYLE_FILL_AREA(#2860); #2366=SURFACE_STYLE_FILL_AREA(#2861); #2367=SURFACE_STYLE_FILL_AREA(#2862); #2368=SURFACE_STYLE_FILL_AREA(#2863); #2369=SURFACE_STYLE_FILL_AREA(#2864); #2370=SURFACE_STYLE_FILL_AREA(#2865); #2371=SURFACE_STYLE_FILL_AREA(#2866); #2372=SURFACE_STYLE_FILL_AREA(#2867); #2373=SURFACE_STYLE_FILL_AREA(#2868); #2374=SURFACE_STYLE_FILL_AREA(#2869); #2375=SURFACE_STYLE_FILL_AREA(#2870); #2376=SURFACE_STYLE_FILL_AREA(#2871); #2377=SURFACE_STYLE_FILL_AREA(#2872); #2378=SURFACE_STYLE_FILL_AREA(#2873); #2379=SURFACE_STYLE_FILL_AREA(#2874); #2380=SURFACE_STYLE_FILL_AREA(#2875); #2381=SURFACE_STYLE_FILL_AREA(#2876); #2382=SURFACE_STYLE_FILL_AREA(#2877); #2383=SURFACE_STYLE_FILL_AREA(#2878); #2384=SURFACE_STYLE_FILL_AREA(#2879); #2385=SURFACE_STYLE_FILL_AREA(#2880); #2386=SURFACE_STYLE_FILL_AREA(#2881); #2387=SURFACE_STYLE_FILL_AREA(#2882); #2388=SURFACE_STYLE_FILL_AREA(#2883); #2389=SURFACE_STYLE_FILL_AREA(#2884); #2390=SURFACE_STYLE_FILL_AREA(#2885); #2391=SURFACE_STYLE_FILL_AREA(#2886); #2392=SURFACE_STYLE_FILL_AREA(#2887); #2393=SURFACE_STYLE_FILL_AREA(#2888); #2394=SURFACE_STYLE_FILL_AREA(#2889); #2395=SURFACE_STYLE_FILL_AREA(#2890); #2396=SURFACE_STYLE_FILL_AREA(#2891); #2397=SURFACE_STYLE_FILL_AREA(#2892); #2398=SURFACE_STYLE_FILL_AREA(#2893); #2399=SURFACE_STYLE_FILL_AREA(#2894); #2400=SURFACE_STYLE_FILL_AREA(#2895); #2401=SURFACE_STYLE_FILL_AREA(#2896); #2402=SURFACE_STYLE_FILL_AREA(#2897); #2403=SURFACE_STYLE_FILL_AREA(#2898); #2404=SURFACE_STYLE_FILL_AREA(#2899); #2405=SURFACE_STYLE_FILL_AREA(#2900); #2406=SURFACE_STYLE_FILL_AREA(#2901); #2407=SURFACE_STYLE_FILL_AREA(#2902); #2408=SURFACE_STYLE_FILL_AREA(#2903); #2409=SURFACE_STYLE_FILL_AREA(#2904); #2410=SURFACE_STYLE_FILL_AREA(#2905); #2411=SURFACE_STYLE_FILL_AREA(#2906); #2412=SURFACE_STYLE_FILL_AREA(#2907); #2413=SURFACE_STYLE_FILL_AREA(#2908); #2414=SURFACE_STYLE_FILL_AREA(#2909); #2415=SURFACE_STYLE_FILL_AREA(#2910); #2416=SURFACE_STYLE_FILL_AREA(#2911); #2417=SURFACE_STYLE_FILL_AREA(#2912); #2418=SURFACE_STYLE_FILL_AREA(#2913); #2419=SURFACE_STYLE_FILL_AREA(#2914); #2420=SURFACE_STYLE_FILL_AREA(#2915); #2421=SURFACE_STYLE_FILL_AREA(#2916); #2422=SURFACE_STYLE_FILL_AREA(#2917); #2423=SURFACE_STYLE_FILL_AREA(#2918); #2424=SURFACE_STYLE_FILL_AREA(#2919); #2425=SURFACE_STYLE_FILL_AREA(#2920); #2426=SURFACE_STYLE_FILL_AREA(#2921); #2427=SURFACE_STYLE_FILL_AREA(#2922); #2428=SURFACE_STYLE_FILL_AREA(#2923); #2429=SURFACE_STYLE_FILL_AREA(#2924); #2430=SURFACE_STYLE_FILL_AREA(#2925); #2431=SURFACE_STYLE_FILL_AREA(#2926); #2432=SURFACE_STYLE_FILL_AREA(#2927); #2433=SURFACE_STYLE_FILL_AREA(#2928); #2434=SURFACE_STYLE_FILL_AREA(#2929); #2435=SURFACE_STYLE_FILL_AREA(#2930); #2436=SURFACE_STYLE_FILL_AREA(#2931); #2437=SURFACE_STYLE_FILL_AREA(#2932); #2438=SURFACE_STYLE_FILL_AREA(#2933); #2439=SURFACE_STYLE_FILL_AREA(#2934); #2440=SURFACE_STYLE_FILL_AREA(#2935); #2441=SURFACE_STYLE_FILL_AREA(#2936); #2442=SURFACE_STYLE_FILL_AREA(#2937); #2443=SURFACE_STYLE_FILL_AREA(#2938); #2444=SURFACE_STYLE_FILL_AREA(#2939); #2445=SURFACE_STYLE_FILL_AREA(#2940); #2446=SURFACE_STYLE_FILL_AREA(#2941); #2447=SURFACE_STYLE_FILL_AREA(#2942); #2448=SURFACE_STYLE_FILL_AREA(#2943); #2449=SURFACE_STYLE_FILL_AREA(#2944); #2450=SURFACE_STYLE_FILL_AREA(#2945); #2451=SURFACE_STYLE_FILL_AREA(#2946); #2452=SURFACE_STYLE_FILL_AREA(#2947); #2453=SURFACE_STYLE_FILL_AREA(#2948); #2454=SURFACE_STYLE_FILL_AREA(#2949); #2455=SURFACE_STYLE_FILL_AREA(#2950); #2456=SURFACE_STYLE_FILL_AREA(#2951); #2457=SURFACE_STYLE_FILL_AREA(#2952); #2458=SURFACE_STYLE_FILL_AREA(#2953); #2459=SURFACE_STYLE_FILL_AREA(#2954); #2460=SURFACE_STYLE_FILL_AREA(#2955); #2461=SURFACE_STYLE_FILL_AREA(#2956); #2462=SURFACE_STYLE_FILL_AREA(#2957); #2463=SURFACE_STYLE_FILL_AREA(#2958); #2464=SURFACE_STYLE_FILL_AREA(#2959); #2465=SURFACE_STYLE_FILL_AREA(#2960); #2466=SURFACE_STYLE_FILL_AREA(#2961); #2467=SURFACE_STYLE_FILL_AREA(#2962); #2468=SURFACE_STYLE_FILL_AREA(#2963); #2469=SURFACE_STYLE_FILL_AREA(#2964); #2470=SURFACE_STYLE_FILL_AREA(#2965); #2471=SURFACE_STYLE_FILL_AREA(#2966); #2472=SURFACE_STYLE_FILL_AREA(#2967); #2473=SURFACE_STYLE_FILL_AREA(#2968); #2474=SURFACE_STYLE_FILL_AREA(#2969); #2475=SURFACE_STYLE_FILL_AREA(#2970); #2476=SURFACE_STYLE_FILL_AREA(#2971); #2477=SURFACE_STYLE_FILL_AREA(#2972); #2478=SURFACE_STYLE_FILL_AREA(#2973); #2479=SURFACE_STYLE_FILL_AREA(#2974); #2480=SURFACE_STYLE_FILL_AREA(#2975); #2481=SURFACE_STYLE_FILL_AREA(#2976); #2482=SURFACE_STYLE_FILL_AREA(#2977); #2483=SURFACE_STYLE_FILL_AREA(#2978); #2484=SURFACE_STYLE_FILL_AREA(#2979); #2485=SURFACE_STYLE_FILL_AREA(#2980); #2486=SURFACE_STYLE_FILL_AREA(#2981); #2487=SURFACE_STYLE_FILL_AREA(#2982); #2488=SURFACE_STYLE_FILL_AREA(#2983); #2489=SURFACE_STYLE_FILL_AREA(#2984); #2490=SURFACE_STYLE_FILL_AREA(#2985); #2491=SURFACE_STYLE_FILL_AREA(#2986); #2492=SURFACE_STYLE_FILL_AREA(#2987); #2493=SURFACE_STYLE_FILL_AREA(#2988); #2494=SURFACE_STYLE_FILL_AREA(#2989); #2495=SURFACE_STYLE_FILL_AREA(#2990); #2496=SURFACE_STYLE_FILL_AREA(#2991); #2497=SURFACE_STYLE_FILL_AREA(#2992); #2498=SURFACE_STYLE_FILL_AREA(#2993); #2499=SURFACE_STYLE_FILL_AREA(#2994); #2500=SURFACE_STYLE_FILL_AREA(#2995); #2501=SURFACE_STYLE_FILL_AREA(#2996); #2502=SURFACE_STYLE_FILL_AREA(#2997); #2503=SURFACE_STYLE_FILL_AREA(#2998); #2504=SURFACE_STYLE_FILL_AREA(#2999); #2505=SURFACE_STYLE_FILL_AREA(#3000); #2506=SURFACE_STYLE_FILL_AREA(#3001); #2507=SURFACE_STYLE_FILL_AREA(#3002); #2508=FILL_AREA_STYLE('',(#3003)); #2509=FILL_AREA_STYLE('',(#3004)); #2510=FILL_AREA_STYLE('',(#3005)); #2511=FILL_AREA_STYLE('',(#3006)); #2512=FILL_AREA_STYLE('',(#3007)); #2513=FILL_AREA_STYLE('',(#3008)); #2514=FILL_AREA_STYLE('',(#3009)); #2515=FILL_AREA_STYLE('',(#3010)); #2516=FILL_AREA_STYLE('',(#3011)); #2517=FILL_AREA_STYLE('',(#3012)); #2518=FILL_AREA_STYLE('',(#3013)); #2519=FILL_AREA_STYLE('',(#3014)); #2520=FILL_AREA_STYLE('',(#3015)); #2521=FILL_AREA_STYLE('',(#3016)); #2522=FILL_AREA_STYLE('',(#3017)); #2523=FILL_AREA_STYLE('',(#3018)); #2524=FILL_AREA_STYLE('',(#3019)); #2525=FILL_AREA_STYLE('',(#3020)); #2526=FILL_AREA_STYLE('',(#3021)); #2527=FILL_AREA_STYLE('',(#3022)); #2528=FILL_AREA_STYLE('',(#3023)); #2529=FILL_AREA_STYLE('',(#3024)); #2530=FILL_AREA_STYLE('',(#3025)); #2531=FILL_AREA_STYLE('',(#3026)); #2532=FILL_AREA_STYLE('',(#3027)); #2533=FILL_AREA_STYLE('',(#3028)); #2534=FILL_AREA_STYLE('',(#3029)); #2535=FILL_AREA_STYLE('',(#3030)); #2536=FILL_AREA_STYLE('',(#3031)); #2537=FILL_AREA_STYLE('',(#3032)); #2538=FILL_AREA_STYLE('',(#3033)); #2539=FILL_AREA_STYLE('',(#3034)); #2540=FILL_AREA_STYLE('',(#3035)); #2541=FILL_AREA_STYLE('',(#3036)); #2542=FILL_AREA_STYLE('',(#3037)); #2543=FILL_AREA_STYLE('',(#3038)); #2544=FILL_AREA_STYLE('',(#3039)); #2545=FILL_AREA_STYLE('',(#3040)); #2546=FILL_AREA_STYLE('',(#3041)); #2547=FILL_AREA_STYLE('',(#3042)); #2548=FILL_AREA_STYLE('',(#3043)); #2549=FILL_AREA_STYLE('',(#3044)); #2550=FILL_AREA_STYLE('',(#3045)); #2551=FILL_AREA_STYLE('',(#3046)); #2552=FILL_AREA_STYLE('',(#3047)); #2553=FILL_AREA_STYLE('',(#3048)); #2554=FILL_AREA_STYLE('',(#3049)); #2555=FILL_AREA_STYLE('',(#3050)); #2556=FILL_AREA_STYLE('',(#3051)); #2557=FILL_AREA_STYLE('',(#3052)); #2558=FILL_AREA_STYLE('',(#3053)); #2559=FILL_AREA_STYLE('',(#3054)); #2560=FILL_AREA_STYLE('',(#3055)); #2561=FILL_AREA_STYLE('',(#3056)); #2562=FILL_AREA_STYLE('',(#3057)); #2563=FILL_AREA_STYLE('',(#3058)); #2564=FILL_AREA_STYLE('',(#3059)); #2565=FILL_AREA_STYLE('',(#3060)); #2566=FILL_AREA_STYLE('',(#3061)); #2567=FILL_AREA_STYLE('',(#3062)); #2568=FILL_AREA_STYLE('',(#3063)); #2569=FILL_AREA_STYLE('',(#3064)); #2570=FILL_AREA_STYLE('',(#3065)); #2571=FILL_AREA_STYLE('',(#3066)); #2572=FILL_AREA_STYLE('',(#3067)); #2573=FILL_AREA_STYLE('',(#3068)); #2574=FILL_AREA_STYLE('',(#3069)); #2575=FILL_AREA_STYLE('',(#3070)); #2576=FILL_AREA_STYLE('',(#3071)); #2577=FILL_AREA_STYLE('',(#3072)); #2578=FILL_AREA_STYLE('',(#3073)); #2579=FILL_AREA_STYLE('',(#3074)); #2580=FILL_AREA_STYLE('',(#3075)); #2581=FILL_AREA_STYLE('',(#3076)); #2582=FILL_AREA_STYLE('',(#3077)); #2583=FILL_AREA_STYLE('',(#3078)); #2584=FILL_AREA_STYLE('',(#3079)); #2585=FILL_AREA_STYLE('',(#3080)); #2586=FILL_AREA_STYLE('',(#3081)); #2587=FILL_AREA_STYLE('',(#3082)); #2588=FILL_AREA_STYLE('',(#3083)); #2589=FILL_AREA_STYLE('',(#3084)); #2590=FILL_AREA_STYLE('',(#3085)); #2591=FILL_AREA_STYLE('',(#3086)); #2592=FILL_AREA_STYLE('',(#3087)); #2593=FILL_AREA_STYLE('',(#3088)); #2594=FILL_AREA_STYLE('',(#3089)); #2595=FILL_AREA_STYLE('',(#3090)); #2596=FILL_AREA_STYLE('',(#3091)); #2597=FILL_AREA_STYLE('',(#3092)); #2598=FILL_AREA_STYLE('',(#3093)); #2599=FILL_AREA_STYLE('',(#3094)); #2600=FILL_AREA_STYLE('',(#3095)); #2601=FILL_AREA_STYLE('',(#3096)); #2602=FILL_AREA_STYLE('',(#3097)); #2603=FILL_AREA_STYLE('',(#3098)); #2604=FILL_AREA_STYLE('',(#3099)); #2605=FILL_AREA_STYLE('',(#3100)); #2606=FILL_AREA_STYLE('',(#3101)); #2607=FILL_AREA_STYLE('',(#3102)); #2608=FILL_AREA_STYLE('',(#3103)); #2609=FILL_AREA_STYLE('',(#3104)); #2610=FILL_AREA_STYLE('',(#3105)); #2611=FILL_AREA_STYLE('',(#3106)); #2612=FILL_AREA_STYLE('',(#3107)); #2613=FILL_AREA_STYLE('',(#3108)); #2614=FILL_AREA_STYLE('',(#3109)); #2615=FILL_AREA_STYLE('',(#3110)); #2616=FILL_AREA_STYLE('',(#3111)); #2617=FILL_AREA_STYLE('',(#3112)); #2618=FILL_AREA_STYLE('',(#3113)); #2619=FILL_AREA_STYLE('',(#3114)); #2620=FILL_AREA_STYLE('',(#3115)); #2621=FILL_AREA_STYLE('',(#3116)); #2622=FILL_AREA_STYLE('',(#3117)); #2623=FILL_AREA_STYLE('',(#3118)); #2624=FILL_AREA_STYLE('',(#3119)); #2625=FILL_AREA_STYLE('',(#3120)); #2626=FILL_AREA_STYLE('',(#3121)); #2627=FILL_AREA_STYLE('',(#3122)); #2628=FILL_AREA_STYLE('',(#3123)); #2629=FILL_AREA_STYLE('',(#3124)); #2630=FILL_AREA_STYLE('',(#3125)); #2631=FILL_AREA_STYLE('',(#3126)); #2632=FILL_AREA_STYLE('',(#3127)); #2633=FILL_AREA_STYLE('',(#3128)); #2634=FILL_AREA_STYLE('',(#3129)); #2635=FILL_AREA_STYLE('',(#3130)); #2636=FILL_AREA_STYLE('',(#3131)); #2637=FILL_AREA_STYLE('',(#3132)); #2638=FILL_AREA_STYLE('',(#3133)); #2639=FILL_AREA_STYLE('',(#3134)); #2640=FILL_AREA_STYLE('',(#3135)); #2641=FILL_AREA_STYLE('',(#3136)); #2642=FILL_AREA_STYLE('',(#3137)); #2643=FILL_AREA_STYLE('',(#3138)); #2644=FILL_AREA_STYLE('',(#3139)); #2645=FILL_AREA_STYLE('',(#3140)); #2646=FILL_AREA_STYLE('',(#3141)); #2647=FILL_AREA_STYLE('',(#3142)); #2648=FILL_AREA_STYLE('',(#3143)); #2649=FILL_AREA_STYLE('',(#3144)); #2650=FILL_AREA_STYLE('',(#3145)); #2651=FILL_AREA_STYLE('',(#3146)); #2652=FILL_AREA_STYLE('',(#3147)); #2653=FILL_AREA_STYLE('',(#3148)); #2654=FILL_AREA_STYLE('',(#3149)); #2655=FILL_AREA_STYLE('',(#3150)); #2656=FILL_AREA_STYLE('',(#3151)); #2657=FILL_AREA_STYLE('',(#3152)); #2658=FILL_AREA_STYLE('',(#3153)); #2659=FILL_AREA_STYLE('',(#3154)); #2660=FILL_AREA_STYLE('',(#3155)); #2661=FILL_AREA_STYLE('',(#3156)); #2662=FILL_AREA_STYLE('',(#3157)); #2663=FILL_AREA_STYLE('',(#3158)); #2664=FILL_AREA_STYLE('',(#3159)); #2665=FILL_AREA_STYLE('',(#3160)); #2666=FILL_AREA_STYLE('',(#3161)); #2667=FILL_AREA_STYLE('',(#3162)); #2668=FILL_AREA_STYLE('',(#3163)); #2669=FILL_AREA_STYLE('',(#3164)); #2670=FILL_AREA_STYLE('',(#3165)); #2671=FILL_AREA_STYLE('',(#3166)); #2672=FILL_AREA_STYLE('',(#3167)); #2673=FILL_AREA_STYLE('',(#3168)); #2674=FILL_AREA_STYLE('',(#3169)); #2675=FILL_AREA_STYLE('',(#3170)); #2676=FILL_AREA_STYLE('',(#3171)); #2677=FILL_AREA_STYLE('',(#3172)); #2678=FILL_AREA_STYLE('',(#3173)); #2679=FILL_AREA_STYLE('',(#3174)); #2680=FILL_AREA_STYLE('',(#3175)); #2681=FILL_AREA_STYLE('',(#3176)); #2682=FILL_AREA_STYLE('',(#3177)); #2683=FILL_AREA_STYLE('',(#3178)); #2684=FILL_AREA_STYLE('',(#3179)); #2685=FILL_AREA_STYLE('',(#3180)); #2686=FILL_AREA_STYLE('',(#3181)); #2687=FILL_AREA_STYLE('',(#3182)); #2688=FILL_AREA_STYLE('',(#3183)); #2689=FILL_AREA_STYLE('',(#3184)); #2690=FILL_AREA_STYLE('',(#3185)); #2691=FILL_AREA_STYLE('',(#3186)); #2692=FILL_AREA_STYLE('',(#3187)); #2693=FILL_AREA_STYLE('',(#3188)); #2694=FILL_AREA_STYLE('',(#3189)); #2695=FILL_AREA_STYLE('',(#3190)); #2696=FILL_AREA_STYLE('',(#3191)); #2697=FILL_AREA_STYLE('',(#3192)); #2698=FILL_AREA_STYLE('',(#3193)); #2699=FILL_AREA_STYLE('',(#3194)); #2700=FILL_AREA_STYLE('',(#3195)); #2701=FILL_AREA_STYLE('',(#3196)); #2702=FILL_AREA_STYLE('',(#3197)); #2703=FILL_AREA_STYLE('',(#3198)); #2704=FILL_AREA_STYLE('',(#3199)); #2705=FILL_AREA_STYLE('',(#3200)); #2706=FILL_AREA_STYLE('',(#3201)); #2707=FILL_AREA_STYLE('',(#3202)); #2708=FILL_AREA_STYLE('',(#3203)); #2709=FILL_AREA_STYLE('',(#3204)); #2710=FILL_AREA_STYLE('',(#3205)); #2711=FILL_AREA_STYLE('',(#3206)); #2712=FILL_AREA_STYLE('',(#3207)); #2713=FILL_AREA_STYLE('',(#3208)); #2714=FILL_AREA_STYLE('',(#3209)); #2715=FILL_AREA_STYLE('',(#3210)); #2716=FILL_AREA_STYLE('',(#3211)); #2717=FILL_AREA_STYLE('',(#3212)); #2718=FILL_AREA_STYLE('',(#3213)); #2719=FILL_AREA_STYLE('',(#3214)); #2720=FILL_AREA_STYLE('',(#3215)); #2721=FILL_AREA_STYLE('',(#3216)); #2722=FILL_AREA_STYLE('',(#3217)); #2723=FILL_AREA_STYLE('',(#3218)); #2724=FILL_AREA_STYLE('',(#3219)); #2725=FILL_AREA_STYLE('',(#3220)); #2726=FILL_AREA_STYLE('',(#3221)); #2727=FILL_AREA_STYLE('',(#3222)); #2728=FILL_AREA_STYLE('',(#3223)); #2729=FILL_AREA_STYLE('',(#3224)); #2730=FILL_AREA_STYLE('',(#3225)); #2731=FILL_AREA_STYLE('',(#3226)); #2732=FILL_AREA_STYLE('',(#3227)); #2733=FILL_AREA_STYLE('',(#3228)); #2734=FILL_AREA_STYLE('',(#3229)); #2735=FILL_AREA_STYLE('',(#3230)); #2736=FILL_AREA_STYLE('',(#3231)); #2737=FILL_AREA_STYLE('',(#3232)); #2738=FILL_AREA_STYLE('',(#3233)); #2739=FILL_AREA_STYLE('',(#3234)); #2740=FILL_AREA_STYLE('',(#3235)); #2741=FILL_AREA_STYLE('',(#3236)); #2742=FILL_AREA_STYLE('',(#3237)); #2743=FILL_AREA_STYLE('',(#3238)); #2744=FILL_AREA_STYLE('',(#3239)); #2745=FILL_AREA_STYLE('',(#3240)); #2746=FILL_AREA_STYLE('',(#3241)); #2747=FILL_AREA_STYLE('',(#3242)); #2748=FILL_AREA_STYLE('',(#3243)); #2749=FILL_AREA_STYLE('',(#3244)); #2750=FILL_AREA_STYLE('',(#3245)); #2751=FILL_AREA_STYLE('',(#3246)); #2752=FILL_AREA_STYLE('',(#3247)); #2753=FILL_AREA_STYLE('',(#3248)); #2754=FILL_AREA_STYLE('',(#3249)); #2755=FILL_AREA_STYLE('',(#3250)); #2756=FILL_AREA_STYLE('',(#3251)); #2757=FILL_AREA_STYLE('',(#3252)); #2758=FILL_AREA_STYLE('',(#3253)); #2759=FILL_AREA_STYLE('',(#3254)); #2760=FILL_AREA_STYLE('',(#3255)); #2761=FILL_AREA_STYLE('',(#3256)); #2762=FILL_AREA_STYLE('',(#3257)); #2763=FILL_AREA_STYLE('',(#3258)); #2764=FILL_AREA_STYLE('',(#3259)); #2765=FILL_AREA_STYLE('',(#3260)); #2766=FILL_AREA_STYLE('',(#3261)); #2767=FILL_AREA_STYLE('',(#3262)); #2768=FILL_AREA_STYLE('',(#3263)); #2769=FILL_AREA_STYLE('',(#3264)); #2770=FILL_AREA_STYLE('',(#3265)); #2771=FILL_AREA_STYLE('',(#3266)); #2772=FILL_AREA_STYLE('',(#3267)); #2773=FILL_AREA_STYLE('',(#3268)); #2774=FILL_AREA_STYLE('',(#3269)); #2775=FILL_AREA_STYLE('',(#3270)); #2776=FILL_AREA_STYLE('',(#3271)); #2777=FILL_AREA_STYLE('',(#3272)); #2778=FILL_AREA_STYLE('',(#3273)); #2779=FILL_AREA_STYLE('',(#3274)); #2780=FILL_AREA_STYLE('',(#3275)); #2781=FILL_AREA_STYLE('',(#3276)); #2782=FILL_AREA_STYLE('',(#3277)); #2783=FILL_AREA_STYLE('',(#3278)); #2784=FILL_AREA_STYLE('',(#3279)); #2785=FILL_AREA_STYLE('',(#3280)); #2786=FILL_AREA_STYLE('',(#3281)); #2787=FILL_AREA_STYLE('',(#3282)); #2788=FILL_AREA_STYLE('',(#3283)); #2789=FILL_AREA_STYLE('',(#3284)); #2790=FILL_AREA_STYLE('',(#3285)); #2791=FILL_AREA_STYLE('',(#3286)); #2792=FILL_AREA_STYLE('',(#3287)); #2793=FILL_AREA_STYLE('',(#3288)); #2794=FILL_AREA_STYLE('',(#3289)); #2795=FILL_AREA_STYLE('',(#3290)); #2796=FILL_AREA_STYLE('',(#3291)); #2797=FILL_AREA_STYLE('',(#3292)); #2798=FILL_AREA_STYLE('',(#3293)); #2799=FILL_AREA_STYLE('',(#3294)); #2800=FILL_AREA_STYLE('',(#3295)); #2801=FILL_AREA_STYLE('',(#3296)); #2802=FILL_AREA_STYLE('',(#3297)); #2803=FILL_AREA_STYLE('',(#3298)); #2804=FILL_AREA_STYLE('',(#3299)); #2805=FILL_AREA_STYLE('',(#3300)); #2806=FILL_AREA_STYLE('',(#3301)); #2807=FILL_AREA_STYLE('',(#3302)); #2808=FILL_AREA_STYLE('',(#3303)); #2809=FILL_AREA_STYLE('',(#3304)); #2810=FILL_AREA_STYLE('',(#3305)); #2811=FILL_AREA_STYLE('',(#3306)); #2812=FILL_AREA_STYLE('',(#3307)); #2813=FILL_AREA_STYLE('',(#3308)); #2814=FILL_AREA_STYLE('',(#3309)); #2815=FILL_AREA_STYLE('',(#3310)); #2816=FILL_AREA_STYLE('',(#3311)); #2817=FILL_AREA_STYLE('',(#3312)); #2818=FILL_AREA_STYLE('',(#3313)); #2819=FILL_AREA_STYLE('',(#3314)); #2820=FILL_AREA_STYLE('',(#3315)); #2821=FILL_AREA_STYLE('',(#3316)); #2822=FILL_AREA_STYLE('',(#3317)); #2823=FILL_AREA_STYLE('',(#3318)); #2824=FILL_AREA_STYLE('',(#3319)); #2825=FILL_AREA_STYLE('',(#3320)); #2826=FILL_AREA_STYLE('',(#3321)); #2827=FILL_AREA_STYLE('',(#3322)); #2828=FILL_AREA_STYLE('',(#3323)); #2829=FILL_AREA_STYLE('',(#3324)); #2830=FILL_AREA_STYLE('',(#3325)); #2831=FILL_AREA_STYLE('',(#3326)); #2832=FILL_AREA_STYLE('',(#3327)); #2833=FILL_AREA_STYLE('',(#3328)); #2834=FILL_AREA_STYLE('',(#3329)); #2835=FILL_AREA_STYLE('',(#3330)); #2836=FILL_AREA_STYLE('',(#3331)); #2837=FILL_AREA_STYLE('',(#3332)); #2838=FILL_AREA_STYLE('',(#3333)); #2839=FILL_AREA_STYLE('',(#3334)); #2840=FILL_AREA_STYLE('',(#3335)); #2841=FILL_AREA_STYLE('',(#3336)); #2842=FILL_AREA_STYLE('',(#3337)); #2843=FILL_AREA_STYLE('',(#3338)); #2844=FILL_AREA_STYLE('',(#3339)); #2845=FILL_AREA_STYLE('',(#3340)); #2846=FILL_AREA_STYLE('',(#3341)); #2847=FILL_AREA_STYLE('',(#3342)); #2848=FILL_AREA_STYLE('',(#3343)); #2849=FILL_AREA_STYLE('',(#3344)); #2850=FILL_AREA_STYLE('',(#3345)); #2851=FILL_AREA_STYLE('',(#3346)); #2852=FILL_AREA_STYLE('',(#3347)); #2853=FILL_AREA_STYLE('',(#3348)); #2854=FILL_AREA_STYLE('',(#3349)); #2855=FILL_AREA_STYLE('',(#3350)); #2856=FILL_AREA_STYLE('',(#3351)); #2857=FILL_AREA_STYLE('',(#3352)); #2858=FILL_AREA_STYLE('',(#3353)); #2859=FILL_AREA_STYLE('',(#3354)); #2860=FILL_AREA_STYLE('',(#3355)); #2861=FILL_AREA_STYLE('',(#3356)); #2862=FILL_AREA_STYLE('',(#3357)); #2863=FILL_AREA_STYLE('',(#3358)); #2864=FILL_AREA_STYLE('',(#3359)); #2865=FILL_AREA_STYLE('',(#3360)); #2866=FILL_AREA_STYLE('',(#3361)); #2867=FILL_AREA_STYLE('',(#3362)); #2868=FILL_AREA_STYLE('',(#3363)); #2869=FILL_AREA_STYLE('',(#3364)); #2870=FILL_AREA_STYLE('',(#3365)); #2871=FILL_AREA_STYLE('',(#3366)); #2872=FILL_AREA_STYLE('',(#3367)); #2873=FILL_AREA_STYLE('',(#3368)); #2874=FILL_AREA_STYLE('',(#3369)); #2875=FILL_AREA_STYLE('',(#3370)); #2876=FILL_AREA_STYLE('',(#3371)); #2877=FILL_AREA_STYLE('',(#3372)); #2878=FILL_AREA_STYLE('',(#3373)); #2879=FILL_AREA_STYLE('',(#3374)); #2880=FILL_AREA_STYLE('',(#3375)); #2881=FILL_AREA_STYLE('',(#3376)); #2882=FILL_AREA_STYLE('',(#3377)); #2883=FILL_AREA_STYLE('',(#3378)); #2884=FILL_AREA_STYLE('',(#3379)); #2885=FILL_AREA_STYLE('',(#3380)); #2886=FILL_AREA_STYLE('',(#3381)); #2887=FILL_AREA_STYLE('',(#3382)); #2888=FILL_AREA_STYLE('',(#3383)); #2889=FILL_AREA_STYLE('',(#3384)); #2890=FILL_AREA_STYLE('',(#3385)); #2891=FILL_AREA_STYLE('',(#3386)); #2892=FILL_AREA_STYLE('',(#3387)); #2893=FILL_AREA_STYLE('',(#3388)); #2894=FILL_AREA_STYLE('',(#3389)); #2895=FILL_AREA_STYLE('',(#3390)); #2896=FILL_AREA_STYLE('',(#3391)); #2897=FILL_AREA_STYLE('',(#3392)); #2898=FILL_AREA_STYLE('',(#3393)); #2899=FILL_AREA_STYLE('',(#3394)); #2900=FILL_AREA_STYLE('',(#3395)); #2901=FILL_AREA_STYLE('',(#3396)); #2902=FILL_AREA_STYLE('',(#3397)); #2903=FILL_AREA_STYLE('',(#3398)); #2904=FILL_AREA_STYLE('',(#3399)); #2905=FILL_AREA_STYLE('',(#3400)); #2906=FILL_AREA_STYLE('',(#3401)); #2907=FILL_AREA_STYLE('',(#3402)); #2908=FILL_AREA_STYLE('',(#3403)); #2909=FILL_AREA_STYLE('',(#3404)); #2910=FILL_AREA_STYLE('',(#3405)); #2911=FILL_AREA_STYLE('',(#3406)); #2912=FILL_AREA_STYLE('',(#3407)); #2913=FILL_AREA_STYLE('',(#3408)); #2914=FILL_AREA_STYLE('',(#3409)); #2915=FILL_AREA_STYLE('',(#3410)); #2916=FILL_AREA_STYLE('',(#3411)); #2917=FILL_AREA_STYLE('',(#3412)); #2918=FILL_AREA_STYLE('',(#3413)); #2919=FILL_AREA_STYLE('',(#3414)); #2920=FILL_AREA_STYLE('',(#3415)); #2921=FILL_AREA_STYLE('',(#3416)); #2922=FILL_AREA_STYLE('',(#3417)); #2923=FILL_AREA_STYLE('',(#3418)); #2924=FILL_AREA_STYLE('',(#3419)); #2925=FILL_AREA_STYLE('',(#3420)); #2926=FILL_AREA_STYLE('',(#3421)); #2927=FILL_AREA_STYLE('',(#3422)); #2928=FILL_AREA_STYLE('',(#3423)); #2929=FILL_AREA_STYLE('',(#3424)); #2930=FILL_AREA_STYLE('',(#3425)); #2931=FILL_AREA_STYLE('',(#3426)); #2932=FILL_AREA_STYLE('',(#3427)); #2933=FILL_AREA_STYLE('',(#3428)); #2934=FILL_AREA_STYLE('',(#3429)); #2935=FILL_AREA_STYLE('',(#3430)); #2936=FILL_AREA_STYLE('',(#3431)); #2937=FILL_AREA_STYLE('',(#3432)); #2938=FILL_AREA_STYLE('',(#3433)); #2939=FILL_AREA_STYLE('',(#3434)); #2940=FILL_AREA_STYLE('',(#3435)); #2941=FILL_AREA_STYLE('',(#3436)); #2942=FILL_AREA_STYLE('',(#3437)); #2943=FILL_AREA_STYLE('',(#3438)); #2944=FILL_AREA_STYLE('',(#3439)); #2945=FILL_AREA_STYLE('',(#3440)); #2946=FILL_AREA_STYLE('',(#3441)); #2947=FILL_AREA_STYLE('',(#3442)); #2948=FILL_AREA_STYLE('',(#3443)); #2949=FILL_AREA_STYLE('',(#3444)); #2950=FILL_AREA_STYLE('',(#3445)); #2951=FILL_AREA_STYLE('',(#3446)); #2952=FILL_AREA_STYLE('',(#3447)); #2953=FILL_AREA_STYLE('',(#3448)); #2954=FILL_AREA_STYLE('',(#3449)); #2955=FILL_AREA_STYLE('',(#3450)); #2956=FILL_AREA_STYLE('',(#3451)); #2957=FILL_AREA_STYLE('',(#3452)); #2958=FILL_AREA_STYLE('',(#3453)); #2959=FILL_AREA_STYLE('',(#3454)); #2960=FILL_AREA_STYLE('',(#3455)); #2961=FILL_AREA_STYLE('',(#3456)); #2962=FILL_AREA_STYLE('',(#3457)); #2963=FILL_AREA_STYLE('',(#3458)); #2964=FILL_AREA_STYLE('',(#3459)); #2965=FILL_AREA_STYLE('',(#3460)); #2966=FILL_AREA_STYLE('',(#3461)); #2967=FILL_AREA_STYLE('',(#3462)); #2968=FILL_AREA_STYLE('',(#3463)); #2969=FILL_AREA_STYLE('',(#3464)); #2970=FILL_AREA_STYLE('',(#3465)); #2971=FILL_AREA_STYLE('',(#3466)); #2972=FILL_AREA_STYLE('',(#3467)); #2973=FILL_AREA_STYLE('',(#3468)); #2974=FILL_AREA_STYLE('',(#3469)); #2975=FILL_AREA_STYLE('',(#3470)); #2976=FILL_AREA_STYLE('',(#3471)); #2977=FILL_AREA_STYLE('',(#3472)); #2978=FILL_AREA_STYLE('',(#3473)); #2979=FILL_AREA_STYLE('',(#3474)); #2980=FILL_AREA_STYLE('',(#3475)); #2981=FILL_AREA_STYLE('',(#3476)); #2982=FILL_AREA_STYLE('',(#3477)); #2983=FILL_AREA_STYLE('',(#3478)); #2984=FILL_AREA_STYLE('',(#3479)); #2985=FILL_AREA_STYLE('',(#3480)); #2986=FILL_AREA_STYLE('',(#3481)); #2987=FILL_AREA_STYLE('',(#3482)); #2988=FILL_AREA_STYLE('',(#3483)); #2989=FILL_AREA_STYLE('',(#3484)); #2990=FILL_AREA_STYLE('',(#3485)); #2991=FILL_AREA_STYLE('',(#3486)); #2992=FILL_AREA_STYLE('',(#3487)); #2993=FILL_AREA_STYLE('',(#3488)); #2994=FILL_AREA_STYLE('',(#3489)); #2995=FILL_AREA_STYLE('',(#3490)); #2996=FILL_AREA_STYLE('',(#3491)); #2997=FILL_AREA_STYLE('',(#3492)); #2998=FILL_AREA_STYLE('',(#3493)); #2999=FILL_AREA_STYLE('',(#3494)); #3000=FILL_AREA_STYLE('',(#3495)); #3001=FILL_AREA_STYLE('',(#3496)); #3002=FILL_AREA_STYLE('',(#3497)); #3003=FILL_AREA_STYLE_COLOUR('',#3498); #3004=FILL_AREA_STYLE_COLOUR('',#3499); #3005=FILL_AREA_STYLE_COLOUR('',#3500); #3006=FILL_AREA_STYLE_COLOUR('',#3500); #3007=FILL_AREA_STYLE_COLOUR('',#3500); #3008=FILL_AREA_STYLE_COLOUR('',#3500); #3009=FILL_AREA_STYLE_COLOUR('',#3500); #3010=FILL_AREA_STYLE_COLOUR('',#3500); #3011=FILL_AREA_STYLE_COLOUR('',#3500); #3012=FILL_AREA_STYLE_COLOUR('',#3500); #3013=FILL_AREA_STYLE_COLOUR('',#3500); #3014=FILL_AREA_STYLE_COLOUR('',#3500); #3015=FILL_AREA_STYLE_COLOUR('',#3500); #3016=FILL_AREA_STYLE_COLOUR('',#3500); #3017=FILL_AREA_STYLE_COLOUR('',#3500); #3018=FILL_AREA_STYLE_COLOUR('',#3500); #3019=FILL_AREA_STYLE_COLOUR('',#3500); #3020=FILL_AREA_STYLE_COLOUR('',#3500); #3021=FILL_AREA_STYLE_COLOUR('',#3500); #3022=FILL_AREA_STYLE_COLOUR('',#3501); #3023=FILL_AREA_STYLE_COLOUR('',#3501); #3024=FILL_AREA_STYLE_COLOUR('',#3501); #3025=FILL_AREA_STYLE_COLOUR('',#3501); #3026=FILL_AREA_STYLE_COLOUR('',#3501); #3027=FILL_AREA_STYLE_COLOUR('',#3501); #3028=FILL_AREA_STYLE_COLOUR('',#3501); #3029=FILL_AREA_STYLE_COLOUR('',#3501); #3030=FILL_AREA_STYLE_COLOUR('',#3501); #3031=FILL_AREA_STYLE_COLOUR('',#3501); #3032=FILL_AREA_STYLE_COLOUR('',#3501); #3033=FILL_AREA_STYLE_COLOUR('',#3501); #3034=FILL_AREA_STYLE_COLOUR('',#3501); #3035=FILL_AREA_STYLE_COLOUR('',#3501); #3036=FILL_AREA_STYLE_COLOUR('',#3501); #3037=FILL_AREA_STYLE_COLOUR('',#3501); #3038=FILL_AREA_STYLE_COLOUR('',#3501); #3039=FILL_AREA_STYLE_COLOUR('',#3501); #3040=FILL_AREA_STYLE_COLOUR('',#3501); #3041=FILL_AREA_STYLE_COLOUR('',#3501); #3042=FILL_AREA_STYLE_COLOUR('',#3501); #3043=FILL_AREA_STYLE_COLOUR('',#3501); #3044=FILL_AREA_STYLE_COLOUR('',#3501); #3045=FILL_AREA_STYLE_COLOUR('',#3501); #3046=FILL_AREA_STYLE_COLOUR('',#3501); #3047=FILL_AREA_STYLE_COLOUR('',#3501); #3048=FILL_AREA_STYLE_COLOUR('',#3501); #3049=FILL_AREA_STYLE_COLOUR('',#3501); #3050=FILL_AREA_STYLE_COLOUR('',#3501); #3051=FILL_AREA_STYLE_COLOUR('',#3501); #3052=FILL_AREA_STYLE_COLOUR('',#3501); #3053=FILL_AREA_STYLE_COLOUR('',#3502); #3054=FILL_AREA_STYLE_COLOUR('',#3502); #3055=FILL_AREA_STYLE_COLOUR('',#3502); #3056=FILL_AREA_STYLE_COLOUR('',#3502); #3057=FILL_AREA_STYLE_COLOUR('',#3502); #3058=FILL_AREA_STYLE_COLOUR('',#3502); #3059=FILL_AREA_STYLE_COLOUR('',#3502); #3060=FILL_AREA_STYLE_COLOUR('',#3502); #3061=FILL_AREA_STYLE_COLOUR('',#3502); #3062=FILL_AREA_STYLE_COLOUR('',#3501); #3063=FILL_AREA_STYLE_COLOUR('',#3500); #3064=FILL_AREA_STYLE_COLOUR('',#3501); #3065=FILL_AREA_STYLE_COLOUR('',#3500); #3066=FILL_AREA_STYLE_COLOUR('',#3500); #3067=FILL_AREA_STYLE_COLOUR('',#3500); #3068=FILL_AREA_STYLE_COLOUR('',#3500); #3069=FILL_AREA_STYLE_COLOUR('',#3500); #3070=FILL_AREA_STYLE_COLOUR('',#3500); #3071=FILL_AREA_STYLE_COLOUR('',#3500); #3072=FILL_AREA_STYLE_COLOUR('',#3500); #3073=FILL_AREA_STYLE_COLOUR('',#3500); #3074=FILL_AREA_STYLE_COLOUR('',#3500); #3075=FILL_AREA_STYLE_COLOUR('',#3500); #3076=FILL_AREA_STYLE_COLOUR('',#3500); #3077=FILL_AREA_STYLE_COLOUR('',#3500); #3078=FILL_AREA_STYLE_COLOUR('',#3500); #3079=FILL_AREA_STYLE_COLOUR('',#3500); #3080=FILL_AREA_STYLE_COLOUR('',#3500); #3081=FILL_AREA_STYLE_COLOUR('',#3500); #3082=FILL_AREA_STYLE_COLOUR('',#3500); #3083=FILL_AREA_STYLE_COLOUR('',#3500); #3084=FILL_AREA_STYLE_COLOUR('',#3500); #3085=FILL_AREA_STYLE_COLOUR('',#3500); #3086=FILL_AREA_STYLE_COLOUR('',#3500); #3087=FILL_AREA_STYLE_COLOUR('',#3500); #3088=FILL_AREA_STYLE_COLOUR('',#3500); #3089=FILL_AREA_STYLE_COLOUR('',#3500); #3090=FILL_AREA_STYLE_COLOUR('',#3500); #3091=FILL_AREA_STYLE_COLOUR('',#3500); #3092=FILL_AREA_STYLE_COLOUR('',#3500); #3093=FILL_AREA_STYLE_COLOUR('',#3500); #3094=FILL_AREA_STYLE_COLOUR('',#3500); #3095=FILL_AREA_STYLE_COLOUR('',#3500); #3096=FILL_AREA_STYLE_COLOUR('',#3500); #3097=FILL_AREA_STYLE_COLOUR('',#3500); #3098=FILL_AREA_STYLE_COLOUR('',#3500); #3099=FILL_AREA_STYLE_COLOUR('',#3500); #3100=FILL_AREA_STYLE_COLOUR('',#3500); #3101=FILL_AREA_STYLE_COLOUR('',#3500); #3102=FILL_AREA_STYLE_COLOUR('',#3500); #3103=FILL_AREA_STYLE_COLOUR('',#3500); #3104=FILL_AREA_STYLE_COLOUR('',#3500); #3105=FILL_AREA_STYLE_COLOUR('',#3500); #3106=FILL_AREA_STYLE_COLOUR('',#3500); #3107=FILL_AREA_STYLE_COLOUR('',#3500); #3108=FILL_AREA_STYLE_COLOUR('',#3500); #3109=FILL_AREA_STYLE_COLOUR('',#3500); #3110=FILL_AREA_STYLE_COLOUR('',#3500); #3111=FILL_AREA_STYLE_COLOUR('',#3500); #3112=FILL_AREA_STYLE_COLOUR('',#3500); #3113=FILL_AREA_STYLE_COLOUR('',#3500); #3114=FILL_AREA_STYLE_COLOUR('',#3500); #3115=FILL_AREA_STYLE_COLOUR('',#3500); #3116=FILL_AREA_STYLE_COLOUR('',#3500); #3117=FILL_AREA_STYLE_COLOUR('',#3500); #3118=FILL_AREA_STYLE_COLOUR('',#3500); #3119=FILL_AREA_STYLE_COLOUR('',#3500); #3120=FILL_AREA_STYLE_COLOUR('',#3500); #3121=FILL_AREA_STYLE_COLOUR('',#3500); #3122=FILL_AREA_STYLE_COLOUR('',#3500); #3123=FILL_AREA_STYLE_COLOUR('',#3500); #3124=FILL_AREA_STYLE_COLOUR('',#3500); #3125=FILL_AREA_STYLE_COLOUR('',#3500); #3126=FILL_AREA_STYLE_COLOUR('',#3500); #3127=FILL_AREA_STYLE_COLOUR('',#3500); #3128=FILL_AREA_STYLE_COLOUR('',#3500); #3129=FILL_AREA_STYLE_COLOUR('',#3500); #3130=FILL_AREA_STYLE_COLOUR('',#3500); #3131=FILL_AREA_STYLE_COLOUR('',#3500); #3132=FILL_AREA_STYLE_COLOUR('',#3500); #3133=FILL_AREA_STYLE_COLOUR('',#3500); #3134=FILL_AREA_STYLE_COLOUR('',#3500); #3135=FILL_AREA_STYLE_COLOUR('',#3500); #3136=FILL_AREA_STYLE_COLOUR('',#3500); #3137=FILL_AREA_STYLE_COLOUR('',#3500); #3138=FILL_AREA_STYLE_COLOUR('',#3500); #3139=FILL_AREA_STYLE_COLOUR('',#3500); #3140=FILL_AREA_STYLE_COLOUR('',#3500); #3141=FILL_AREA_STYLE_COLOUR('',#3500); #3142=FILL_AREA_STYLE_COLOUR('',#3500); #3143=FILL_AREA_STYLE_COLOUR('',#3500); #3144=FILL_AREA_STYLE_COLOUR('',#3500); #3145=FILL_AREA_STYLE_COLOUR('',#3500); #3146=FILL_AREA_STYLE_COLOUR('',#3500); #3147=FILL_AREA_STYLE_COLOUR('',#3500); #3148=FILL_AREA_STYLE_COLOUR('',#3500); #3149=FILL_AREA_STYLE_COLOUR('',#3500); #3150=FILL_AREA_STYLE_COLOUR('',#3500); #3151=FILL_AREA_STYLE_COLOUR('',#3500); #3152=FILL_AREA_STYLE_COLOUR('',#3500); #3153=FILL_AREA_STYLE_COLOUR('',#3500); #3154=FILL_AREA_STYLE_COLOUR('',#3500); #3155=FILL_AREA_STYLE_COLOUR('',#3500); #3156=FILL_AREA_STYLE_COLOUR('',#3500); #3157=FILL_AREA_STYLE_COLOUR('',#3500); #3158=FILL_AREA_STYLE_COLOUR('',#3500); #3159=FILL_AREA_STYLE_COLOUR('',#3500); #3160=FILL_AREA_STYLE_COLOUR('',#3500); #3161=FILL_AREA_STYLE_COLOUR('',#3501); #3162=FILL_AREA_STYLE_COLOUR('',#3500); #3163=FILL_AREA_STYLE_COLOUR('',#3500); #3164=FILL_AREA_STYLE_COLOUR('',#3500); #3165=FILL_AREA_STYLE_COLOUR('',#3502); #3166=FILL_AREA_STYLE_COLOUR('',#3502); #3167=FILL_AREA_STYLE_COLOUR('',#3502); #3168=FILL_AREA_STYLE_COLOUR('',#3502); #3169=FILL_AREA_STYLE_COLOUR('',#3502); #3170=FILL_AREA_STYLE_COLOUR('',#3502); #3171=FILL_AREA_STYLE_COLOUR('',#3502); #3172=FILL_AREA_STYLE_COLOUR('',#3502); #3173=FILL_AREA_STYLE_COLOUR('',#3501); #3174=FILL_AREA_STYLE_COLOUR('',#3502); #3175=FILL_AREA_STYLE_COLOUR('',#3501); #3176=FILL_AREA_STYLE_COLOUR('',#3501); #3177=FILL_AREA_STYLE_COLOUR('',#3501); #3178=FILL_AREA_STYLE_COLOUR('',#3501); #3179=FILL_AREA_STYLE_COLOUR('',#3501); #3180=FILL_AREA_STYLE_COLOUR('',#3501); #3181=FILL_AREA_STYLE_COLOUR('',#3501); #3182=FILL_AREA_STYLE_COLOUR('',#3501); #3183=FILL_AREA_STYLE_COLOUR('',#3501); #3184=FILL_AREA_STYLE_COLOUR('',#3501); #3185=FILL_AREA_STYLE_COLOUR('',#3501); #3186=FILL_AREA_STYLE_COLOUR('',#3501); #3187=FILL_AREA_STYLE_COLOUR('',#3501); #3188=FILL_AREA_STYLE_COLOUR('',#3501); #3189=FILL_AREA_STYLE_COLOUR('',#3501); #3190=FILL_AREA_STYLE_COLOUR('',#3501); #3191=FILL_AREA_STYLE_COLOUR('',#3501); #3192=FILL_AREA_STYLE_COLOUR('',#3501); #3193=FILL_AREA_STYLE_COLOUR('',#3501); #3194=FILL_AREA_STYLE_COLOUR('',#3501); #3195=FILL_AREA_STYLE_COLOUR('',#3501); #3196=FILL_AREA_STYLE_COLOUR('',#3501); #3197=FILL_AREA_STYLE_COLOUR('',#3501); #3198=FILL_AREA_STYLE_COLOUR('',#3501); #3199=FILL_AREA_STYLE_COLOUR('',#3501); #3200=FILL_AREA_STYLE_COLOUR('',#3501); #3201=FILL_AREA_STYLE_COLOUR('',#3501); #3202=FILL_AREA_STYLE_COLOUR('',#3501); #3203=FILL_AREA_STYLE_COLOUR('',#3501); #3204=FILL_AREA_STYLE_COLOUR('',#3501); #3205=FILL_AREA_STYLE_COLOUR('',#3501); #3206=FILL_AREA_STYLE_COLOUR('',#3501); #3207=FILL_AREA_STYLE_COLOUR('',#3501); #3208=FILL_AREA_STYLE_COLOUR('',#3501); #3209=FILL_AREA_STYLE_COLOUR('',#3501); #3210=FILL_AREA_STYLE_COLOUR('',#3501); #3211=FILL_AREA_STYLE_COLOUR('',#3501); #3212=FILL_AREA_STYLE_COLOUR('',#3501); #3213=FILL_AREA_STYLE_COLOUR('',#3501); #3214=FILL_AREA_STYLE_COLOUR('',#3501); #3215=FILL_AREA_STYLE_COLOUR('',#3501); #3216=FILL_AREA_STYLE_COLOUR('',#3501); #3217=FILL_AREA_STYLE_COLOUR('',#3501); #3218=FILL_AREA_STYLE_COLOUR('',#3501); #3219=FILL_AREA_STYLE_COLOUR('',#3501); #3220=FILL_AREA_STYLE_COLOUR('',#3501); #3221=FILL_AREA_STYLE_COLOUR('',#3501); #3222=FILL_AREA_STYLE_COLOUR('',#3501); #3223=FILL_AREA_STYLE_COLOUR('',#3502); #3224=FILL_AREA_STYLE_COLOUR('',#3501); #3225=FILL_AREA_STYLE_COLOUR('',#3501); #3226=FILL_AREA_STYLE_COLOUR('',#3501); #3227=FILL_AREA_STYLE_COLOUR('',#3501); #3228=FILL_AREA_STYLE_COLOUR('',#3501); #3229=FILL_AREA_STYLE_COLOUR('',#3501); #3230=FILL_AREA_STYLE_COLOUR('',#3501); #3231=FILL_AREA_STYLE_COLOUR('',#3501); #3232=FILL_AREA_STYLE_COLOUR('',#3501); #3233=FILL_AREA_STYLE_COLOUR('',#3501); #3234=FILL_AREA_STYLE_COLOUR('',#3501); #3235=FILL_AREA_STYLE_COLOUR('',#3501); #3236=FILL_AREA_STYLE_COLOUR('',#3501); #3237=FILL_AREA_STYLE_COLOUR('',#3501); #3238=FILL_AREA_STYLE_COLOUR('',#3501); #3239=FILL_AREA_STYLE_COLOUR('',#3501); #3240=FILL_AREA_STYLE_COLOUR('',#3501); #3241=FILL_AREA_STYLE_COLOUR('',#3501); #3242=FILL_AREA_STYLE_COLOUR('',#3501); #3243=FILL_AREA_STYLE_COLOUR('',#3501); #3244=FILL_AREA_STYLE_COLOUR('',#3501); #3245=FILL_AREA_STYLE_COLOUR('',#3501); #3246=FILL_AREA_STYLE_COLOUR('',#3501); #3247=FILL_AREA_STYLE_COLOUR('',#3501); #3248=FILL_AREA_STYLE_COLOUR('',#3501); #3249=FILL_AREA_STYLE_COLOUR('',#3501); #3250=FILL_AREA_STYLE_COLOUR('',#3501); #3251=FILL_AREA_STYLE_COLOUR('',#3501); #3252=FILL_AREA_STYLE_COLOUR('',#3501); #3253=FILL_AREA_STYLE_COLOUR('',#3501); #3254=FILL_AREA_STYLE_COLOUR('',#3501); #3255=FILL_AREA_STYLE_COLOUR('',#3501); #3256=FILL_AREA_STYLE_COLOUR('',#3501); #3257=FILL_AREA_STYLE_COLOUR('',#3501); #3258=FILL_AREA_STYLE_COLOUR('',#3501); #3259=FILL_AREA_STYLE_COLOUR('',#3501); #3260=FILL_AREA_STYLE_COLOUR('',#3501); #3261=FILL_AREA_STYLE_COLOUR('',#3501); #3262=FILL_AREA_STYLE_COLOUR('',#3501); #3263=FILL_AREA_STYLE_COLOUR('',#3501); #3264=FILL_AREA_STYLE_COLOUR('',#3501); #3265=FILL_AREA_STYLE_COLOUR('',#3501); #3266=FILL_AREA_STYLE_COLOUR('',#3501); #3267=FILL_AREA_STYLE_COLOUR('',#3501); #3268=FILL_AREA_STYLE_COLOUR('',#3501); #3269=FILL_AREA_STYLE_COLOUR('',#3501); #3270=FILL_AREA_STYLE_COLOUR('',#3501); #3271=FILL_AREA_STYLE_COLOUR('',#3501); #3272=FILL_AREA_STYLE_COLOUR('',#3501); #3273=FILL_AREA_STYLE_COLOUR('',#3501); #3274=FILL_AREA_STYLE_COLOUR('',#3501); #3275=FILL_AREA_STYLE_COLOUR('',#3501); #3276=FILL_AREA_STYLE_COLOUR('',#3501); #3277=FILL_AREA_STYLE_COLOUR('',#3501); #3278=FILL_AREA_STYLE_COLOUR('',#3501); #3279=FILL_AREA_STYLE_COLOUR('',#3502); #3280=FILL_AREA_STYLE_COLOUR('',#3502); #3281=FILL_AREA_STYLE_COLOUR('',#3502); #3282=FILL_AREA_STYLE_COLOUR('',#3501); #3283=FILL_AREA_STYLE_COLOUR('',#3502); #3284=FILL_AREA_STYLE_COLOUR('',#3502); #3285=FILL_AREA_STYLE_COLOUR('',#3502); #3286=FILL_AREA_STYLE_COLOUR('',#3502); #3287=FILL_AREA_STYLE_COLOUR('',#3500); #3288=FILL_AREA_STYLE_COLOUR('',#3500); #3289=FILL_AREA_STYLE_COLOUR('',#3501); #3290=FILL_AREA_STYLE_COLOUR('',#3501); #3291=FILL_AREA_STYLE_COLOUR('',#3501); #3292=FILL_AREA_STYLE_COLOUR('',#3501); #3293=FILL_AREA_STYLE_COLOUR('',#3501); #3294=FILL_AREA_STYLE_COLOUR('',#3501); #3295=FILL_AREA_STYLE_COLOUR('',#3501); #3296=FILL_AREA_STYLE_COLOUR('',#3501); #3297=FILL_AREA_STYLE_COLOUR('',#3501); #3298=FILL_AREA_STYLE_COLOUR('',#3501); #3299=FILL_AREA_STYLE_COLOUR('',#3501); #3300=FILL_AREA_STYLE_COLOUR('',#3501); #3301=FILL_AREA_STYLE_COLOUR('',#3501); #3302=FILL_AREA_STYLE_COLOUR('',#3501); #3303=FILL_AREA_STYLE_COLOUR('',#3501); #3304=FILL_AREA_STYLE_COLOUR('',#3501); #3305=FILL_AREA_STYLE_COLOUR('',#3501); #3306=FILL_AREA_STYLE_COLOUR('',#3501); #3307=FILL_AREA_STYLE_COLOUR('',#3501); #3308=FILL_AREA_STYLE_COLOUR('',#3500); #3309=FILL_AREA_STYLE_COLOUR('',#3500); #3310=FILL_AREA_STYLE_COLOUR('',#3501); #3311=FILL_AREA_STYLE_COLOUR('',#3501); #3312=FILL_AREA_STYLE_COLOUR('',#3502); #3313=FILL_AREA_STYLE_COLOUR('',#3501); #3314=FILL_AREA_STYLE_COLOUR('',#3501); #3315=FILL_AREA_STYLE_COLOUR('',#3502); #3316=FILL_AREA_STYLE_COLOUR('',#3501); #3317=FILL_AREA_STYLE_COLOUR('',#3501); #3318=FILL_AREA_STYLE_COLOUR('',#3501); #3319=FILL_AREA_STYLE_COLOUR('',#3501); #3320=FILL_AREA_STYLE_COLOUR('',#3501); #3321=FILL_AREA_STYLE_COLOUR('',#3501); #3322=FILL_AREA_STYLE_COLOUR('',#3501); #3323=FILL_AREA_STYLE_COLOUR('',#3501); #3324=FILL_AREA_STYLE_COLOUR('',#3501); #3325=FILL_AREA_STYLE_COLOUR('',#3501); #3326=FILL_AREA_STYLE_COLOUR('',#3501); #3327=FILL_AREA_STYLE_COLOUR('',#3501); #3328=FILL_AREA_STYLE_COLOUR('',#3501); #3329=FILL_AREA_STYLE_COLOUR('',#3501); #3330=FILL_AREA_STYLE_COLOUR('',#3501); #3331=FILL_AREA_STYLE_COLOUR('',#3501); #3332=FILL_AREA_STYLE_COLOUR('',#3501); #3333=FILL_AREA_STYLE_COLOUR('',#3501); #3334=FILL_AREA_STYLE_COLOUR('',#3501); #3335=FILL_AREA_STYLE_COLOUR('',#3501); #3336=FILL_AREA_STYLE_COLOUR('',#3501); #3337=FILL_AREA_STYLE_COLOUR('',#3501); #3338=FILL_AREA_STYLE_COLOUR('',#3501); #3339=FILL_AREA_STYLE_COLOUR('',#3501); #3340=FILL_AREA_STYLE_COLOUR('',#3501); #3341=FILL_AREA_STYLE_COLOUR('',#3501); #3342=FILL_AREA_STYLE_COLOUR('',#3501); #3343=FILL_AREA_STYLE_COLOUR('',#3501); #3344=FILL_AREA_STYLE_COLOUR('',#3501); #3345=FILL_AREA_STYLE_COLOUR('',#3501); #3346=FILL_AREA_STYLE_COLOUR('',#3501); #3347=FILL_AREA_STYLE_COLOUR('',#3501); #3348=FILL_AREA_STYLE_COLOUR('',#3501); #3349=FILL_AREA_STYLE_COLOUR('',#3501); #3350=FILL_AREA_STYLE_COLOUR('',#3501); #3351=FILL_AREA_STYLE_COLOUR('',#3501); #3352=FILL_AREA_STYLE_COLOUR('',#3501); #3353=FILL_AREA_STYLE_COLOUR('',#3501); #3354=FILL_AREA_STYLE_COLOUR('',#3501); #3355=FILL_AREA_STYLE_COLOUR('',#3501); #3356=FILL_AREA_STYLE_COLOUR('',#3501); #3357=FILL_AREA_STYLE_COLOUR('',#3501); #3358=FILL_AREA_STYLE_COLOUR('',#3501); #3359=FILL_AREA_STYLE_COLOUR('',#3501); #3360=FILL_AREA_STYLE_COLOUR('',#3501); #3361=FILL_AREA_STYLE_COLOUR('',#3501); #3362=FILL_AREA_STYLE_COLOUR('',#3501); #3363=FILL_AREA_STYLE_COLOUR('',#3501); #3364=FILL_AREA_STYLE_COLOUR('',#3501); #3365=FILL_AREA_STYLE_COLOUR('',#3501); #3366=FILL_AREA_STYLE_COLOUR('',#3501); #3367=FILL_AREA_STYLE_COLOUR('',#3501); #3368=FILL_AREA_STYLE_COLOUR('',#3501); #3369=FILL_AREA_STYLE_COLOUR('',#3501); #3370=FILL_AREA_STYLE_COLOUR('',#3501); #3371=FILL_AREA_STYLE_COLOUR('',#3501); #3372=FILL_AREA_STYLE_COLOUR('',#3501); #3373=FILL_AREA_STYLE_COLOUR('',#3501); #3374=FILL_AREA_STYLE_COLOUR('',#3501); #3375=FILL_AREA_STYLE_COLOUR('',#3501); #3376=FILL_AREA_STYLE_COLOUR('',#3501); #3377=FILL_AREA_STYLE_COLOUR('',#3501); #3378=FILL_AREA_STYLE_COLOUR('',#3501); #3379=FILL_AREA_STYLE_COLOUR('',#3501); #3380=FILL_AREA_STYLE_COLOUR('',#3501); #3381=FILL_AREA_STYLE_COLOUR('',#3501); #3382=FILL_AREA_STYLE_COLOUR('',#3501); #3383=FILL_AREA_STYLE_COLOUR('',#3501); #3384=FILL_AREA_STYLE_COLOUR('',#3501); #3385=FILL_AREA_STYLE_COLOUR('',#3501); #3386=FILL_AREA_STYLE_COLOUR('',#3501); #3387=FILL_AREA_STYLE_COLOUR('',#3501); #3388=FILL_AREA_STYLE_COLOUR('',#3501); #3389=FILL_AREA_STYLE_COLOUR('',#3501); #3390=FILL_AREA_STYLE_COLOUR('',#3501); #3391=FILL_AREA_STYLE_COLOUR('',#3501); #3392=FILL_AREA_STYLE_COLOUR('',#3501); #3393=FILL_AREA_STYLE_COLOUR('',#3501); #3394=FILL_AREA_STYLE_COLOUR('',#3501); #3395=FILL_AREA_STYLE_COLOUR('',#3501); #3396=FILL_AREA_STYLE_COLOUR('',#3501); #3397=FILL_AREA_STYLE_COLOUR('',#3501); #3398=FILL_AREA_STYLE_COLOUR('',#3501); #3399=FILL_AREA_STYLE_COLOUR('',#3501); #3400=FILL_AREA_STYLE_COLOUR('',#3501); #3401=FILL_AREA_STYLE_COLOUR('',#3501); #3402=FILL_AREA_STYLE_COLOUR('',#3501); #3403=FILL_AREA_STYLE_COLOUR('',#3501); #3404=FILL_AREA_STYLE_COLOUR('',#3501); #3405=FILL_AREA_STYLE_COLOUR('',#3501); #3406=FILL_AREA_STYLE_COLOUR('',#3501); #3407=FILL_AREA_STYLE_COLOUR('',#3501); #3408=FILL_AREA_STYLE_COLOUR('',#3501); #3409=FILL_AREA_STYLE_COLOUR('',#3501); #3410=FILL_AREA_STYLE_COLOUR('',#3501); #3411=FILL_AREA_STYLE_COLOUR('',#3501); #3412=FILL_AREA_STYLE_COLOUR('',#3501); #3413=FILL_AREA_STYLE_COLOUR('',#3501); #3414=FILL_AREA_STYLE_COLOUR('',#3501); #3415=FILL_AREA_STYLE_COLOUR('',#3501); #3416=FILL_AREA_STYLE_COLOUR('',#3501); #3417=FILL_AREA_STYLE_COLOUR('',#3501); #3418=FILL_AREA_STYLE_COLOUR('',#3501); #3419=FILL_AREA_STYLE_COLOUR('',#3501); #3420=FILL_AREA_STYLE_COLOUR('',#3501); #3421=FILL_AREA_STYLE_COLOUR('',#3501); #3422=FILL_AREA_STYLE_COLOUR('',#3501); #3423=FILL_AREA_STYLE_COLOUR('',#3501); #3424=FILL_AREA_STYLE_COLOUR('',#3501); #3425=FILL_AREA_STYLE_COLOUR('',#3501); #3426=FILL_AREA_STYLE_COLOUR('',#3501); #3427=FILL_AREA_STYLE_COLOUR('',#3501); #3428=FILL_AREA_STYLE_COLOUR('',#3501); #3429=FILL_AREA_STYLE_COLOUR('',#3501); #3430=FILL_AREA_STYLE_COLOUR('',#3501); #3431=FILL_AREA_STYLE_COLOUR('',#3501); #3432=FILL_AREA_STYLE_COLOUR('',#3501); #3433=FILL_AREA_STYLE_COLOUR('',#3501); #3434=FILL_AREA_STYLE_COLOUR('',#3501); #3435=FILL_AREA_STYLE_COLOUR('',#3501); #3436=FILL_AREA_STYLE_COLOUR('',#3501); #3437=FILL_AREA_STYLE_COLOUR('',#3501); #3438=FILL_AREA_STYLE_COLOUR('',#3501); #3439=FILL_AREA_STYLE_COLOUR('',#3501); #3440=FILL_AREA_STYLE_COLOUR('',#3501); #3441=FILL_AREA_STYLE_COLOUR('',#3501); #3442=FILL_AREA_STYLE_COLOUR('',#3501); #3443=FILL_AREA_STYLE_COLOUR('',#3501); #3444=FILL_AREA_STYLE_COLOUR('',#3501); #3445=FILL_AREA_STYLE_COLOUR('',#3501); #3446=FILL_AREA_STYLE_COLOUR('',#3501); #3447=FILL_AREA_STYLE_COLOUR('',#3501); #3448=FILL_AREA_STYLE_COLOUR('',#3501); #3449=FILL_AREA_STYLE_COLOUR('',#3501); #3450=FILL_AREA_STYLE_COLOUR('',#3501); #3451=FILL_AREA_STYLE_COLOUR('',#3501); #3452=FILL_AREA_STYLE_COLOUR('',#3501); #3453=FILL_AREA_STYLE_COLOUR('',#3501); #3454=FILL_AREA_STYLE_COLOUR('',#3501); #3455=FILL_AREA_STYLE_COLOUR('',#3501); #3456=FILL_AREA_STYLE_COLOUR('',#3501); #3457=FILL_AREA_STYLE_COLOUR('',#3501); #3458=FILL_AREA_STYLE_COLOUR('',#3501); #3459=FILL_AREA_STYLE_COLOUR('',#3501); #3460=FILL_AREA_STYLE_COLOUR('',#3501); #3461=FILL_AREA_STYLE_COLOUR('',#3501); #3462=FILL_AREA_STYLE_COLOUR('',#3501); #3463=FILL_AREA_STYLE_COLOUR('',#3501); #3464=FILL_AREA_STYLE_COLOUR('',#3501); #3465=FILL_AREA_STYLE_COLOUR('',#3501); #3466=FILL_AREA_STYLE_COLOUR('',#3501); #3467=FILL_AREA_STYLE_COLOUR('',#3501); #3468=FILL_AREA_STYLE_COLOUR('',#3501); #3469=FILL_AREA_STYLE_COLOUR('',#3501); #3470=FILL_AREA_STYLE_COLOUR('',#3501); #3471=FILL_AREA_STYLE_COLOUR('',#3501); #3472=FILL_AREA_STYLE_COLOUR('',#3501); #3473=FILL_AREA_STYLE_COLOUR('',#3501); #3474=FILL_AREA_STYLE_COLOUR('',#3501); #3475=FILL_AREA_STYLE_COLOUR('',#3501); #3476=FILL_AREA_STYLE_COLOUR('',#3501); #3477=FILL_AREA_STYLE_COLOUR('',#3501); #3478=FILL_AREA_STYLE_COLOUR('',#3501); #3479=FILL_AREA_STYLE_COLOUR('',#3501); #3480=FILL_AREA_STYLE_COLOUR('',#3501); #3481=FILL_AREA_STYLE_COLOUR('',#3501); #3482=FILL_AREA_STYLE_COLOUR('',#3501); #3483=FILL_AREA_STYLE_COLOUR('',#3501); #3484=FILL_AREA_STYLE_COLOUR('',#3501); #3485=FILL_AREA_STYLE_COLOUR('',#3501); #3486=FILL_AREA_STYLE_COLOUR('',#3501); #3487=FILL_AREA_STYLE_COLOUR('',#3501); #3488=FILL_AREA_STYLE_COLOUR('',#3501); #3489=FILL_AREA_STYLE_COLOUR('',#3501); #3490=FILL_AREA_STYLE_COLOUR('',#3501); #3491=FILL_AREA_STYLE_COLOUR('',#3501); #3492=FILL_AREA_STYLE_COLOUR('',#3501); #3493=FILL_AREA_STYLE_COLOUR('',#3501); #3494=FILL_AREA_STYLE_COLOUR('',#3501); #3495=FILL_AREA_STYLE_COLOUR('',#3501); #3496=FILL_AREA_STYLE_COLOUR('',#3501); #3497=FILL_AREA_STYLE_COLOUR('',#23); #3498=COLOUR_RGB('Cyan',0.2,0.67843137254902,0.717647058823529); #3499=COLOUR_RGB('Red',0.725474937056535,0.18822003509575,0.109788662546731); #3500=COLOUR_RGB('Magenta Magenta Viol',0.8,0.,1.); #3501=COLOUR_RGB('Light Weak Magenta',0.8,0.6,0.8); #3502=COLOUR_RGB('Dark Hard Teal',0.,0.8,0.4); #3503=MANIFOLD_SOLID_BREP('',#3506); #3504=MANIFOLD_SOLID_BREP('',#3507); #3505=MANIFOLD_SOLID_BREP('',#3508); #3506=CLOSED_SHELL('',(#5190,#5191,#5192,#5193,#5194,#5195,#5196,#5197,#5198, #5199,#5200,#5201,#5202,#5203,#5204,#5205,#5206,#5207,#5208,#5209,#5210, #5211,#5212,#5213,#5214,#5215,#5216,#5217,#5218,#5219,#5220,#5221,#5222, #5223,#5224,#5225,#5226,#5227,#5228,#5229,#5230,#5231,#5232,#5233,#5234, #5235,#5236,#5237,#5238,#5239,#5240,#5241,#5242,#5243,#5244,#5245,#5246, #5247,#5248,#5249,#5250,#5251,#5252,#5253,#5254,#5255,#5256,#5257,#5258, #5259,#5260,#5261,#5262,#5263,#5264,#5265,#5266,#5267,#5268,#5269,#5270, #5271,#5272,#5273,#5274,#5275,#5276,#5277,#5278,#5279,#5280,#5281,#5282, #5283,#5284,#5285,#5286,#5287,#5288,#5289,#5290,#5291,#5292,#5293,#5294, #5295,#5296,#5297,#5298,#5299,#5300,#5301,#5302,#5303,#5304,#5305,#5306, #5307,#5308,#5309,#5310,#5311,#5312,#5313,#5314,#5315,#5316,#5317,#5318, #5319,#5320,#5321,#5322,#5323,#5324,#5325,#5326,#5327,#5328,#5329,#5330, #5331,#5332,#5333,#5334,#5335,#5336,#5337,#5338,#5339,#5340,#5341,#5342, #5343,#5344,#5345,#5346,#5347,#5348,#5349,#5350,#5351,#5352,#5353,#5354, #5355,#5356,#5357,#5358,#5359,#5360,#5361,#5362,#5363,#5364,#5365,#5366, #5367,#5368,#5369,#5370,#5371,#5372,#5373,#5374,#5375,#5376,#5377,#5378, #5379,#5380,#5381,#5382,#5383,#5384,#5385,#5386,#5387,#5388,#5389,#5390, #5391,#5392,#5393,#5394,#5395,#5396,#5397,#5398,#5399,#5400,#5401,#5402, #5403,#5404,#5405,#5406,#5407,#5408,#5409,#5410,#5411,#5412,#5413,#5414, #5415,#5416,#5417,#5418,#5419,#5420,#5421,#5422,#5423,#5424,#5425,#5426, #5427,#5428,#5429,#5430,#5431,#5432,#5433,#5434,#5435,#5436,#5437,#5438, #5439,#5440,#5441)); #3507=CLOSED_SHELL('',(#5442,#5443,#5444,#5445,#5446,#5447,#5448,#5449,#5450, #5451,#5452,#5453,#5454,#5455,#5456,#5457,#5458,#5459,#5460,#5461,#5462, #5463,#5464,#5465,#5466,#5467,#5468,#5469,#5470,#5471,#5472,#5473,#5474, #5475,#5476,#5477,#5478,#5479,#5480,#5481,#5482,#5483,#5484,#5485,#5486, #5487,#5488,#5489,#5490,#5491,#5492,#5493,#5494,#5495,#5496,#5497,#5498, #5499,#5500,#5501,#5502,#5503,#5504,#5505,#5506,#5507,#5508,#5509,#5510, #5511,#5512,#5513,#5514,#5515,#5516,#5517,#5518,#5519,#5520,#5521,#5522, #5523,#5524,#5525,#5526,#5527,#5528,#5529,#5530,#5531,#5532,#5533,#5534, #5535,#5536,#5537,#5538,#5539,#5540,#5541,#5542,#5543,#5544,#5545,#5546, #5547,#5548,#5549,#5550,#5551,#5552,#5553,#5554,#5555,#5556,#5557,#5558, #5559,#5560,#5561,#5562,#5563,#5564,#5565,#5566,#5567,#5568,#5569,#5570, #5571,#5572,#5573,#5574,#5575,#5576,#5577,#5578,#5579,#5580,#5581,#5582, #5583,#5584,#5585,#5586,#5587,#5588,#5589,#5590,#5591,#5592,#5593,#5594, #5595,#5596,#5597,#5598,#5599,#5600,#5601,#5602,#5603,#5604,#5605,#5606, #5607,#5608,#5609,#5610,#5611,#5612,#5613,#5614,#5615,#5616,#5617,#5618, #5619,#5620,#5621,#5622,#5623,#5624,#5625,#5626,#5627,#5628,#5629,#5630, #5631,#5632,#5633,#5634,#5635,#5636,#5637,#5638,#5639,#5640,#5641,#5642, #5643,#5644,#5645,#5646,#5647,#5648,#5649,#5650,#5651,#5652,#5653,#5654, #5655,#5656,#5657,#5658,#5659,#5660,#5661,#5662,#5663,#5664,#5665,#5666, #5667,#5668,#5669,#5670,#5671,#5672,#5673,#5674,#5675,#5676,#5677,#5678, #5679,#5680,#5681,#5682,#5683,#5684,#5685,#5686,#5687,#5688,#5689,#5690, #5691,#5692,#5693,#5694,#5695,#5696,#5697,#5698,#5699,#5700,#5701,#5702, #5703,#5704,#5705,#5706,#5707,#5708,#5709,#5710,#5711,#5712,#5713,#5714, #5715,#5716,#5717,#5718,#5719,#5720,#5721,#5722,#5723,#5724,#5725,#5726, #5727,#5728,#5729,#5730,#5731,#5732,#5733,#5734,#5735,#5736,#5737,#5738, #5739,#5740,#5741,#5742,#5743,#5744,#5745,#5746,#5747,#5748,#5749,#5750, #5751,#5752,#5753,#5754,#5755,#5756,#5757,#5758,#5759,#5760,#5761,#5762, #5763,#5764,#5765,#5766,#5767,#5768,#5769,#5770,#5771,#5772,#5773,#5774, #5775,#5776,#5777,#5778,#5779,#5780,#5781,#5782,#5783,#5784,#5785,#5786, #5787,#5788,#5789,#5790,#5791,#5792,#5793,#5794,#5795,#5796,#5797,#5798, #5799,#5800,#5801,#5802,#5803,#5804,#5805,#5806,#5807,#5808,#5809,#5810, #5811,#5812,#5813,#5814,#5815,#5816,#5817,#5818,#5819,#5820,#5821,#5822, #5823,#5824,#5825,#5826,#5827,#5828,#5829,#5830,#5831,#5832,#5833,#5834, #5835,#5836,#5837,#5838,#5839,#5840,#5841,#5842,#5843,#5844,#5845,#5846, #5847,#5848,#5849,#5850,#5851,#5852,#5853,#5854,#5855,#5856,#5857,#5858, #5859,#5860,#5861,#5862,#5863,#5864,#5865,#5866,#5867,#5868,#5869,#5870, #5871,#5872,#5873,#5874,#5875,#5876,#5877,#5878,#5879,#5880,#5881,#5882, #5883,#5884,#5885,#5886,#5887,#5888,#5889,#5890,#5891,#5892,#5893,#5894, #5895,#5896,#5897,#5898,#5899,#5900,#5901,#5902,#5903,#5904,#5905,#5906, #5907,#5908,#5909,#5910,#5911,#5912,#5913,#5914,#5915,#5916,#5917,#5918, #5919,#5920,#5921,#5922,#5923,#5924,#5925,#5926,#5927,#5928,#5929,#5930, #5931,#5932,#5933,#5934,#5935,#5936,#5937,#5938,#5939,#5940,#5941,#5942, #5943,#5944,#5945,#5946,#5947,#5948,#5949,#5950,#5951,#5952,#5953,#5954, #5955,#5956,#5957,#5958,#5959,#5960,#5961,#5962,#5963,#5964,#5965,#5966, #5967,#5968,#5969,#5970,#5971,#5972,#5973,#5974,#5975,#5976,#5977,#5978, #5979,#5980,#5981,#5982,#5983,#5984,#5985,#5986,#5987,#5988,#5989,#5990, #5991,#5992,#5993,#5994,#5995,#5996,#5997,#5998,#5999,#6000,#6001,#6002, #6003,#6004,#6005,#6006,#6007,#6008,#6009,#6010,#6011,#6012,#6013,#6014, #6015,#6016,#6017,#6018,#6019,#6020,#6021,#6022,#6023,#6024,#6025,#6026, #6027,#6028,#6029,#6030,#6031,#6032,#6033,#6034,#6035,#6036,#6037,#6038, #6039,#6040,#6041,#6042,#6043,#6044,#6045,#6046,#6047,#6048,#6049,#6050, #6051,#6052,#6053,#6054,#6055,#6056,#6057,#6058,#6059,#6060,#6061,#6062, #6063,#6064,#6065,#6066,#6067,#6068,#6069,#6070,#6071,#6072,#6073,#6074, #6075,#6076,#6077,#6078,#6079,#6080,#6081,#6082,#6083,#6084,#6085,#6086, #6087,#6088,#6089,#6090,#6091,#6092,#6093,#6094,#6095,#6096,#6097,#6098, #6099,#6100,#6101,#6102,#6103,#6104,#6105,#6106,#6107,#6108,#6109,#6110, #6111,#6112,#6113,#6114,#6115,#6116,#6117,#6118,#6119,#6120,#6121,#6122, #6123,#6124,#6125,#6126,#6127,#6128,#6129,#6130,#6131,#6132,#6133,#6134, #6135,#6136,#6137,#6138,#6139,#6140,#6141,#6142,#6143,#6144,#6145,#6146, #6147,#6148,#6149,#6150,#6151,#6152,#6153,#6154,#6155,#6156,#6157,#6158, #6159,#6160,#6161,#6162,#6163,#6164,#6165,#6166,#6167,#6168,#6169,#6170, #6171,#6172,#6173,#6174,#6175,#6176,#6177,#6178,#6179,#6180,#6181,#6182, #6183,#6184,#6185,#6186,#6187,#6188,#6189,#6190,#6191,#6192,#6193,#6194, #6195,#6196,#6197,#6198,#6199,#6200,#6201,#6202,#6203,#6204,#6205,#6206, #6207,#6208,#6209,#6210,#6211,#6212,#6213,#6214,#6215,#6216,#6217,#6218, #6219,#6220,#6221,#6222,#6223,#6224,#6225,#6226,#6227,#6228,#6229,#6230, #6231,#6232,#6233,#6234,#6235,#6236,#6237,#6238,#6239,#6240,#6241,#6242, #6243,#6244,#6245,#6246,#6247,#6248,#6249,#6250,#6251,#6252,#6253,#6254, #6255,#6256,#6257,#6258,#6259,#6260,#6261,#6262,#6263,#6264,#6265,#6266, #6267,#6268,#6269,#6270,#6271,#6272,#6273,#6274,#6275,#6276,#6277,#6278, #6279,#6280,#6281,#6282,#6283,#6284,#6285,#6286,#6287,#6288,#6289,#6290, #6291,#6292,#6293,#6294,#6295,#6296,#6297,#6298,#6299,#6300,#6301,#6302, #6303,#6304,#6305,#6306,#6307,#6308,#6309,#6310,#6311,#6312,#6313,#6314, #6315,#6316,#6317,#6318,#6319,#6320,#6321,#6322,#6323,#6324,#6325,#6326, #6327,#6328,#6329,#6330,#6331,#6332,#6333,#6334,#6335,#6336,#6337,#6338, #6339,#6340,#6341,#6342,#6343,#6344,#6345,#6346,#6347,#6348,#6349,#6350, #6351,#6352,#6353,#6354,#6355,#6356,#6357,#6358,#6359,#6360,#6361,#6362, #6363,#6364,#6365,#6366,#6367,#6368,#6369,#6370,#6371,#6372,#6373,#6374, #6375,#6376,#6377,#6378,#6379,#6380,#6381,#6382,#6383,#6384,#6385,#6386, #6387,#6388,#6389,#6390,#6391,#6392,#6393,#6394,#6395,#6396,#6397,#6398, #6399,#6400,#6401,#6402,#6403,#6404,#6405,#6406,#6407,#6408,#6409,#6410, #6411,#6412,#6413)); #3508=CLOSED_SHELL('',(#6414,#6415,#6416,#6417,#6418,#6419,#6420,#6421,#6422, #6423,#6424,#6425,#6426,#6427,#6428,#6429,#6430,#6431,#6432,#6433,#6434, #6435,#6436,#6437,#6438,#6439,#6440,#6441,#6442,#6443,#6444,#6445,#6446, #6447,#6448,#6449,#6450,#6451,#6452,#6453,#6454,#6455,#6456,#6457,#6458, #6459,#6460,#6461,#6462,#6463,#6464,#6465,#6466,#6467,#6468,#6469,#6470, #6471,#6472,#6473,#6474,#6475,#6476,#6477,#6478,#6479,#6480,#6481,#6482, #6483,#6484,#6485,#6486,#6487,#6488,#6489,#6490,#6491,#6492,#6493,#6494, #6495,#6496,#6497,#6498,#6499,#6500,#6501,#6502,#6503,#6504,#6505,#6506, #6507,#6508,#6509,#6510,#6511,#6512,#6513,#6514,#6515,#6516,#6517,#6518, #6519,#6520,#6521,#6522,#6523,#6524,#6525,#6526,#6527,#6528,#6529,#6530, #6531,#6532,#6533,#6534,#6535,#6536,#6537,#6538,#6539,#6540,#6541,#6542, #6543,#6544,#6545,#6546,#6547,#6548,#6549,#6550,#6551,#6552,#6553,#6554, #6555,#6556,#6557,#6558,#6559,#6560,#6561,#6562,#6563,#6564,#6565,#6566, #6567,#6568,#6569,#6570,#6571,#6572,#6573,#6574,#6575,#6576,#6577,#6578, #6579,#6580,#6581,#6582,#6583,#6584,#6585,#6586,#6587,#6588,#6589,#6590, #6591,#6592,#6593,#6594,#6595,#6596,#6597,#6598,#6599,#6600,#6601,#6602, #6603,#6604,#6605,#6606,#6607,#6608,#6609,#6610,#6611,#6612,#6613,#6614, #6615,#6616,#6617,#6618,#6619,#6620,#6621,#6622,#6623,#6624,#6625,#6626, #6627,#6628,#6629,#6630,#6631,#6632,#6633,#6634,#6635,#6636,#6637,#6638, #6639,#6640,#6641,#6642,#6643,#6644,#6645,#6646,#6647,#6648,#6649,#6650, #6651,#6652,#6653,#6654,#6655,#6656,#6657,#6658,#6659,#6660,#6661,#6662, #6663,#6664,#6665,#6666,#6667,#6668,#6669,#6670,#6671,#6672,#6673,#6674, #6675,#6676,#6677,#6678,#6679,#6680,#6681,#6682,#6683)); #3509=SURFACE_OF_LINEAR_EXTRUSION('',#31802,#11762); #3510=SURFACE_OF_LINEAR_EXTRUSION('',#31804,#11765); #3511=SURFACE_OF_LINEAR_EXTRUSION('',#31806,#11767); #3512=SURFACE_OF_LINEAR_EXTRUSION('',#31809,#11768); #3513=SURFACE_OF_LINEAR_EXTRUSION('',#31811,#11769); #3514=SURFACE_OF_LINEAR_EXTRUSION('',#31814,#11770); #3515=SURFACE_OF_LINEAR_EXTRUSION('',#31825,#11780); #3516=SURFACE_OF_LINEAR_EXTRUSION('',#31829,#11784); #3517=SURFACE_OF_LINEAR_EXTRUSION('',#31834,#11788); #3518=SURFACE_OF_LINEAR_EXTRUSION('',#31841,#11793); #3519=SURFACE_OF_LINEAR_EXTRUSION('',#31844,#11794); #3520=SURFACE_OF_LINEAR_EXTRUSION('',#31850,#11801); #3521=SURFACE_OF_LINEAR_EXTRUSION('',#31853,#11804); #3522=SURFACE_OF_LINEAR_EXTRUSION('',#31873,#11820); #3523=SURFACE_OF_LINEAR_EXTRUSION('',#31875,#11821); #3524=SURFACE_OF_LINEAR_EXTRUSION('',#31878,#11822); #3525=SURFACE_OF_LINEAR_EXTRUSION('',#31920,#11861); #3526=SURFACE_OF_LINEAR_EXTRUSION('',#31922,#11864); #3527=SURFACE_OF_LINEAR_EXTRUSION('',#31924,#11865); #3528=SURFACE_OF_LINEAR_EXTRUSION('',#31927,#11866); #3529=SURFACE_OF_LINEAR_EXTRUSION('',#32199,#14693); #3530=SURFACE_OF_LINEAR_EXTRUSION('',#32204,#14697); #3531=SURFACE_OF_LINEAR_EXTRUSION('',#32209,#14701); #3532=SURFACE_OF_LINEAR_EXTRUSION('',#32216,#14709); #3533=SURFACE_OF_LINEAR_EXTRUSION('',#32218,#14710); #3534=SURFACE_OF_LINEAR_EXTRUSION('',#32225,#14716); #3535=SURFACE_OF_LINEAR_EXTRUSION('',#32227,#14718); #3536=SURFACE_OF_LINEAR_EXTRUSION('',#32231,#14721); #3537=SURFACE_OF_LINEAR_EXTRUSION('',#32235,#14726); #3538=SURFACE_OF_LINEAR_EXTRUSION('',#32237,#14728); #3539=SURFACE_OF_LINEAR_EXTRUSION('',#32240,#14731); #3540=SURFACE_OF_LINEAR_EXTRUSION('',#32243,#14734); #3541=SURFACE_OF_LINEAR_EXTRUSION('',#32252,#14743); #3542=SURFACE_OF_LINEAR_EXTRUSION('',#32255,#14745); #3543=SURFACE_OF_LINEAR_EXTRUSION('',#32270,#14761); #3544=SURFACE_OF_LINEAR_EXTRUSION('',#32272,#14763); #3545=SURFACE_OF_LINEAR_EXTRUSION('',#32274,#14765); #3546=SURFACE_OF_LINEAR_EXTRUSION('',#32283,#14773); #3547=SURFACE_OF_LINEAR_EXTRUSION('',#32285,#14776); #3548=SURFACE_OF_LINEAR_EXTRUSION('',#32287,#14778); #3549=SURFACE_OF_LINEAR_EXTRUSION('',#32289,#14781); #3550=SURFACE_OF_LINEAR_EXTRUSION('',#32291,#14782); #3551=SURFACE_OF_LINEAR_EXTRUSION('',#32293,#14783); #3552=SURFACE_OF_LINEAR_EXTRUSION('',#32304,#14792); #3553=SURFACE_OF_LINEAR_EXTRUSION('',#32307,#14794); #3554=SURFACE_OF_LINEAR_EXTRUSION('',#32314,#14802); #3555=SURFACE_OF_LINEAR_EXTRUSION('',#32316,#14804); #3556=SURFACE_OF_LINEAR_EXTRUSION('',#32321,#14806); #3557=CONICAL_SURFACE('',#32484,4.3745,45.0000000000003); #3558=CONICAL_SURFACE('',#33888,3.857107,45.); #3559=CONICAL_SURFACE('',#33893,3.857107,45.); #3560=CONICAL_SURFACE('',#33898,4.357107,45.); #3561=CONICAL_SURFACE('',#33903,4.357107,45.); #3562=CYLINDRICAL_SURFACE('',#32344,0.55); #3563=CYLINDRICAL_SURFACE('',#32346,0.550000000000003); #3564=CYLINDRICAL_SURFACE('',#32349,0.549999999999999); #3565=CYLINDRICAL_SURFACE('',#32351,0.550000000000002); #3566=CYLINDRICAL_SURFACE('',#32368,0.2); #3567=CYLINDRICAL_SURFACE('',#32370,0.2); #3568=CYLINDRICAL_SURFACE('',#32372,0.2); #3569=CYLINDRICAL_SURFACE('',#32374,0.2); #3570=CYLINDRICAL_SURFACE('',#32376,0.2); #3571=CYLINDRICAL_SURFACE('',#32378,0.2); #3572=CYLINDRICAL_SURFACE('',#32389,0.8); #3573=CYLINDRICAL_SURFACE('',#32416,1.275); #3574=CYLINDRICAL_SURFACE('',#32418,1.275); #3575=CYLINDRICAL_SURFACE('',#32421,2.1); #3576=CYLINDRICAL_SURFACE('',#32430,2.1); #3577=CYLINDRICAL_SURFACE('',#32454,1.); #3578=CYLINDRICAL_SURFACE('',#32455,1.); #3579=CYLINDRICAL_SURFACE('',#32456,1.); #3580=CYLINDRICAL_SURFACE('',#32457,1.); #3581=CYLINDRICAL_SURFACE('',#32458,0.5); #3582=CYLINDRICAL_SURFACE('',#32459,0.5); #3583=CYLINDRICAL_SURFACE('',#32460,0.5); #3584=CYLINDRICAL_SURFACE('',#32461,0.5); #3585=CYLINDRICAL_SURFACE('',#32462,1.1); #3586=CYLINDRICAL_SURFACE('',#32463,1.1); #3587=CYLINDRICAL_SURFACE('',#32464,1.1); #3588=CYLINDRICAL_SURFACE('',#32465,1.1); #3589=CYLINDRICAL_SURFACE('',#32466,1.1); #3590=CYLINDRICAL_SURFACE('',#32467,1.1); #3591=CYLINDRICAL_SURFACE('',#32483,4.4745); #3592=CYLINDRICAL_SURFACE('',#32487,0.55); #3593=CYLINDRICAL_SURFACE('',#32490,0.55); #3594=CYLINDRICAL_SURFACE('',#32502,0.8); #3595=CYLINDRICAL_SURFACE('',#32503,0.8); #3596=CYLINDRICAL_SURFACE('',#32505,0.8); #3597=CYLINDRICAL_SURFACE('',#32507,0.8); #3598=CYLINDRICAL_SURFACE('',#32508,0.8); #3599=CYLINDRICAL_SURFACE('',#32517,3.75); #3600=CYLINDRICAL_SURFACE('',#32518,0.8); #3601=CYLINDRICAL_SURFACE('',#32519,0.8); #3602=CYLINDRICAL_SURFACE('',#32521,0.2); #3603=CYLINDRICAL_SURFACE('',#32523,0.2); #3604=CYLINDRICAL_SURFACE('',#32525,0.2); #3605=CYLINDRICAL_SURFACE('',#32526,0.2); #3606=CYLINDRICAL_SURFACE('',#32527,0.2); #3607=CYLINDRICAL_SURFACE('',#32528,0.2); #3608=CYLINDRICAL_SURFACE('',#32548,0.2); #3609=CYLINDRICAL_SURFACE('',#32549,0.2); #3610=CYLINDRICAL_SURFACE('',#32550,0.2); #3611=CYLINDRICAL_SURFACE('',#32551,0.2); #3612=CYLINDRICAL_SURFACE('',#32552,6.25); #3613=CYLINDRICAL_SURFACE('',#32553,6.25); #3614=CYLINDRICAL_SURFACE('',#32554,2.); #3615=CYLINDRICAL_SURFACE('',#32555,2.); #3616=CYLINDRICAL_SURFACE('',#32556,2.); #3617=CYLINDRICAL_SURFACE('',#32557,2.); #3618=CYLINDRICAL_SURFACE('',#32968,0.04); #3619=CYLINDRICAL_SURFACE('',#32973,0.04); #3620=CYLINDRICAL_SURFACE('',#32978,0.04); #3621=CYLINDRICAL_SURFACE('',#32983,0.04); #3622=CYLINDRICAL_SURFACE('',#32988,0.04); #3623=CYLINDRICAL_SURFACE('',#32993,0.04); #3624=CYLINDRICAL_SURFACE('',#32998,0.04); #3625=CYLINDRICAL_SURFACE('',#33003,0.04); #3626=CYLINDRICAL_SURFACE('',#33008,0.04); #3627=CYLINDRICAL_SURFACE('',#33013,0.04); #3628=CYLINDRICAL_SURFACE('',#33018,0.04); #3629=CYLINDRICAL_SURFACE('',#33023,0.04); #3630=CYLINDRICAL_SURFACE('',#33028,0.04); #3631=CYLINDRICAL_SURFACE('',#33033,0.04); #3632=CYLINDRICAL_SURFACE('',#33038,0.04); #3633=CYLINDRICAL_SURFACE('',#33043,0.04); #3634=CYLINDRICAL_SURFACE('',#33100,0.35); #3635=CYLINDRICAL_SURFACE('',#33103,0.35); #3636=CYLINDRICAL_SURFACE('',#33112,0.35); #3637=CYLINDRICAL_SURFACE('',#33115,0.35); #3638=CYLINDRICAL_SURFACE('',#33124,0.35); #3639=CYLINDRICAL_SURFACE('',#33127,0.35); #3640=CYLINDRICAL_SURFACE('',#33136,0.35); #3641=CYLINDRICAL_SURFACE('',#33139,0.35); #3642=CYLINDRICAL_SURFACE('',#33148,0.35); #3643=CYLINDRICAL_SURFACE('',#33151,0.35); #3644=CYLINDRICAL_SURFACE('',#33160,0.35); #3645=CYLINDRICAL_SURFACE('',#33163,0.35); #3646=CYLINDRICAL_SURFACE('',#33172,0.35); #3647=CYLINDRICAL_SURFACE('',#33175,0.35); #3648=CYLINDRICAL_SURFACE('',#33184,0.35); #3649=CYLINDRICAL_SURFACE('',#33187,0.35); #3650=CYLINDRICAL_SURFACE('',#33196,0.35); #3651=CYLINDRICAL_SURFACE('',#33199,0.35); #3652=CYLINDRICAL_SURFACE('',#33208,0.35); #3653=CYLINDRICAL_SURFACE('',#33211,0.35); #3654=CYLINDRICAL_SURFACE('',#33220,0.35); #3655=CYLINDRICAL_SURFACE('',#33223,0.35); #3656=CYLINDRICAL_SURFACE('',#33232,0.35); #3657=CYLINDRICAL_SURFACE('',#33235,0.35); #3658=CYLINDRICAL_SURFACE('',#33244,0.35); #3659=CYLINDRICAL_SURFACE('',#33247,0.35); #3660=CYLINDRICAL_SURFACE('',#33256,0.35); #3661=CYLINDRICAL_SURFACE('',#33259,0.35); #3662=CYLINDRICAL_SURFACE('',#33268,0.35); #3663=CYLINDRICAL_SURFACE('',#33271,0.35); #3664=CYLINDRICAL_SURFACE('',#33508,0.35); #3665=CYLINDRICAL_SURFACE('',#33512,0.35); #3666=CYLINDRICAL_SURFACE('',#33518,7.); #3667=CYLINDRICAL_SURFACE('',#33524,7.); #3668=CYLINDRICAL_SURFACE('',#33530,7.); #3669=CYLINDRICAL_SURFACE('',#33536,7.); #3670=CYLINDRICAL_SURFACE('',#33542,7.); #3671=CYLINDRICAL_SURFACE('',#33548,7.); #3672=CYLINDRICAL_SURFACE('',#33554,7.); #3673=CYLINDRICAL_SURFACE('',#33560,7.); #3674=CYLINDRICAL_SURFACE('',#33566,7.); #3675=CYLINDRICAL_SURFACE('',#33572,7.); #3676=CYLINDRICAL_SURFACE('',#33578,7.); #3677=CYLINDRICAL_SURFACE('',#33584,7.); #3678=CYLINDRICAL_SURFACE('',#33590,7.); #3679=CYLINDRICAL_SURFACE('',#33596,7.); #3680=CYLINDRICAL_SURFACE('',#33602,7.); #3681=CYLINDRICAL_SURFACE('',#33608,7.); #3682=CYLINDRICAL_SURFACE('',#33616,0.35); #3683=CYLINDRICAL_SURFACE('',#33618,0.35); #3684=CYLINDRICAL_SURFACE('',#33792,0.1); #3685=CYLINDRICAL_SURFACE('',#33794,0.1); #3686=CYLINDRICAL_SURFACE('',#33797,0.1); #3687=CYLINDRICAL_SURFACE('',#33821,0.1); #3688=CYLINDRICAL_SURFACE('',#33827,0.1); #3689=CYLINDRICAL_SURFACE('',#33830,0.1); #3690=CYLINDRICAL_SURFACE('',#33859,1.175); #3691=CYLINDRICAL_SURFACE('',#33872,1.175); #3692=CYLINDRICAL_SURFACE('',#33906,0.5); #3693=CYLINDRICAL_SURFACE('',#33909,0.5); #3694=CYLINDRICAL_SURFACE('',#33911,0.5); #3695=CYLINDRICAL_SURFACE('',#33914,0.5); #3696=CYLINDRICAL_SURFACE('',#33916,0.5); #3697=CYLINDRICAL_SURFACE('',#33919,0.5); #3698=CYLINDRICAL_SURFACE('',#33921,0.5); #3699=CYLINDRICAL_SURFACE('',#33924,0.5); #3700=CYLINDRICAL_SURFACE('',#33926,1.); #3701=CYLINDRICAL_SURFACE('',#33929,1.); #3702=CYLINDRICAL_SURFACE('',#33931,1.); #3703=CYLINDRICAL_SURFACE('',#33934,1.); #3704=CYLINDRICAL_SURFACE('',#33936,1.); #3705=CYLINDRICAL_SURFACE('',#33939,1.); #3706=CYLINDRICAL_SURFACE('',#33941,1.); #3707=CYLINDRICAL_SURFACE('',#33944,1.); #3708=CYLINDRICAL_SURFACE('',#33945,0.340550262205687); #3709=CYLINDRICAL_SURFACE('',#33946,0.340550262205673); #3710=CYLINDRICAL_SURFACE('',#33947,0.340550262205688); #3711=CYLINDRICAL_SURFACE('',#33948,0.340550262205689); #3712=CYLINDRICAL_SURFACE('',#33962,0.5); #3713=CYLINDRICAL_SURFACE('',#33963,1.); #3714=CYLINDRICAL_SURFACE('',#33964,0.75); #3715=ELLIPSE('',#32340,0.777817459305199,0.55); #3716=ELLIPSE('',#32345,0.777817459305204,0.550000000000003); #3717=ELLIPSE('',#32347,0.777817459305199,0.549999999999999); #3718=ELLIPSE('',#32350,0.777817459305203,0.550000000000002); #3719=ELLIPSE('',#32366,0.282842712474618,0.2); #3720=ELLIPSE('',#32369,0.282842712474618,0.2); #3721=ELLIPSE('',#32371,0.282842712474618,0.2); #3722=ELLIPSE('',#32373,0.282842712474618,0.2); #3723=ELLIPSE('',#32375,0.282842712474618,0.2); #3724=ELLIPSE('',#32377,0.282842712474618,0.2); #3725=ELLIPSE('',#32486,0.7778174593052,0.55); #3726=ELLIPSE('',#32488,0.7778174593052,0.55); #3727=ELLIPSE('',#32520,0.282842712474618,0.2); #3728=ELLIPSE('',#32522,0.282842712474618,0.2); #3729=ELLIPSE('',#32524,0.282842712474618,0.2); #3730=FACE_OUTER_BOUND('',#14936,.T.); #3731=FACE_OUTER_BOUND('',#14937,.T.); #3732=FACE_OUTER_BOUND('',#14938,.T.); #3733=FACE_OUTER_BOUND('',#14939,.T.); #3734=FACE_OUTER_BOUND('',#14940,.T.); #3735=FACE_OUTER_BOUND('',#14941,.T.); #3736=FACE_OUTER_BOUND('',#14942,.T.); #3737=FACE_OUTER_BOUND('',#14943,.T.); #3738=FACE_OUTER_BOUND('',#14944,.T.); #3739=FACE_OUTER_BOUND('',#14945,.T.); #3740=FACE_OUTER_BOUND('',#14946,.T.); #3741=FACE_OUTER_BOUND('',#14947,.T.); #3742=FACE_OUTER_BOUND('',#14948,.T.); #3743=FACE_OUTER_BOUND('',#14949,.T.); #3744=FACE_OUTER_BOUND('',#14950,.T.); #3745=FACE_OUTER_BOUND('',#14951,.T.); #3746=FACE_OUTER_BOUND('',#14954,.T.); #3747=FACE_OUTER_BOUND('',#14957,.T.); #3748=FACE_OUTER_BOUND('',#14958,.T.); #3749=FACE_OUTER_BOUND('',#14959,.T.); #3750=FACE_OUTER_BOUND('',#14960,.T.); #3751=FACE_OUTER_BOUND('',#14961,.T.); #3752=FACE_OUTER_BOUND('',#14968,.T.); #3753=FACE_OUTER_BOUND('',#14969,.T.); #3754=FACE_OUTER_BOUND('',#14970,.T.); #3755=FACE_OUTER_BOUND('',#14971,.T.); #3756=FACE_OUTER_BOUND('',#14972,.T.); #3757=FACE_OUTER_BOUND('',#14973,.T.); #3758=FACE_OUTER_BOUND('',#14974,.T.); #3759=FACE_OUTER_BOUND('',#14979,.T.); #3760=FACE_OUTER_BOUND('',#14980,.T.); #3761=FACE_OUTER_BOUND('',#14981,.T.); #3762=FACE_OUTER_BOUND('',#14982,.T.); #3763=FACE_OUTER_BOUND('',#14983,.T.); #3764=FACE_OUTER_BOUND('',#14984,.T.); #3765=FACE_OUTER_BOUND('',#14985,.T.); #3766=FACE_OUTER_BOUND('',#14986,.T.); #3767=FACE_OUTER_BOUND('',#14987,.T.); #3768=FACE_OUTER_BOUND('',#14988,.T.); #3769=FACE_OUTER_BOUND('',#14989,.T.); #3770=FACE_OUTER_BOUND('',#14990,.T.); #3771=FACE_OUTER_BOUND('',#14991,.T.); #3772=FACE_OUTER_BOUND('',#14992,.T.); #3773=FACE_OUTER_BOUND('',#14993,.T.); #3774=FACE_OUTER_BOUND('',#14994,.T.); #3775=FACE_OUTER_BOUND('',#14995,.T.); #3776=FACE_OUTER_BOUND('',#14996,.T.); #3777=FACE_OUTER_BOUND('',#14997,.T.); #3778=FACE_OUTER_BOUND('',#14998,.T.); #3779=FACE_OUTER_BOUND('',#14999,.T.); #3780=FACE_OUTER_BOUND('',#15000,.T.); #3781=FACE_OUTER_BOUND('',#15001,.T.); #3782=FACE_OUTER_BOUND('',#15004,.T.); #3783=FACE_OUTER_BOUND('',#15009,.T.); #3784=FACE_OUTER_BOUND('',#15010,.T.); #3785=FACE_OUTER_BOUND('',#15011,.T.); #3786=FACE_OUTER_BOUND('',#15012,.T.); #3787=FACE_OUTER_BOUND('',#15013,.T.); #3788=FACE_OUTER_BOUND('',#15014,.T.); #3789=FACE_OUTER_BOUND('',#15015,.T.); #3790=FACE_OUTER_BOUND('',#15016,.T.); #3791=FACE_OUTER_BOUND('',#15017,.T.); #3792=FACE_OUTER_BOUND('',#15018,.T.); #3793=FACE_OUTER_BOUND('',#15019,.T.); #3794=FACE_OUTER_BOUND('',#15020,.T.); #3795=FACE_OUTER_BOUND('',#15021,.T.); #3796=FACE_OUTER_BOUND('',#15022,.T.); #3797=FACE_OUTER_BOUND('',#15023,.T.); #3798=FACE_OUTER_BOUND('',#15026,.T.); #3799=FACE_OUTER_BOUND('',#15027,.T.); #3800=FACE_OUTER_BOUND('',#15028,.T.); #3801=FACE_OUTER_BOUND('',#15029,.T.); #3802=FACE_OUTER_BOUND('',#15030,.T.); #3803=FACE_OUTER_BOUND('',#15031,.T.); #3804=FACE_OUTER_BOUND('',#15032,.T.); #3805=FACE_OUTER_BOUND('',#15033,.T.); #3806=FACE_OUTER_BOUND('',#15034,.T.); #3807=FACE_OUTER_BOUND('',#15035,.T.); #3808=FACE_OUTER_BOUND('',#15036,.T.); #3809=FACE_OUTER_BOUND('',#15037,.T.); #3810=FACE_OUTER_BOUND('',#15038,.T.); #3811=FACE_OUTER_BOUND('',#15039,.T.); #3812=FACE_OUTER_BOUND('',#15040,.T.); #3813=FACE_OUTER_BOUND('',#15041,.T.); #3814=FACE_OUTER_BOUND('',#15042,.T.); #3815=FACE_OUTER_BOUND('',#15043,.T.); #3816=FACE_OUTER_BOUND('',#15044,.T.); #3817=FACE_OUTER_BOUND('',#15045,.T.); #3818=FACE_OUTER_BOUND('',#15046,.T.); #3819=FACE_OUTER_BOUND('',#15047,.T.); #3820=FACE_OUTER_BOUND('',#15048,.T.); #3821=FACE_OUTER_BOUND('',#15049,.T.); #3822=FACE_OUTER_BOUND('',#15050,.T.); #3823=FACE_OUTER_BOUND('',#15051,.T.); #3824=FACE_OUTER_BOUND('',#15052,.T.); #3825=FACE_OUTER_BOUND('',#15053,.T.); #3826=FACE_OUTER_BOUND('',#15054,.T.); #3827=FACE_OUTER_BOUND('',#15055,.T.); #3828=FACE_OUTER_BOUND('',#15056,.T.); #3829=FACE_OUTER_BOUND('',#15057,.T.); #3830=FACE_OUTER_BOUND('',#15058,.T.); #3831=FACE_OUTER_BOUND('',#15059,.T.); #3832=FACE_OUTER_BOUND('',#15060,.T.); #3833=FACE_OUTER_BOUND('',#15061,.T.); #3834=FACE_OUTER_BOUND('',#15062,.T.); #3835=FACE_OUTER_BOUND('',#15063,.T.); #3836=FACE_OUTER_BOUND('',#15064,.T.); #3837=FACE_OUTER_BOUND('',#15065,.T.); #3838=FACE_OUTER_BOUND('',#15066,.T.); #3839=FACE_OUTER_BOUND('',#15067,.T.); #3840=FACE_OUTER_BOUND('',#15068,.T.); #3841=FACE_OUTER_BOUND('',#15069,.T.); #3842=FACE_OUTER_BOUND('',#15072,.T.); #3843=FACE_OUTER_BOUND('',#15073,.T.); #3844=FACE_OUTER_BOUND('',#15077,.T.); #3845=FACE_OUTER_BOUND('',#15078,.T.); #3846=FACE_OUTER_BOUND('',#15079,.T.); #3847=FACE_OUTER_BOUND('',#15080,.T.); #3848=FACE_OUTER_BOUND('',#15081,.T.); #3849=FACE_OUTER_BOUND('',#15082,.T.); #3850=FACE_OUTER_BOUND('',#15083,.T.); #3851=FACE_OUTER_BOUND('',#15084,.T.); #3852=FACE_OUTER_BOUND('',#15085,.T.); #3853=FACE_OUTER_BOUND('',#15086,.T.); #3854=FACE_OUTER_BOUND('',#15087,.T.); #3855=FACE_OUTER_BOUND('',#15088,.T.); #3856=FACE_OUTER_BOUND('',#15089,.T.); #3857=FACE_OUTER_BOUND('',#15090,.T.); #3858=FACE_OUTER_BOUND('',#15091,.T.); #3859=FACE_OUTER_BOUND('',#15092,.T.); #3860=FACE_OUTER_BOUND('',#15093,.T.); #3861=FACE_OUTER_BOUND('',#15094,.T.); #3862=FACE_OUTER_BOUND('',#15097,.T.); #3863=FACE_OUTER_BOUND('',#15098,.T.); #3864=FACE_OUTER_BOUND('',#15099,.T.); #3865=FACE_OUTER_BOUND('',#15100,.T.); #3866=FACE_OUTER_BOUND('',#15101,.T.); #3867=FACE_OUTER_BOUND('',#15106,.T.); #3868=FACE_OUTER_BOUND('',#15107,.T.); #3869=FACE_OUTER_BOUND('',#15108,.T.); #3870=FACE_OUTER_BOUND('',#15109,.T.); #3871=FACE_OUTER_BOUND('',#15110,.T.); #3872=FACE_OUTER_BOUND('',#15111,.T.); #3873=FACE_OUTER_BOUND('',#15112,.T.); #3874=FACE_OUTER_BOUND('',#15113,.T.); #3875=FACE_OUTER_BOUND('',#15114,.T.); #3876=FACE_OUTER_BOUND('',#15115,.T.); #3877=FACE_OUTER_BOUND('',#15116,.T.); #3878=FACE_OUTER_BOUND('',#15117,.T.); #3879=FACE_OUTER_BOUND('',#15118,.T.); #3880=FACE_OUTER_BOUND('',#15119,.T.); #3881=FACE_OUTER_BOUND('',#15120,.T.); #3882=FACE_OUTER_BOUND('',#15121,.T.); #3883=FACE_OUTER_BOUND('',#15122,.T.); #3884=FACE_OUTER_BOUND('',#15123,.T.); #3885=FACE_OUTER_BOUND('',#15124,.T.); #3886=FACE_OUTER_BOUND('',#15125,.T.); #3887=FACE_OUTER_BOUND('',#15126,.T.); #3888=FACE_OUTER_BOUND('',#15127,.T.); #3889=FACE_OUTER_BOUND('',#15128,.T.); #3890=FACE_OUTER_BOUND('',#15131,.T.); #3891=FACE_OUTER_BOUND('',#15132,.T.); #3892=FACE_OUTER_BOUND('',#15135,.T.); #3893=FACE_OUTER_BOUND('',#15138,.T.); #3894=FACE_OUTER_BOUND('',#15139,.T.); #3895=FACE_OUTER_BOUND('',#15140,.T.); #3896=FACE_OUTER_BOUND('',#15141,.T.); #3897=FACE_OUTER_BOUND('',#15142,.T.); #3898=FACE_OUTER_BOUND('',#15143,.T.); #3899=FACE_OUTER_BOUND('',#15144,.T.); #3900=FACE_OUTER_BOUND('',#15145,.T.); #3901=FACE_OUTER_BOUND('',#15146,.T.); #3902=FACE_OUTER_BOUND('',#15147,.T.); #3903=FACE_OUTER_BOUND('',#15148,.T.); #3904=FACE_OUTER_BOUND('',#15149,.T.); #3905=FACE_OUTER_BOUND('',#15150,.T.); #3906=FACE_OUTER_BOUND('',#15151,.T.); #3907=FACE_OUTER_BOUND('',#15152,.T.); #3908=FACE_OUTER_BOUND('',#15153,.T.); #3909=FACE_OUTER_BOUND('',#15154,.T.); #3910=FACE_OUTER_BOUND('',#15155,.T.); #3911=FACE_OUTER_BOUND('',#15156,.T.); #3912=FACE_OUTER_BOUND('',#15157,.T.); #3913=FACE_OUTER_BOUND('',#15158,.T.); #3914=FACE_OUTER_BOUND('',#15159,.T.); #3915=FACE_OUTER_BOUND('',#15160,.T.); #3916=FACE_OUTER_BOUND('',#15161,.T.); #3917=FACE_OUTER_BOUND('',#15162,.T.); #3918=FACE_OUTER_BOUND('',#15165,.T.); #3919=FACE_OUTER_BOUND('',#15166,.T.); #3920=FACE_OUTER_BOUND('',#15167,.T.); #3921=FACE_OUTER_BOUND('',#15168,.T.); #3922=FACE_OUTER_BOUND('',#15169,.T.); #3923=FACE_OUTER_BOUND('',#15170,.T.); #3924=FACE_OUTER_BOUND('',#15171,.T.); #3925=FACE_OUTER_BOUND('',#15172,.T.); #3926=FACE_OUTER_BOUND('',#15173,.T.); #3927=FACE_OUTER_BOUND('',#15174,.T.); #3928=FACE_OUTER_BOUND('',#15175,.T.); #3929=FACE_OUTER_BOUND('',#15176,.T.); #3930=FACE_OUTER_BOUND('',#15177,.T.); #3931=FACE_OUTER_BOUND('',#15178,.T.); #3932=FACE_OUTER_BOUND('',#15179,.T.); #3933=FACE_OUTER_BOUND('',#15180,.T.); #3934=FACE_OUTER_BOUND('',#15181,.T.); #3935=FACE_OUTER_BOUND('',#15182,.T.); #3936=FACE_OUTER_BOUND('',#15183,.T.); #3937=FACE_OUTER_BOUND('',#15186,.T.); #3938=FACE_OUTER_BOUND('',#15187,.T.); #3939=FACE_OUTER_BOUND('',#15188,.T.); #3940=FACE_OUTER_BOUND('',#15189,.T.); #3941=FACE_OUTER_BOUND('',#15190,.T.); #3942=FACE_OUTER_BOUND('',#15191,.T.); #3943=FACE_OUTER_BOUND('',#15192,.T.); #3944=FACE_OUTER_BOUND('',#15193,.T.); #3945=FACE_OUTER_BOUND('',#15194,.T.); #3946=FACE_OUTER_BOUND('',#15195,.T.); #3947=FACE_OUTER_BOUND('',#15196,.T.); #3948=FACE_OUTER_BOUND('',#15197,.T.); #3949=FACE_OUTER_BOUND('',#15198,.T.); #3950=FACE_OUTER_BOUND('',#15199,.T.); #3951=FACE_OUTER_BOUND('',#15200,.T.); #3952=FACE_OUTER_BOUND('',#15201,.T.); #3953=FACE_OUTER_BOUND('',#15202,.T.); #3954=FACE_OUTER_BOUND('',#15203,.T.); #3955=FACE_OUTER_BOUND('',#15204,.T.); #3956=FACE_OUTER_BOUND('',#15205,.T.); #3957=FACE_OUTER_BOUND('',#15206,.T.); #3958=FACE_OUTER_BOUND('',#15209,.T.); #3959=FACE_OUTER_BOUND('',#15210,.T.); #3960=FACE_OUTER_BOUND('',#15211,.T.); #3961=FACE_OUTER_BOUND('',#15212,.T.); #3962=FACE_OUTER_BOUND('',#15213,.T.); #3963=FACE_OUTER_BOUND('',#15214,.T.); #3964=FACE_OUTER_BOUND('',#15215,.T.); #3965=FACE_OUTER_BOUND('',#15216,.T.); #3966=FACE_OUTER_BOUND('',#15217,.T.); #3967=FACE_OUTER_BOUND('',#15218,.T.); #3968=FACE_OUTER_BOUND('',#15219,.T.); #3969=FACE_OUTER_BOUND('',#15220,.T.); #3970=FACE_OUTER_BOUND('',#15221,.T.); #3971=FACE_OUTER_BOUND('',#15222,.T.); #3972=FACE_OUTER_BOUND('',#15223,.T.); #3973=FACE_OUTER_BOUND('',#15224,.T.); #3974=FACE_OUTER_BOUND('',#15225,.T.); #3975=FACE_OUTER_BOUND('',#15226,.T.); #3976=FACE_OUTER_BOUND('',#15227,.T.); #3977=FACE_OUTER_BOUND('',#15228,.T.); #3978=FACE_OUTER_BOUND('',#15229,.T.); #3979=FACE_OUTER_BOUND('',#15230,.T.); #3980=FACE_OUTER_BOUND('',#15231,.T.); #3981=FACE_OUTER_BOUND('',#15232,.T.); #3982=FACE_OUTER_BOUND('',#15233,.T.); #3983=FACE_OUTER_BOUND('',#15234,.T.); #3984=FACE_OUTER_BOUND('',#15235,.T.); #3985=FACE_OUTER_BOUND('',#15236,.T.); #3986=FACE_OUTER_BOUND('',#15237,.T.); #3987=FACE_OUTER_BOUND('',#15238,.T.); #3988=FACE_OUTER_BOUND('',#15239,.T.); #3989=FACE_OUTER_BOUND('',#15240,.T.); #3990=FACE_OUTER_BOUND('',#15241,.T.); #3991=FACE_OUTER_BOUND('',#15242,.T.); #3992=FACE_OUTER_BOUND('',#15243,.T.); #3993=FACE_OUTER_BOUND('',#15244,.T.); #3994=FACE_OUTER_BOUND('',#15245,.T.); #3995=FACE_OUTER_BOUND('',#15246,.T.); #3996=FACE_OUTER_BOUND('',#15247,.T.); #3997=FACE_OUTER_BOUND('',#15248,.T.); #3998=FACE_OUTER_BOUND('',#15249,.T.); #3999=FACE_OUTER_BOUND('',#15250,.T.); #4000=FACE_OUTER_BOUND('',#15251,.T.); #4001=FACE_OUTER_BOUND('',#15252,.T.); #4002=FACE_OUTER_BOUND('',#15253,.T.); #4003=FACE_OUTER_BOUND('',#15254,.T.); #4004=FACE_OUTER_BOUND('',#15255,.T.); #4005=FACE_OUTER_BOUND('',#15256,.T.); #4006=FACE_OUTER_BOUND('',#15257,.T.); #4007=FACE_OUTER_BOUND('',#15258,.T.); #4008=FACE_OUTER_BOUND('',#15259,.T.); #4009=FACE_OUTER_BOUND('',#15260,.T.); #4010=FACE_OUTER_BOUND('',#15261,.T.); #4011=FACE_OUTER_BOUND('',#15262,.T.); #4012=FACE_OUTER_BOUND('',#15263,.T.); #4013=FACE_OUTER_BOUND('',#15264,.T.); #4014=FACE_OUTER_BOUND('',#15265,.T.); #4015=FACE_OUTER_BOUND('',#15266,.T.); #4016=FACE_OUTER_BOUND('',#15267,.T.); #4017=FACE_OUTER_BOUND('',#15268,.T.); #4018=FACE_OUTER_BOUND('',#15269,.T.); #4019=FACE_OUTER_BOUND('',#15270,.T.); #4020=FACE_OUTER_BOUND('',#15271,.T.); #4021=FACE_OUTER_BOUND('',#15272,.T.); #4022=FACE_OUTER_BOUND('',#15273,.T.); #4023=FACE_OUTER_BOUND('',#15274,.T.); #4024=FACE_OUTER_BOUND('',#15275,.T.); #4025=FACE_OUTER_BOUND('',#15276,.T.); #4026=FACE_OUTER_BOUND('',#15277,.T.); #4027=FACE_OUTER_BOUND('',#15278,.T.); #4028=FACE_OUTER_BOUND('',#15279,.T.); #4029=FACE_OUTER_BOUND('',#15280,.T.); #4030=FACE_OUTER_BOUND('',#15281,.T.); #4031=FACE_OUTER_BOUND('',#15282,.T.); #4032=FACE_OUTER_BOUND('',#15283,.T.); #4033=FACE_OUTER_BOUND('',#15284,.T.); #4034=FACE_OUTER_BOUND('',#15285,.T.); #4035=FACE_OUTER_BOUND('',#15286,.T.); #4036=FACE_OUTER_BOUND('',#15287,.T.); #4037=FACE_OUTER_BOUND('',#15288,.T.); #4038=FACE_OUTER_BOUND('',#15289,.T.); #4039=FACE_OUTER_BOUND('',#15290,.T.); #4040=FACE_OUTER_BOUND('',#15291,.T.); #4041=FACE_OUTER_BOUND('',#15292,.T.); #4042=FACE_OUTER_BOUND('',#15293,.T.); #4043=FACE_OUTER_BOUND('',#15294,.T.); #4044=FACE_OUTER_BOUND('',#15295,.T.); #4045=FACE_OUTER_BOUND('',#15296,.T.); #4046=FACE_OUTER_BOUND('',#15297,.T.); #4047=FACE_OUTER_BOUND('',#15298,.T.); #4048=FACE_OUTER_BOUND('',#15299,.T.); #4049=FACE_OUTER_BOUND('',#15300,.T.); #4050=FACE_OUTER_BOUND('',#15301,.T.); #4051=FACE_OUTER_BOUND('',#15302,.T.); #4052=FACE_OUTER_BOUND('',#15303,.T.); #4053=FACE_OUTER_BOUND('',#15304,.T.); #4054=FACE_OUTER_BOUND('',#15305,.T.); #4055=FACE_OUTER_BOUND('',#15306,.T.); #4056=FACE_OUTER_BOUND('',#15307,.T.); #4057=FACE_OUTER_BOUND('',#15308,.T.); #4058=FACE_OUTER_BOUND('',#15309,.T.); #4059=FACE_OUTER_BOUND('',#15310,.T.); #4060=FACE_OUTER_BOUND('',#15311,.T.); #4061=FACE_OUTER_BOUND('',#15312,.T.); #4062=FACE_OUTER_BOUND('',#15313,.T.); #4063=FACE_OUTER_BOUND('',#15314,.T.); #4064=FACE_OUTER_BOUND('',#15315,.T.); #4065=FACE_OUTER_BOUND('',#15316,.T.); #4066=FACE_OUTER_BOUND('',#15317,.T.); #4067=FACE_OUTER_BOUND('',#15318,.T.); #4068=FACE_OUTER_BOUND('',#15319,.T.); #4069=FACE_OUTER_BOUND('',#15320,.T.); #4070=FACE_OUTER_BOUND('',#15321,.T.); #4071=FACE_OUTER_BOUND('',#15322,.T.); #4072=FACE_OUTER_BOUND('',#15323,.T.); #4073=FACE_OUTER_BOUND('',#15324,.T.); #4074=FACE_OUTER_BOUND('',#15325,.T.); #4075=FACE_OUTER_BOUND('',#15326,.T.); #4076=FACE_OUTER_BOUND('',#15327,.T.); #4077=FACE_OUTER_BOUND('',#15328,.T.); #4078=FACE_OUTER_BOUND('',#15329,.T.); #4079=FACE_OUTER_BOUND('',#15330,.T.); #4080=FACE_OUTER_BOUND('',#15331,.T.); #4081=FACE_OUTER_BOUND('',#15332,.T.); #4082=FACE_OUTER_BOUND('',#15333,.T.); #4083=FACE_OUTER_BOUND('',#15334,.T.); #4084=FACE_OUTER_BOUND('',#15335,.T.); #4085=FACE_OUTER_BOUND('',#15336,.T.); #4086=FACE_OUTER_BOUND('',#15337,.T.); #4087=FACE_OUTER_BOUND('',#15338,.T.); #4088=FACE_OUTER_BOUND('',#15339,.T.); #4089=FACE_OUTER_BOUND('',#15340,.T.); #4090=FACE_OUTER_BOUND('',#15341,.T.); #4091=FACE_OUTER_BOUND('',#15342,.T.); #4092=FACE_OUTER_BOUND('',#15343,.T.); #4093=FACE_OUTER_BOUND('',#15344,.T.); #4094=FACE_OUTER_BOUND('',#15345,.T.); #4095=FACE_OUTER_BOUND('',#15346,.T.); #4096=FACE_OUTER_BOUND('',#15347,.T.); #4097=FACE_OUTER_BOUND('',#15348,.T.); #4098=FACE_OUTER_BOUND('',#15349,.T.); #4099=FACE_OUTER_BOUND('',#15350,.T.); #4100=FACE_OUTER_BOUND('',#15351,.T.); #4101=FACE_OUTER_BOUND('',#15352,.T.); #4102=FACE_OUTER_BOUND('',#15353,.T.); #4103=FACE_OUTER_BOUND('',#15354,.T.); #4104=FACE_OUTER_BOUND('',#15355,.T.); #4105=FACE_OUTER_BOUND('',#15356,.T.); #4106=FACE_OUTER_BOUND('',#15357,.T.); #4107=FACE_OUTER_BOUND('',#15358,.T.); #4108=FACE_OUTER_BOUND('',#15359,.T.); #4109=FACE_OUTER_BOUND('',#15360,.T.); #4110=FACE_OUTER_BOUND('',#15361,.T.); #4111=FACE_OUTER_BOUND('',#15362,.T.); #4112=FACE_OUTER_BOUND('',#15363,.T.); #4113=FACE_OUTER_BOUND('',#15364,.T.); #4114=FACE_OUTER_BOUND('',#15365,.T.); #4115=FACE_OUTER_BOUND('',#15366,.T.); #4116=FACE_OUTER_BOUND('',#15367,.T.); #4117=FACE_OUTER_BOUND('',#15368,.T.); #4118=FACE_OUTER_BOUND('',#15369,.T.); #4119=FACE_OUTER_BOUND('',#15370,.T.); #4120=FACE_OUTER_BOUND('',#15371,.T.); #4121=FACE_OUTER_BOUND('',#15372,.T.); #4122=FACE_OUTER_BOUND('',#15373,.T.); #4123=FACE_OUTER_BOUND('',#15374,.T.); #4124=FACE_OUTER_BOUND('',#15375,.T.); #4125=FACE_OUTER_BOUND('',#15376,.T.); #4126=FACE_OUTER_BOUND('',#15377,.T.); #4127=FACE_OUTER_BOUND('',#15378,.T.); #4128=FACE_OUTER_BOUND('',#15379,.T.); #4129=FACE_OUTER_BOUND('',#15380,.T.); #4130=FACE_OUTER_BOUND('',#15381,.T.); #4131=FACE_OUTER_BOUND('',#15382,.T.); #4132=FACE_OUTER_BOUND('',#15383,.T.); #4133=FACE_OUTER_BOUND('',#15384,.T.); #4134=FACE_OUTER_BOUND('',#15387,.T.); #4135=FACE_OUTER_BOUND('',#15388,.T.); #4136=FACE_OUTER_BOUND('',#15389,.T.); #4137=FACE_OUTER_BOUND('',#15390,.T.); #4138=FACE_OUTER_BOUND('',#15391,.T.); #4139=FACE_OUTER_BOUND('',#15392,.T.); #4140=FACE_OUTER_BOUND('',#15393,.T.); #4141=FACE_OUTER_BOUND('',#15394,.T.); #4142=FACE_OUTER_BOUND('',#15395,.T.); #4143=FACE_OUTER_BOUND('',#15396,.T.); #4144=FACE_OUTER_BOUND('',#15397,.T.); #4145=FACE_OUTER_BOUND('',#15398,.T.); #4146=FACE_OUTER_BOUND('',#15399,.T.); #4147=FACE_OUTER_BOUND('',#15400,.T.); #4148=FACE_OUTER_BOUND('',#15401,.T.); #4149=FACE_OUTER_BOUND('',#15402,.T.); #4150=FACE_OUTER_BOUND('',#15403,.T.); #4151=FACE_OUTER_BOUND('',#15404,.T.); #4152=FACE_OUTER_BOUND('',#15405,.T.); #4153=FACE_OUTER_BOUND('',#15406,.T.); #4154=FACE_OUTER_BOUND('',#15407,.T.); #4155=FACE_OUTER_BOUND('',#15408,.T.); #4156=FACE_OUTER_BOUND('',#15409,.T.); #4157=FACE_OUTER_BOUND('',#15410,.T.); #4158=FACE_OUTER_BOUND('',#15411,.T.); #4159=FACE_OUTER_BOUND('',#15412,.T.); #4160=FACE_OUTER_BOUND('',#15413,.T.); #4161=FACE_OUTER_BOUND('',#15414,.T.); #4162=FACE_OUTER_BOUND('',#15415,.T.); #4163=FACE_OUTER_BOUND('',#15416,.T.); #4164=FACE_OUTER_BOUND('',#15417,.T.); #4165=FACE_OUTER_BOUND('',#15418,.T.); #4166=FACE_OUTER_BOUND('',#15419,.T.); #4167=FACE_OUTER_BOUND('',#15420,.T.); #4168=FACE_OUTER_BOUND('',#15421,.T.); #4169=FACE_OUTER_BOUND('',#15422,.T.); #4170=FACE_OUTER_BOUND('',#15423,.T.); #4171=FACE_OUTER_BOUND('',#15424,.T.); #4172=FACE_OUTER_BOUND('',#15425,.T.); #4173=FACE_OUTER_BOUND('',#15426,.T.); #4174=FACE_OUTER_BOUND('',#15427,.T.); #4175=FACE_OUTER_BOUND('',#15428,.T.); #4176=FACE_OUTER_BOUND('',#15429,.T.); #4177=FACE_OUTER_BOUND('',#15430,.T.); #4178=FACE_OUTER_BOUND('',#15431,.T.); #4179=FACE_OUTER_BOUND('',#15432,.T.); #4180=FACE_OUTER_BOUND('',#15433,.T.); #4181=FACE_OUTER_BOUND('',#15434,.T.); #4182=FACE_OUTER_BOUND('',#15435,.T.); #4183=FACE_OUTER_BOUND('',#15436,.T.); #4184=FACE_OUTER_BOUND('',#15437,.T.); #4185=FACE_OUTER_BOUND('',#15438,.T.); #4186=FACE_OUTER_BOUND('',#15439,.T.); #4187=FACE_OUTER_BOUND('',#15440,.T.); #4188=FACE_OUTER_BOUND('',#15441,.T.); #4189=FACE_OUTER_BOUND('',#15442,.T.); #4190=FACE_OUTER_BOUND('',#15443,.T.); #4191=FACE_OUTER_BOUND('',#15444,.T.); #4192=FACE_OUTER_BOUND('',#15445,.T.); #4193=FACE_OUTER_BOUND('',#15446,.T.); #4194=FACE_OUTER_BOUND('',#15447,.T.); #4195=FACE_OUTER_BOUND('',#15448,.T.); #4196=FACE_OUTER_BOUND('',#15449,.T.); #4197=FACE_OUTER_BOUND('',#15450,.T.); #4198=FACE_OUTER_BOUND('',#15451,.T.); #4199=FACE_OUTER_BOUND('',#15452,.T.); #4200=FACE_OUTER_BOUND('',#15453,.T.); #4201=FACE_OUTER_BOUND('',#15454,.T.); #4202=FACE_OUTER_BOUND('',#15455,.T.); #4203=FACE_OUTER_BOUND('',#15456,.T.); #4204=FACE_OUTER_BOUND('',#15457,.T.); #4205=FACE_OUTER_BOUND('',#15458,.T.); #4206=FACE_OUTER_BOUND('',#15459,.T.); #4207=FACE_OUTER_BOUND('',#15460,.T.); #4208=FACE_OUTER_BOUND('',#15461,.T.); #4209=FACE_OUTER_BOUND('',#15462,.T.); #4210=FACE_OUTER_BOUND('',#15463,.T.); #4211=FACE_OUTER_BOUND('',#15464,.T.); #4212=FACE_OUTER_BOUND('',#15465,.T.); #4213=FACE_OUTER_BOUND('',#15466,.T.); #4214=FACE_OUTER_BOUND('',#15467,.T.); #4215=FACE_OUTER_BOUND('',#15468,.T.); #4216=FACE_OUTER_BOUND('',#15469,.T.); #4217=FACE_OUTER_BOUND('',#15470,.T.); #4218=FACE_OUTER_BOUND('',#15471,.T.); #4219=FACE_OUTER_BOUND('',#15472,.T.); #4220=FACE_OUTER_BOUND('',#15473,.T.); #4221=FACE_OUTER_BOUND('',#15474,.T.); #4222=FACE_OUTER_BOUND('',#15475,.T.); #4223=FACE_OUTER_BOUND('',#15476,.T.); #4224=FACE_OUTER_BOUND('',#15477,.T.); #4225=FACE_OUTER_BOUND('',#15478,.T.); #4226=FACE_OUTER_BOUND('',#15479,.T.); #4227=FACE_OUTER_BOUND('',#15480,.T.); #4228=FACE_OUTER_BOUND('',#15481,.T.); #4229=FACE_OUTER_BOUND('',#15482,.T.); #4230=FACE_OUTER_BOUND('',#15483,.T.); #4231=FACE_OUTER_BOUND('',#15484,.T.); #4232=FACE_OUTER_BOUND('',#15485,.T.); #4233=FACE_OUTER_BOUND('',#15486,.T.); #4234=FACE_OUTER_BOUND('',#15487,.T.); #4235=FACE_OUTER_BOUND('',#15488,.T.); #4236=FACE_OUTER_BOUND('',#15489,.T.); #4237=FACE_OUTER_BOUND('',#15490,.T.); #4238=FACE_OUTER_BOUND('',#15491,.T.); #4239=FACE_OUTER_BOUND('',#15492,.T.); #4240=FACE_OUTER_BOUND('',#15493,.T.); #4241=FACE_OUTER_BOUND('',#15494,.T.); #4242=FACE_OUTER_BOUND('',#15495,.T.); #4243=FACE_OUTER_BOUND('',#15496,.T.); #4244=FACE_OUTER_BOUND('',#15497,.T.); #4245=FACE_OUTER_BOUND('',#15498,.T.); #4246=FACE_OUTER_BOUND('',#15499,.T.); #4247=FACE_OUTER_BOUND('',#15500,.T.); #4248=FACE_OUTER_BOUND('',#15501,.T.); #4249=FACE_OUTER_BOUND('',#15502,.T.); #4250=FACE_OUTER_BOUND('',#15503,.T.); #4251=FACE_OUTER_BOUND('',#15504,.T.); #4252=FACE_OUTER_BOUND('',#15505,.T.); #4253=FACE_OUTER_BOUND('',#15506,.T.); #4254=FACE_OUTER_BOUND('',#15507,.T.); #4255=FACE_OUTER_BOUND('',#15508,.T.); #4256=FACE_OUTER_BOUND('',#15509,.T.); #4257=FACE_OUTER_BOUND('',#15510,.T.); #4258=FACE_OUTER_BOUND('',#15511,.T.); #4259=FACE_OUTER_BOUND('',#15512,.T.); #4260=FACE_OUTER_BOUND('',#15513,.T.); #4261=FACE_OUTER_BOUND('',#15514,.T.); #4262=FACE_OUTER_BOUND('',#15515,.T.); #4263=FACE_OUTER_BOUND('',#15516,.T.); #4264=FACE_OUTER_BOUND('',#15517,.T.); #4265=FACE_OUTER_BOUND('',#15518,.T.); #4266=FACE_OUTER_BOUND('',#15519,.T.); #4267=FACE_OUTER_BOUND('',#15520,.T.); #4268=FACE_OUTER_BOUND('',#15521,.T.); #4269=FACE_OUTER_BOUND('',#15522,.T.); #4270=FACE_OUTER_BOUND('',#15523,.T.); #4271=FACE_OUTER_BOUND('',#15524,.T.); #4272=FACE_OUTER_BOUND('',#15525,.T.); #4273=FACE_OUTER_BOUND('',#15526,.T.); #4274=FACE_OUTER_BOUND('',#15527,.T.); #4275=FACE_OUTER_BOUND('',#15528,.T.); #4276=FACE_OUTER_BOUND('',#15529,.T.); #4277=FACE_OUTER_BOUND('',#15530,.T.); #4278=FACE_OUTER_BOUND('',#15531,.T.); #4279=FACE_OUTER_BOUND('',#15532,.T.); #4280=FACE_OUTER_BOUND('',#15533,.T.); #4281=FACE_OUTER_BOUND('',#15534,.T.); #4282=FACE_OUTER_BOUND('',#15535,.T.); #4283=FACE_OUTER_BOUND('',#15536,.T.); #4284=FACE_OUTER_BOUND('',#15537,.T.); #4285=FACE_OUTER_BOUND('',#15538,.T.); #4286=FACE_OUTER_BOUND('',#15539,.T.); #4287=FACE_OUTER_BOUND('',#15540,.T.); #4288=FACE_OUTER_BOUND('',#15541,.T.); #4289=FACE_OUTER_BOUND('',#15542,.T.); #4290=FACE_OUTER_BOUND('',#15543,.T.); #4291=FACE_OUTER_BOUND('',#15544,.T.); #4292=FACE_OUTER_BOUND('',#15545,.T.); #4293=FACE_OUTER_BOUND('',#15546,.T.); #4294=FACE_OUTER_BOUND('',#15547,.T.); #4295=FACE_OUTER_BOUND('',#15548,.T.); #4296=FACE_OUTER_BOUND('',#15549,.T.); #4297=FACE_OUTER_BOUND('',#15550,.T.); #4298=FACE_OUTER_BOUND('',#15551,.T.); #4299=FACE_OUTER_BOUND('',#15552,.T.); #4300=FACE_OUTER_BOUND('',#15553,.T.); #4301=FACE_OUTER_BOUND('',#15554,.T.); #4302=FACE_OUTER_BOUND('',#15555,.T.); #4303=FACE_OUTER_BOUND('',#15556,.T.); #4304=FACE_OUTER_BOUND('',#15557,.T.); #4305=FACE_OUTER_BOUND('',#15558,.T.); #4306=FACE_OUTER_BOUND('',#15559,.T.); #4307=FACE_OUTER_BOUND('',#15560,.T.); #4308=FACE_OUTER_BOUND('',#15561,.T.); #4309=FACE_OUTER_BOUND('',#15562,.T.); #4310=FACE_OUTER_BOUND('',#15563,.T.); #4311=FACE_OUTER_BOUND('',#15564,.T.); #4312=FACE_OUTER_BOUND('',#15565,.T.); #4313=FACE_OUTER_BOUND('',#15566,.T.); #4314=FACE_OUTER_BOUND('',#15567,.T.); #4315=FACE_OUTER_BOUND('',#15568,.T.); #4316=FACE_OUTER_BOUND('',#15569,.T.); #4317=FACE_OUTER_BOUND('',#15570,.T.); #4318=FACE_OUTER_BOUND('',#15571,.T.); #4319=FACE_OUTER_BOUND('',#15572,.T.); #4320=FACE_OUTER_BOUND('',#15573,.T.); #4321=FACE_OUTER_BOUND('',#15574,.T.); #4322=FACE_OUTER_BOUND('',#15575,.T.); #4323=FACE_OUTER_BOUND('',#15576,.T.); #4324=FACE_OUTER_BOUND('',#15577,.T.); #4325=FACE_OUTER_BOUND('',#15578,.T.); #4326=FACE_OUTER_BOUND('',#15579,.T.); #4327=FACE_OUTER_BOUND('',#15580,.T.); #4328=FACE_OUTER_BOUND('',#15581,.T.); #4329=FACE_OUTER_BOUND('',#15582,.T.); #4330=FACE_OUTER_BOUND('',#15583,.T.); #4331=FACE_OUTER_BOUND('',#15584,.T.); #4332=FACE_OUTER_BOUND('',#15585,.T.); #4333=FACE_OUTER_BOUND('',#15586,.T.); #4334=FACE_OUTER_BOUND('',#15587,.T.); #4335=FACE_OUTER_BOUND('',#15588,.T.); #4336=FACE_OUTER_BOUND('',#15589,.T.); #4337=FACE_OUTER_BOUND('',#15590,.T.); #4338=FACE_OUTER_BOUND('',#15591,.T.); #4339=FACE_OUTER_BOUND('',#15592,.T.); #4340=FACE_OUTER_BOUND('',#15593,.T.); #4341=FACE_OUTER_BOUND('',#15594,.T.); #4342=FACE_OUTER_BOUND('',#15595,.T.); #4343=FACE_OUTER_BOUND('',#15596,.T.); #4344=FACE_OUTER_BOUND('',#15597,.T.); #4345=FACE_OUTER_BOUND('',#15598,.T.); #4346=FACE_OUTER_BOUND('',#15599,.T.); #4347=FACE_OUTER_BOUND('',#15600,.T.); #4348=FACE_OUTER_BOUND('',#15601,.T.); #4349=FACE_OUTER_BOUND('',#15602,.T.); #4350=FACE_OUTER_BOUND('',#15603,.T.); #4351=FACE_OUTER_BOUND('',#15604,.T.); #4352=FACE_OUTER_BOUND('',#15605,.T.); #4353=FACE_OUTER_BOUND('',#15606,.T.); #4354=FACE_OUTER_BOUND('',#15607,.T.); #4355=FACE_OUTER_BOUND('',#15608,.T.); #4356=FACE_OUTER_BOUND('',#15609,.T.); #4357=FACE_OUTER_BOUND('',#15610,.T.); #4358=FACE_OUTER_BOUND('',#15611,.T.); #4359=FACE_OUTER_BOUND('',#15612,.T.); #4360=FACE_OUTER_BOUND('',#15613,.T.); #4361=FACE_OUTER_BOUND('',#15614,.T.); #4362=FACE_OUTER_BOUND('',#15615,.T.); #4363=FACE_OUTER_BOUND('',#15616,.T.); #4364=FACE_OUTER_BOUND('',#15617,.T.); #4365=FACE_OUTER_BOUND('',#15618,.T.); #4366=FACE_OUTER_BOUND('',#15619,.T.); #4367=FACE_OUTER_BOUND('',#15620,.T.); #4368=FACE_OUTER_BOUND('',#15621,.T.); #4369=FACE_OUTER_BOUND('',#15622,.T.); #4370=FACE_OUTER_BOUND('',#15623,.T.); #4371=FACE_OUTER_BOUND('',#15624,.T.); #4372=FACE_OUTER_BOUND('',#15625,.T.); #4373=FACE_OUTER_BOUND('',#15626,.T.); #4374=FACE_OUTER_BOUND('',#15627,.T.); #4375=FACE_OUTER_BOUND('',#15628,.T.); #4376=FACE_OUTER_BOUND('',#15629,.T.); #4377=FACE_OUTER_BOUND('',#15630,.T.); #4378=FACE_OUTER_BOUND('',#15631,.T.); #4379=FACE_OUTER_BOUND('',#15632,.T.); #4380=FACE_OUTER_BOUND('',#15633,.T.); #4381=FACE_OUTER_BOUND('',#15634,.T.); #4382=FACE_OUTER_BOUND('',#15635,.T.); #4383=FACE_OUTER_BOUND('',#15636,.T.); #4384=FACE_OUTER_BOUND('',#15637,.T.); #4385=FACE_OUTER_BOUND('',#15638,.T.); #4386=FACE_OUTER_BOUND('',#15639,.T.); #4387=FACE_OUTER_BOUND('',#15640,.T.); #4388=FACE_OUTER_BOUND('',#15641,.T.); #4389=FACE_OUTER_BOUND('',#15642,.T.); #4390=FACE_OUTER_BOUND('',#15643,.T.); #4391=FACE_OUTER_BOUND('',#15644,.T.); #4392=FACE_OUTER_BOUND('',#15645,.T.); #4393=FACE_OUTER_BOUND('',#15646,.T.); #4394=FACE_OUTER_BOUND('',#15647,.T.); #4395=FACE_OUTER_BOUND('',#15648,.T.); #4396=FACE_OUTER_BOUND('',#15649,.T.); #4397=FACE_OUTER_BOUND('',#15650,.T.); #4398=FACE_OUTER_BOUND('',#15651,.T.); #4399=FACE_OUTER_BOUND('',#15652,.T.); #4400=FACE_OUTER_BOUND('',#15653,.T.); #4401=FACE_OUTER_BOUND('',#15654,.T.); #4402=FACE_OUTER_BOUND('',#15655,.T.); #4403=FACE_OUTER_BOUND('',#15656,.T.); #4404=FACE_OUTER_BOUND('',#15657,.T.); #4405=FACE_OUTER_BOUND('',#15658,.T.); #4406=FACE_OUTER_BOUND('',#15659,.T.); #4407=FACE_OUTER_BOUND('',#15660,.T.); #4408=FACE_OUTER_BOUND('',#15661,.T.); #4409=FACE_OUTER_BOUND('',#15662,.T.); #4410=FACE_OUTER_BOUND('',#15663,.T.); #4411=FACE_OUTER_BOUND('',#15664,.T.); #4412=FACE_OUTER_BOUND('',#15665,.T.); #4413=FACE_OUTER_BOUND('',#15666,.T.); #4414=FACE_OUTER_BOUND('',#15667,.T.); #4415=FACE_OUTER_BOUND('',#15668,.T.); #4416=FACE_OUTER_BOUND('',#15669,.T.); #4417=FACE_OUTER_BOUND('',#15670,.T.); #4418=FACE_OUTER_BOUND('',#15671,.T.); #4419=FACE_OUTER_BOUND('',#15672,.T.); #4420=FACE_OUTER_BOUND('',#15673,.T.); #4421=FACE_OUTER_BOUND('',#15674,.T.); #4422=FACE_OUTER_BOUND('',#15675,.T.); #4423=FACE_OUTER_BOUND('',#15676,.T.); #4424=FACE_OUTER_BOUND('',#15677,.T.); #4425=FACE_OUTER_BOUND('',#15678,.T.); #4426=FACE_OUTER_BOUND('',#15679,.T.); #4427=FACE_OUTER_BOUND('',#15680,.T.); #4428=FACE_OUTER_BOUND('',#15681,.T.); #4429=FACE_OUTER_BOUND('',#15682,.T.); #4430=FACE_OUTER_BOUND('',#15683,.T.); #4431=FACE_OUTER_BOUND('',#15684,.T.); #4432=FACE_OUTER_BOUND('',#15685,.T.); #4433=FACE_OUTER_BOUND('',#15686,.T.); #4434=FACE_OUTER_BOUND('',#15687,.T.); #4435=FACE_OUTER_BOUND('',#15688,.T.); #4436=FACE_OUTER_BOUND('',#15689,.T.); #4437=FACE_OUTER_BOUND('',#15690,.T.); #4438=FACE_OUTER_BOUND('',#15691,.T.); #4439=FACE_OUTER_BOUND('',#15692,.T.); #4440=FACE_OUTER_BOUND('',#15693,.T.); #4441=FACE_OUTER_BOUND('',#15694,.T.); #4442=FACE_OUTER_BOUND('',#15695,.T.); #4443=FACE_OUTER_BOUND('',#15696,.T.); #4444=FACE_OUTER_BOUND('',#15697,.T.); #4445=FACE_OUTER_BOUND('',#15698,.T.); #4446=FACE_OUTER_BOUND('',#15699,.T.); #4447=FACE_OUTER_BOUND('',#15700,.T.); #4448=FACE_OUTER_BOUND('',#15701,.T.); #4449=FACE_OUTER_BOUND('',#15702,.T.); #4450=FACE_OUTER_BOUND('',#15703,.T.); #4451=FACE_OUTER_BOUND('',#15704,.T.); #4452=FACE_OUTER_BOUND('',#15705,.T.); #4453=FACE_OUTER_BOUND('',#15706,.T.); #4454=FACE_OUTER_BOUND('',#15707,.T.); #4455=FACE_OUTER_BOUND('',#15708,.T.); #4456=FACE_OUTER_BOUND('',#15709,.T.); #4457=FACE_OUTER_BOUND('',#15710,.T.); #4458=FACE_OUTER_BOUND('',#15711,.T.); #4459=FACE_OUTER_BOUND('',#15712,.T.); #4460=FACE_OUTER_BOUND('',#15713,.T.); #4461=FACE_OUTER_BOUND('',#15714,.T.); #4462=FACE_OUTER_BOUND('',#15715,.T.); #4463=FACE_OUTER_BOUND('',#15716,.T.); #4464=FACE_OUTER_BOUND('',#15717,.T.); #4465=FACE_OUTER_BOUND('',#15718,.T.); #4466=FACE_OUTER_BOUND('',#15719,.T.); #4467=FACE_OUTER_BOUND('',#15720,.T.); #4468=FACE_OUTER_BOUND('',#15721,.T.); #4469=FACE_OUTER_BOUND('',#15722,.T.); #4470=FACE_OUTER_BOUND('',#15723,.T.); #4471=FACE_OUTER_BOUND('',#15724,.T.); #4472=FACE_OUTER_BOUND('',#15725,.T.); #4473=FACE_OUTER_BOUND('',#15726,.T.); #4474=FACE_OUTER_BOUND('',#15727,.T.); #4475=FACE_OUTER_BOUND('',#15728,.T.); #4476=FACE_OUTER_BOUND('',#15729,.T.); #4477=FACE_OUTER_BOUND('',#15730,.T.); #4478=FACE_OUTER_BOUND('',#15731,.T.); #4479=FACE_OUTER_BOUND('',#15732,.T.); #4480=FACE_OUTER_BOUND('',#15733,.T.); #4481=FACE_OUTER_BOUND('',#15734,.T.); #4482=FACE_OUTER_BOUND('',#15735,.T.); #4483=FACE_OUTER_BOUND('',#15736,.T.); #4484=FACE_OUTER_BOUND('',#15737,.T.); #4485=FACE_OUTER_BOUND('',#15738,.T.); #4486=FACE_OUTER_BOUND('',#15739,.T.); #4487=FACE_OUTER_BOUND('',#15740,.T.); #4488=FACE_OUTER_BOUND('',#15741,.T.); #4489=FACE_OUTER_BOUND('',#15742,.T.); #4490=FACE_OUTER_BOUND('',#15743,.T.); #4491=FACE_OUTER_BOUND('',#15744,.T.); #4492=FACE_OUTER_BOUND('',#15745,.T.); #4493=FACE_OUTER_BOUND('',#15746,.T.); #4494=FACE_OUTER_BOUND('',#15747,.T.); #4495=FACE_OUTER_BOUND('',#15748,.T.); #4496=FACE_OUTER_BOUND('',#15749,.T.); #4497=FACE_OUTER_BOUND('',#15750,.T.); #4498=FACE_OUTER_BOUND('',#15751,.T.); #4499=FACE_OUTER_BOUND('',#15752,.T.); #4500=FACE_OUTER_BOUND('',#15753,.T.); #4501=FACE_OUTER_BOUND('',#15754,.T.); #4502=FACE_OUTER_BOUND('',#15755,.T.); #4503=FACE_OUTER_BOUND('',#15756,.T.); #4504=FACE_OUTER_BOUND('',#15757,.T.); #4505=FACE_OUTER_BOUND('',#15758,.T.); #4506=FACE_OUTER_BOUND('',#15759,.T.); #4507=FACE_OUTER_BOUND('',#15760,.T.); #4508=FACE_OUTER_BOUND('',#15761,.T.); #4509=FACE_OUTER_BOUND('',#15762,.T.); #4510=FACE_OUTER_BOUND('',#15763,.T.); #4511=FACE_OUTER_BOUND('',#15764,.T.); #4512=FACE_OUTER_BOUND('',#15765,.T.); #4513=FACE_OUTER_BOUND('',#15766,.T.); #4514=FACE_OUTER_BOUND('',#15767,.T.); #4515=FACE_OUTER_BOUND('',#15768,.T.); #4516=FACE_OUTER_BOUND('',#15769,.T.); #4517=FACE_OUTER_BOUND('',#15770,.T.); #4518=FACE_OUTER_BOUND('',#15771,.T.); #4519=FACE_OUTER_BOUND('',#15772,.T.); #4520=FACE_OUTER_BOUND('',#15773,.T.); #4521=FACE_OUTER_BOUND('',#15774,.T.); #4522=FACE_OUTER_BOUND('',#15775,.T.); #4523=FACE_OUTER_BOUND('',#15776,.T.); #4524=FACE_OUTER_BOUND('',#15777,.T.); #4525=FACE_OUTER_BOUND('',#15778,.T.); #4526=FACE_OUTER_BOUND('',#15779,.T.); #4527=FACE_OUTER_BOUND('',#15780,.T.); #4528=FACE_OUTER_BOUND('',#15781,.T.); #4529=FACE_OUTER_BOUND('',#15782,.T.); #4530=FACE_OUTER_BOUND('',#15783,.T.); #4531=FACE_OUTER_BOUND('',#15784,.T.); #4532=FACE_OUTER_BOUND('',#15785,.T.); #4533=FACE_OUTER_BOUND('',#15786,.T.); #4534=FACE_OUTER_BOUND('',#15787,.T.); #4535=FACE_OUTER_BOUND('',#15788,.T.); #4536=FACE_OUTER_BOUND('',#15789,.T.); #4537=FACE_OUTER_BOUND('',#15790,.T.); #4538=FACE_OUTER_BOUND('',#15791,.T.); #4539=FACE_OUTER_BOUND('',#15792,.T.); #4540=FACE_OUTER_BOUND('',#15793,.T.); #4541=FACE_OUTER_BOUND('',#15794,.T.); #4542=FACE_OUTER_BOUND('',#15795,.T.); #4543=FACE_OUTER_BOUND('',#15796,.T.); #4544=FACE_OUTER_BOUND('',#15797,.T.); #4545=FACE_OUTER_BOUND('',#15798,.T.); #4546=FACE_OUTER_BOUND('',#15799,.T.); #4547=FACE_OUTER_BOUND('',#15800,.T.); #4548=FACE_OUTER_BOUND('',#15801,.T.); #4549=FACE_OUTER_BOUND('',#15802,.T.); #4550=FACE_OUTER_BOUND('',#15803,.T.); #4551=FACE_OUTER_BOUND('',#15804,.T.); #4552=FACE_OUTER_BOUND('',#15805,.T.); #4553=FACE_OUTER_BOUND('',#15806,.T.); #4554=FACE_OUTER_BOUND('',#15807,.T.); #4555=FACE_OUTER_BOUND('',#15808,.T.); #4556=FACE_OUTER_BOUND('',#15809,.T.); #4557=FACE_OUTER_BOUND('',#15810,.T.); #4558=FACE_OUTER_BOUND('',#15811,.T.); #4559=FACE_OUTER_BOUND('',#15812,.T.); #4560=FACE_OUTER_BOUND('',#15813,.T.); #4561=FACE_OUTER_BOUND('',#15814,.T.); #4562=FACE_OUTER_BOUND('',#15815,.T.); #4563=FACE_OUTER_BOUND('',#15816,.T.); #4564=FACE_OUTER_BOUND('',#15817,.T.); #4565=FACE_OUTER_BOUND('',#15818,.T.); #4566=FACE_OUTER_BOUND('',#15819,.T.); #4567=FACE_OUTER_BOUND('',#15820,.T.); #4568=FACE_OUTER_BOUND('',#15821,.T.); #4569=FACE_OUTER_BOUND('',#15822,.T.); #4570=FACE_OUTER_BOUND('',#15823,.T.); #4571=FACE_OUTER_BOUND('',#15824,.T.); #4572=FACE_OUTER_BOUND('',#15825,.T.); #4573=FACE_OUTER_BOUND('',#15826,.T.); #4574=FACE_OUTER_BOUND('',#15827,.T.); #4575=FACE_OUTER_BOUND('',#15828,.T.); #4576=FACE_OUTER_BOUND('',#15829,.T.); #4577=FACE_OUTER_BOUND('',#15830,.T.); #4578=FACE_OUTER_BOUND('',#15831,.T.); #4579=FACE_OUTER_BOUND('',#15832,.T.); #4580=FACE_OUTER_BOUND('',#15833,.T.); #4581=FACE_OUTER_BOUND('',#15834,.T.); #4582=FACE_OUTER_BOUND('',#15835,.T.); #4583=FACE_OUTER_BOUND('',#15836,.T.); #4584=FACE_OUTER_BOUND('',#15837,.T.); #4585=FACE_OUTER_BOUND('',#15838,.T.); #4586=FACE_OUTER_BOUND('',#15839,.T.); #4587=FACE_OUTER_BOUND('',#15840,.T.); #4588=FACE_OUTER_BOUND('',#15841,.T.); #4589=FACE_OUTER_BOUND('',#15842,.T.); #4590=FACE_OUTER_BOUND('',#15843,.T.); #4591=FACE_OUTER_BOUND('',#15844,.T.); #4592=FACE_OUTER_BOUND('',#15845,.T.); #4593=FACE_OUTER_BOUND('',#15846,.T.); #4594=FACE_OUTER_BOUND('',#15847,.T.); #4595=FACE_OUTER_BOUND('',#15848,.T.); #4596=FACE_OUTER_BOUND('',#15849,.T.); #4597=FACE_OUTER_BOUND('',#15850,.T.); #4598=FACE_OUTER_BOUND('',#15851,.T.); #4599=FACE_OUTER_BOUND('',#15852,.T.); #4600=FACE_OUTER_BOUND('',#15853,.T.); #4601=FACE_OUTER_BOUND('',#15854,.T.); #4602=FACE_OUTER_BOUND('',#15855,.T.); #4603=FACE_OUTER_BOUND('',#15856,.T.); #4604=FACE_OUTER_BOUND('',#15857,.T.); #4605=FACE_OUTER_BOUND('',#15858,.T.); #4606=FACE_OUTER_BOUND('',#15859,.T.); #4607=FACE_OUTER_BOUND('',#15860,.T.); #4608=FACE_OUTER_BOUND('',#15861,.T.); #4609=FACE_OUTER_BOUND('',#15862,.T.); #4610=FACE_OUTER_BOUND('',#15863,.T.); #4611=FACE_OUTER_BOUND('',#15864,.T.); #4612=FACE_OUTER_BOUND('',#15865,.T.); #4613=FACE_OUTER_BOUND('',#15866,.T.); #4614=FACE_OUTER_BOUND('',#15867,.T.); #4615=FACE_OUTER_BOUND('',#15868,.T.); #4616=FACE_OUTER_BOUND('',#15869,.T.); #4617=FACE_OUTER_BOUND('',#15870,.T.); #4618=FACE_OUTER_BOUND('',#15871,.T.); #4619=FACE_OUTER_BOUND('',#15872,.T.); #4620=FACE_OUTER_BOUND('',#15873,.T.); #4621=FACE_OUTER_BOUND('',#15874,.T.); #4622=FACE_OUTER_BOUND('',#15875,.T.); #4623=FACE_OUTER_BOUND('',#15876,.T.); #4624=FACE_OUTER_BOUND('',#15877,.T.); #4625=FACE_OUTER_BOUND('',#15878,.T.); #4626=FACE_OUTER_BOUND('',#15879,.T.); #4627=FACE_OUTER_BOUND('',#15880,.T.); #4628=FACE_OUTER_BOUND('',#15881,.T.); #4629=FACE_OUTER_BOUND('',#15882,.T.); #4630=FACE_OUTER_BOUND('',#15883,.T.); #4631=FACE_OUTER_BOUND('',#15884,.T.); #4632=FACE_OUTER_BOUND('',#15885,.T.); #4633=FACE_OUTER_BOUND('',#15886,.T.); #4634=FACE_OUTER_BOUND('',#15887,.T.); #4635=FACE_OUTER_BOUND('',#15888,.T.); #4636=FACE_OUTER_BOUND('',#15889,.T.); #4637=FACE_OUTER_BOUND('',#15890,.T.); #4638=FACE_OUTER_BOUND('',#15891,.T.); #4639=FACE_OUTER_BOUND('',#15892,.T.); #4640=FACE_OUTER_BOUND('',#15893,.T.); #4641=FACE_OUTER_BOUND('',#15894,.T.); #4642=FACE_OUTER_BOUND('',#15895,.T.); #4643=FACE_OUTER_BOUND('',#15896,.T.); #4644=FACE_OUTER_BOUND('',#15897,.T.); #4645=FACE_OUTER_BOUND('',#15898,.T.); #4646=FACE_OUTER_BOUND('',#15899,.T.); #4647=FACE_OUTER_BOUND('',#15900,.T.); #4648=FACE_OUTER_BOUND('',#15901,.T.); #4649=FACE_OUTER_BOUND('',#15902,.T.); #4650=FACE_OUTER_BOUND('',#15903,.T.); #4651=FACE_OUTER_BOUND('',#15904,.T.); #4652=FACE_OUTER_BOUND('',#15905,.T.); #4653=FACE_OUTER_BOUND('',#15906,.T.); #4654=FACE_OUTER_BOUND('',#15907,.T.); #4655=FACE_OUTER_BOUND('',#15908,.T.); #4656=FACE_OUTER_BOUND('',#15909,.T.); #4657=FACE_OUTER_BOUND('',#15910,.T.); #4658=FACE_OUTER_BOUND('',#15911,.T.); #4659=FACE_OUTER_BOUND('',#15912,.T.); #4660=FACE_OUTER_BOUND('',#15913,.T.); #4661=FACE_OUTER_BOUND('',#15914,.T.); #4662=FACE_OUTER_BOUND('',#15915,.T.); #4663=FACE_OUTER_BOUND('',#15916,.T.); #4664=FACE_OUTER_BOUND('',#15917,.T.); #4665=FACE_OUTER_BOUND('',#15918,.T.); #4666=FACE_OUTER_BOUND('',#15919,.T.); #4667=FACE_OUTER_BOUND('',#15920,.T.); #4668=FACE_OUTER_BOUND('',#15921,.T.); #4669=FACE_OUTER_BOUND('',#15922,.T.); #4670=FACE_OUTER_BOUND('',#15923,.T.); #4671=FACE_OUTER_BOUND('',#15924,.T.); #4672=FACE_OUTER_BOUND('',#15925,.T.); #4673=FACE_OUTER_BOUND('',#15926,.T.); #4674=FACE_OUTER_BOUND('',#15927,.T.); #4675=FACE_OUTER_BOUND('',#15928,.T.); #4676=FACE_OUTER_BOUND('',#15929,.T.); #4677=FACE_OUTER_BOUND('',#15930,.T.); #4678=FACE_OUTER_BOUND('',#15931,.T.); #4679=FACE_OUTER_BOUND('',#15932,.T.); #4680=FACE_OUTER_BOUND('',#15933,.T.); #4681=FACE_OUTER_BOUND('',#15934,.T.); #4682=FACE_OUTER_BOUND('',#15935,.T.); #4683=FACE_OUTER_BOUND('',#15936,.T.); #4684=FACE_OUTER_BOUND('',#15937,.T.); #4685=FACE_OUTER_BOUND('',#15938,.T.); #4686=FACE_OUTER_BOUND('',#15939,.T.); #4687=FACE_OUTER_BOUND('',#15940,.T.); #4688=FACE_OUTER_BOUND('',#15941,.T.); #4689=FACE_OUTER_BOUND('',#15942,.T.); #4690=FACE_OUTER_BOUND('',#15943,.T.); #4691=FACE_OUTER_BOUND('',#15944,.T.); #4692=FACE_OUTER_BOUND('',#15945,.T.); #4693=FACE_OUTER_BOUND('',#15946,.T.); #4694=FACE_OUTER_BOUND('',#15947,.T.); #4695=FACE_OUTER_BOUND('',#15948,.T.); #4696=FACE_OUTER_BOUND('',#15949,.T.); #4697=FACE_OUTER_BOUND('',#15950,.T.); #4698=FACE_OUTER_BOUND('',#15951,.T.); #4699=FACE_OUTER_BOUND('',#15952,.T.); #4700=FACE_OUTER_BOUND('',#15953,.T.); #4701=FACE_OUTER_BOUND('',#15954,.T.); #4702=FACE_OUTER_BOUND('',#15955,.T.); #4703=FACE_OUTER_BOUND('',#15956,.T.); #4704=FACE_OUTER_BOUND('',#15957,.T.); #4705=FACE_OUTER_BOUND('',#15958,.T.); #4706=FACE_OUTER_BOUND('',#15959,.T.); #4707=FACE_OUTER_BOUND('',#15960,.T.); #4708=FACE_OUTER_BOUND('',#15961,.T.); #4709=FACE_OUTER_BOUND('',#15962,.T.); #4710=FACE_OUTER_BOUND('',#15963,.T.); #4711=FACE_OUTER_BOUND('',#15964,.T.); #4712=FACE_OUTER_BOUND('',#15965,.T.); #4713=FACE_OUTER_BOUND('',#15966,.T.); #4714=FACE_OUTER_BOUND('',#15967,.T.); #4715=FACE_OUTER_BOUND('',#15968,.T.); #4716=FACE_OUTER_BOUND('',#15969,.T.); #4717=FACE_OUTER_BOUND('',#15970,.T.); #4718=FACE_OUTER_BOUND('',#15971,.T.); #4719=FACE_OUTER_BOUND('',#15972,.T.); #4720=FACE_OUTER_BOUND('',#15973,.T.); #4721=FACE_OUTER_BOUND('',#15974,.T.); #4722=FACE_OUTER_BOUND('',#15975,.T.); #4723=FACE_OUTER_BOUND('',#15976,.T.); #4724=FACE_OUTER_BOUND('',#15977,.T.); #4725=FACE_OUTER_BOUND('',#15978,.T.); #4726=FACE_OUTER_BOUND('',#15979,.T.); #4727=FACE_OUTER_BOUND('',#15980,.T.); #4728=FACE_OUTER_BOUND('',#15981,.T.); #4729=FACE_OUTER_BOUND('',#15982,.T.); #4730=FACE_OUTER_BOUND('',#15983,.T.); #4731=FACE_OUTER_BOUND('',#15984,.T.); #4732=FACE_OUTER_BOUND('',#15985,.T.); #4733=FACE_OUTER_BOUND('',#15986,.T.); #4734=FACE_OUTER_BOUND('',#15987,.T.); #4735=FACE_OUTER_BOUND('',#15988,.T.); #4736=FACE_OUTER_BOUND('',#15989,.T.); #4737=FACE_OUTER_BOUND('',#15990,.T.); #4738=FACE_OUTER_BOUND('',#15991,.T.); #4739=FACE_OUTER_BOUND('',#15992,.T.); #4740=FACE_OUTER_BOUND('',#15993,.T.); #4741=FACE_OUTER_BOUND('',#15994,.T.); #4742=FACE_OUTER_BOUND('',#15995,.T.); #4743=FACE_OUTER_BOUND('',#15996,.T.); #4744=FACE_OUTER_BOUND('',#15997,.T.); #4745=FACE_OUTER_BOUND('',#15998,.T.); #4746=FACE_OUTER_BOUND('',#15999,.T.); #4747=FACE_OUTER_BOUND('',#16000,.T.); #4748=FACE_OUTER_BOUND('',#16001,.T.); #4749=FACE_OUTER_BOUND('',#16002,.T.); #4750=FACE_OUTER_BOUND('',#16003,.T.); #4751=FACE_OUTER_BOUND('',#16004,.T.); #4752=FACE_OUTER_BOUND('',#16005,.T.); #4753=FACE_OUTER_BOUND('',#16006,.T.); #4754=FACE_OUTER_BOUND('',#16007,.T.); #4755=FACE_OUTER_BOUND('',#16008,.T.); #4756=FACE_OUTER_BOUND('',#16009,.T.); #4757=FACE_OUTER_BOUND('',#16010,.T.); #4758=FACE_OUTER_BOUND('',#16011,.T.); #4759=FACE_OUTER_BOUND('',#16012,.T.); #4760=FACE_OUTER_BOUND('',#16013,.T.); #4761=FACE_OUTER_BOUND('',#16014,.T.); #4762=FACE_OUTER_BOUND('',#16015,.T.); #4763=FACE_OUTER_BOUND('',#16016,.T.); #4764=FACE_OUTER_BOUND('',#16017,.T.); #4765=FACE_OUTER_BOUND('',#16018,.T.); #4766=FACE_OUTER_BOUND('',#16019,.T.); #4767=FACE_OUTER_BOUND('',#16020,.T.); #4768=FACE_OUTER_BOUND('',#16021,.T.); #4769=FACE_OUTER_BOUND('',#16022,.T.); #4770=FACE_OUTER_BOUND('',#16023,.T.); #4771=FACE_OUTER_BOUND('',#16024,.T.); #4772=FACE_OUTER_BOUND('',#16025,.T.); #4773=FACE_OUTER_BOUND('',#16026,.T.); #4774=FACE_OUTER_BOUND('',#16027,.T.); #4775=FACE_OUTER_BOUND('',#16028,.T.); #4776=FACE_OUTER_BOUND('',#16029,.T.); #4777=FACE_OUTER_BOUND('',#16030,.T.); #4778=FACE_OUTER_BOUND('',#16031,.T.); #4779=FACE_OUTER_BOUND('',#16032,.T.); #4780=FACE_OUTER_BOUND('',#16033,.T.); #4781=FACE_OUTER_BOUND('',#16034,.T.); #4782=FACE_OUTER_BOUND('',#16035,.T.); #4783=FACE_OUTER_BOUND('',#16036,.T.); #4784=FACE_OUTER_BOUND('',#16037,.T.); #4785=FACE_OUTER_BOUND('',#16038,.T.); #4786=FACE_OUTER_BOUND('',#16039,.T.); #4787=FACE_OUTER_BOUND('',#16040,.T.); #4788=FACE_OUTER_BOUND('',#16041,.T.); #4789=FACE_OUTER_BOUND('',#16042,.T.); #4790=FACE_OUTER_BOUND('',#16043,.T.); #4791=FACE_OUTER_BOUND('',#16044,.T.); #4792=FACE_OUTER_BOUND('',#16045,.T.); #4793=FACE_OUTER_BOUND('',#16046,.T.); #4794=FACE_OUTER_BOUND('',#16047,.T.); #4795=FACE_OUTER_BOUND('',#16048,.T.); #4796=FACE_OUTER_BOUND('',#16049,.T.); #4797=FACE_OUTER_BOUND('',#16050,.T.); #4798=FACE_OUTER_BOUND('',#16051,.T.); #4799=FACE_OUTER_BOUND('',#16052,.T.); #4800=FACE_OUTER_BOUND('',#16053,.T.); #4801=FACE_OUTER_BOUND('',#16054,.T.); #4802=FACE_OUTER_BOUND('',#16055,.T.); #4803=FACE_OUTER_BOUND('',#16056,.T.); #4804=FACE_OUTER_BOUND('',#16057,.T.); #4805=FACE_OUTER_BOUND('',#16058,.T.); #4806=FACE_OUTER_BOUND('',#16059,.T.); #4807=FACE_OUTER_BOUND('',#16060,.T.); #4808=FACE_OUTER_BOUND('',#16061,.T.); #4809=FACE_OUTER_BOUND('',#16062,.T.); #4810=FACE_OUTER_BOUND('',#16063,.T.); #4811=FACE_OUTER_BOUND('',#16064,.T.); #4812=FACE_OUTER_BOUND('',#16065,.T.); #4813=FACE_OUTER_BOUND('',#16066,.T.); #4814=FACE_OUTER_BOUND('',#16067,.T.); #4815=FACE_OUTER_BOUND('',#16068,.T.); #4816=FACE_OUTER_BOUND('',#16069,.T.); #4817=FACE_OUTER_BOUND('',#16070,.T.); #4818=FACE_OUTER_BOUND('',#16071,.T.); #4819=FACE_OUTER_BOUND('',#16072,.T.); #4820=FACE_OUTER_BOUND('',#16073,.T.); #4821=FACE_OUTER_BOUND('',#16074,.T.); #4822=FACE_OUTER_BOUND('',#16075,.T.); #4823=FACE_OUTER_BOUND('',#16076,.T.); #4824=FACE_OUTER_BOUND('',#16077,.T.); #4825=FACE_OUTER_BOUND('',#16078,.T.); #4826=FACE_OUTER_BOUND('',#16079,.T.); #4827=FACE_OUTER_BOUND('',#16080,.T.); #4828=FACE_OUTER_BOUND('',#16081,.T.); #4829=FACE_OUTER_BOUND('',#16082,.T.); #4830=FACE_OUTER_BOUND('',#16083,.T.); #4831=FACE_OUTER_BOUND('',#16084,.T.); #4832=FACE_OUTER_BOUND('',#16085,.T.); #4833=FACE_OUTER_BOUND('',#16086,.T.); #4834=FACE_OUTER_BOUND('',#16087,.T.); #4835=FACE_OUTER_BOUND('',#16088,.T.); #4836=FACE_OUTER_BOUND('',#16089,.T.); #4837=FACE_OUTER_BOUND('',#16090,.T.); #4838=FACE_OUTER_BOUND('',#16091,.T.); #4839=FACE_OUTER_BOUND('',#16092,.T.); #4840=FACE_OUTER_BOUND('',#16093,.T.); #4841=FACE_OUTER_BOUND('',#16094,.T.); #4842=FACE_OUTER_BOUND('',#16095,.T.); #4843=FACE_OUTER_BOUND('',#16096,.T.); #4844=FACE_OUTER_BOUND('',#16097,.T.); #4845=FACE_OUTER_BOUND('',#16098,.T.); #4846=FACE_OUTER_BOUND('',#16099,.T.); #4847=FACE_OUTER_BOUND('',#16100,.T.); #4848=FACE_OUTER_BOUND('',#16101,.T.); #4849=FACE_OUTER_BOUND('',#16102,.T.); #4850=FACE_OUTER_BOUND('',#16103,.T.); #4851=FACE_OUTER_BOUND('',#16104,.T.); #4852=FACE_OUTER_BOUND('',#16105,.T.); #4853=FACE_OUTER_BOUND('',#16106,.T.); #4854=FACE_OUTER_BOUND('',#16107,.T.); #4855=FACE_OUTER_BOUND('',#16108,.T.); #4856=FACE_OUTER_BOUND('',#16109,.T.); #4857=FACE_OUTER_BOUND('',#16110,.T.); #4858=FACE_OUTER_BOUND('',#16111,.T.); #4859=FACE_OUTER_BOUND('',#16112,.T.); #4860=FACE_OUTER_BOUND('',#16113,.T.); #4861=FACE_OUTER_BOUND('',#16114,.T.); #4862=FACE_OUTER_BOUND('',#16115,.T.); #4863=FACE_OUTER_BOUND('',#16116,.T.); #4864=FACE_OUTER_BOUND('',#16117,.T.); #4865=FACE_OUTER_BOUND('',#16118,.T.); #4866=FACE_OUTER_BOUND('',#16119,.T.); #4867=FACE_OUTER_BOUND('',#16120,.T.); #4868=FACE_OUTER_BOUND('',#16121,.T.); #4869=FACE_OUTER_BOUND('',#16122,.T.); #4870=FACE_OUTER_BOUND('',#16123,.T.); #4871=FACE_OUTER_BOUND('',#16124,.T.); #4872=FACE_OUTER_BOUND('',#16125,.T.); #4873=FACE_OUTER_BOUND('',#16126,.T.); #4874=FACE_OUTER_BOUND('',#16127,.T.); #4875=FACE_OUTER_BOUND('',#16128,.T.); #4876=FACE_OUTER_BOUND('',#16129,.T.); #4877=FACE_OUTER_BOUND('',#16130,.T.); #4878=FACE_OUTER_BOUND('',#16131,.T.); #4879=FACE_OUTER_BOUND('',#16132,.T.); #4880=FACE_OUTER_BOUND('',#16133,.T.); #4881=FACE_OUTER_BOUND('',#16134,.T.); #4882=FACE_OUTER_BOUND('',#16135,.T.); #4883=FACE_OUTER_BOUND('',#16136,.T.); #4884=FACE_OUTER_BOUND('',#16137,.T.); #4885=FACE_OUTER_BOUND('',#16138,.T.); #4886=FACE_OUTER_BOUND('',#16139,.T.); #4887=FACE_OUTER_BOUND('',#16140,.T.); #4888=FACE_OUTER_BOUND('',#16141,.T.); #4889=FACE_OUTER_BOUND('',#16142,.T.); #4890=FACE_OUTER_BOUND('',#16143,.T.); #4891=FACE_OUTER_BOUND('',#16144,.T.); #4892=FACE_OUTER_BOUND('',#16145,.T.); #4893=FACE_OUTER_BOUND('',#16146,.T.); #4894=FACE_OUTER_BOUND('',#16147,.T.); #4895=FACE_OUTER_BOUND('',#16148,.T.); #4896=FACE_OUTER_BOUND('',#16149,.T.); #4897=FACE_OUTER_BOUND('',#16150,.T.); #4898=FACE_OUTER_BOUND('',#16151,.T.); #4899=FACE_OUTER_BOUND('',#16152,.T.); #4900=FACE_OUTER_BOUND('',#16153,.T.); #4901=FACE_OUTER_BOUND('',#16154,.T.); #4902=FACE_OUTER_BOUND('',#16155,.T.); #4903=FACE_OUTER_BOUND('',#16156,.T.); #4904=FACE_OUTER_BOUND('',#16157,.T.); #4905=FACE_OUTER_BOUND('',#16158,.T.); #4906=FACE_OUTER_BOUND('',#16159,.T.); #4907=FACE_OUTER_BOUND('',#16160,.T.); #4908=FACE_OUTER_BOUND('',#16161,.T.); #4909=FACE_OUTER_BOUND('',#16162,.T.); #4910=FACE_OUTER_BOUND('',#16163,.T.); #4911=FACE_OUTER_BOUND('',#16166,.T.); #4912=FACE_OUTER_BOUND('',#16169,.T.); #4913=FACE_OUTER_BOUND('',#16170,.T.); #4914=FACE_OUTER_BOUND('',#16171,.T.); #4915=FACE_OUTER_BOUND('',#16172,.T.); #4916=FACE_OUTER_BOUND('',#16173,.T.); #4917=FACE_OUTER_BOUND('',#16174,.T.); #4918=FACE_OUTER_BOUND('',#16175,.T.); #4919=FACE_OUTER_BOUND('',#16176,.T.); #4920=FACE_OUTER_BOUND('',#16177,.T.); #4921=FACE_OUTER_BOUND('',#16178,.T.); #4922=FACE_OUTER_BOUND('',#16179,.T.); #4923=FACE_OUTER_BOUND('',#16180,.T.); #4924=FACE_OUTER_BOUND('',#16184,.T.); #4925=FACE_OUTER_BOUND('',#16185,.T.); #4926=FACE_OUTER_BOUND('',#16186,.T.); #4927=FACE_OUTER_BOUND('',#16220,.T.); #4928=FACE_OUTER_BOUND('',#16221,.T.); #4929=FACE_OUTER_BOUND('',#16222,.T.); #4930=FACE_OUTER_BOUND('',#16223,.T.); #4931=FACE_OUTER_BOUND('',#16224,.T.); #4932=FACE_OUTER_BOUND('',#16225,.T.); #4933=FACE_OUTER_BOUND('',#16226,.T.); #4934=FACE_OUTER_BOUND('',#16227,.T.); #4935=FACE_OUTER_BOUND('',#16228,.T.); #4936=FACE_OUTER_BOUND('',#16229,.T.); #4937=FACE_OUTER_BOUND('',#16230,.T.); #4938=FACE_OUTER_BOUND('',#16231,.T.); #4939=FACE_OUTER_BOUND('',#16234,.T.); #4940=FACE_OUTER_BOUND('',#16235,.T.); #4941=FACE_OUTER_BOUND('',#16236,.T.); #4942=FACE_OUTER_BOUND('',#16237,.T.); #4943=FACE_OUTER_BOUND('',#16238,.T.); #4944=FACE_OUTER_BOUND('',#16239,.T.); #4945=FACE_OUTER_BOUND('',#16240,.T.); #4946=FACE_OUTER_BOUND('',#16241,.T.); #4947=FACE_OUTER_BOUND('',#16242,.T.); #4948=FACE_OUTER_BOUND('',#16243,.T.); #4949=FACE_OUTER_BOUND('',#16244,.T.); #4950=FACE_OUTER_BOUND('',#16245,.T.); #4951=FACE_OUTER_BOUND('',#16246,.T.); #4952=FACE_OUTER_BOUND('',#16247,.T.); #4953=FACE_OUTER_BOUND('',#16248,.T.); #4954=FACE_OUTER_BOUND('',#16249,.T.); #4955=FACE_OUTER_BOUND('',#16250,.T.); #4956=FACE_OUTER_BOUND('',#16251,.T.); #4957=FACE_OUTER_BOUND('',#16252,.T.); #4958=FACE_OUTER_BOUND('',#16253,.T.); #4959=FACE_OUTER_BOUND('',#16254,.T.); #4960=FACE_OUTER_BOUND('',#16255,.T.); #4961=FACE_OUTER_BOUND('',#16256,.T.); #4962=FACE_OUTER_BOUND('',#16257,.T.); #4963=FACE_OUTER_BOUND('',#16258,.T.); #4964=FACE_OUTER_BOUND('',#16259,.T.); #4965=FACE_OUTER_BOUND('',#16260,.T.); #4966=FACE_OUTER_BOUND('',#16261,.T.); #4967=FACE_OUTER_BOUND('',#16262,.T.); #4968=FACE_OUTER_BOUND('',#16263,.T.); #4969=FACE_OUTER_BOUND('',#16264,.T.); #4970=FACE_OUTER_BOUND('',#16265,.T.); #4971=FACE_OUTER_BOUND('',#16266,.T.); #4972=FACE_OUTER_BOUND('',#16267,.T.); #4973=FACE_OUTER_BOUND('',#16268,.T.); #4974=FACE_OUTER_BOUND('',#16269,.T.); #4975=FACE_OUTER_BOUND('',#16270,.T.); #4976=FACE_OUTER_BOUND('',#16271,.T.); #4977=FACE_OUTER_BOUND('',#16272,.T.); #4978=FACE_OUTER_BOUND('',#16273,.T.); #4979=FACE_OUTER_BOUND('',#16274,.T.); #4980=FACE_OUTER_BOUND('',#16275,.T.); #4981=FACE_OUTER_BOUND('',#16276,.T.); #4982=FACE_OUTER_BOUND('',#16277,.T.); #4983=FACE_OUTER_BOUND('',#16278,.T.); #4984=FACE_OUTER_BOUND('',#16279,.T.); #4985=FACE_OUTER_BOUND('',#16280,.T.); #4986=FACE_OUTER_BOUND('',#16281,.T.); #4987=FACE_OUTER_BOUND('',#16282,.T.); #4988=FACE_OUTER_BOUND('',#16283,.T.); #4989=FACE_OUTER_BOUND('',#16286,.T.); #4990=FACE_OUTER_BOUND('',#16287,.T.); #4991=FACE_OUTER_BOUND('',#16288,.T.); #4992=FACE_OUTER_BOUND('',#16289,.T.); #4993=FACE_OUTER_BOUND('',#16290,.T.); #4994=FACE_OUTER_BOUND('',#16291,.T.); #4995=FACE_OUTER_BOUND('',#16292,.T.); #4996=FACE_OUTER_BOUND('',#16293,.T.); #4997=FACE_OUTER_BOUND('',#16294,.T.); #4998=FACE_OUTER_BOUND('',#16295,.T.); #4999=FACE_OUTER_BOUND('',#16296,.T.); #5000=FACE_OUTER_BOUND('',#16297,.T.); #5001=FACE_OUTER_BOUND('',#16298,.T.); #5002=FACE_OUTER_BOUND('',#16299,.T.); #5003=FACE_OUTER_BOUND('',#16300,.T.); #5004=FACE_OUTER_BOUND('',#16301,.T.); #5005=FACE_OUTER_BOUND('',#16302,.T.); #5006=FACE_OUTER_BOUND('',#16303,.T.); #5007=FACE_OUTER_BOUND('',#16304,.T.); #5008=FACE_OUTER_BOUND('',#16305,.T.); #5009=FACE_OUTER_BOUND('',#16306,.T.); #5010=FACE_OUTER_BOUND('',#16307,.T.); #5011=FACE_OUTER_BOUND('',#16308,.T.); #5012=FACE_OUTER_BOUND('',#16309,.T.); #5013=FACE_OUTER_BOUND('',#16310,.T.); #5014=FACE_OUTER_BOUND('',#16311,.T.); #5015=FACE_OUTER_BOUND('',#16312,.T.); #5016=FACE_OUTER_BOUND('',#16313,.T.); #5017=FACE_OUTER_BOUND('',#16314,.T.); #5018=FACE_OUTER_BOUND('',#16315,.T.); #5019=FACE_OUTER_BOUND('',#16316,.T.); #5020=FACE_OUTER_BOUND('',#16317,.T.); #5021=FACE_OUTER_BOUND('',#16318,.T.); #5022=FACE_OUTER_BOUND('',#16319,.T.); #5023=FACE_OUTER_BOUND('',#16320,.T.); #5024=FACE_OUTER_BOUND('',#16321,.T.); #5025=FACE_OUTER_BOUND('',#16322,.T.); #5026=FACE_OUTER_BOUND('',#16323,.T.); #5027=FACE_OUTER_BOUND('',#16324,.T.); #5028=FACE_OUTER_BOUND('',#16325,.T.); #5029=FACE_OUTER_BOUND('',#16326,.T.); #5030=FACE_OUTER_BOUND('',#16327,.T.); #5031=FACE_OUTER_BOUND('',#16328,.T.); #5032=FACE_OUTER_BOUND('',#16329,.T.); #5033=FACE_OUTER_BOUND('',#16330,.T.); #5034=FACE_OUTER_BOUND('',#16331,.T.); #5035=FACE_OUTER_BOUND('',#16332,.T.); #5036=FACE_OUTER_BOUND('',#16333,.T.); #5037=FACE_OUTER_BOUND('',#16334,.T.); #5038=FACE_OUTER_BOUND('',#16335,.T.); #5039=FACE_OUTER_BOUND('',#16336,.T.); #5040=FACE_OUTER_BOUND('',#16337,.T.); #5041=FACE_OUTER_BOUND('',#16338,.T.); #5042=FACE_OUTER_BOUND('',#16339,.T.); #5043=FACE_OUTER_BOUND('',#16340,.T.); #5044=FACE_OUTER_BOUND('',#16341,.T.); #5045=FACE_OUTER_BOUND('',#16342,.T.); #5046=FACE_OUTER_BOUND('',#16343,.T.); #5047=FACE_OUTER_BOUND('',#16344,.T.); #5048=FACE_OUTER_BOUND('',#16345,.T.); #5049=FACE_OUTER_BOUND('',#16346,.T.); #5050=FACE_OUTER_BOUND('',#16347,.T.); #5051=FACE_OUTER_BOUND('',#16348,.T.); #5052=FACE_OUTER_BOUND('',#16349,.T.); #5053=FACE_OUTER_BOUND('',#16350,.T.); #5054=FACE_OUTER_BOUND('',#16351,.T.); #5055=FACE_OUTER_BOUND('',#16352,.T.); #5056=FACE_OUTER_BOUND('',#16353,.T.); #5057=FACE_OUTER_BOUND('',#16354,.T.); #5058=FACE_OUTER_BOUND('',#16355,.T.); #5059=FACE_OUTER_BOUND('',#16356,.T.); #5060=FACE_OUTER_BOUND('',#16357,.T.); #5061=FACE_OUTER_BOUND('',#16358,.T.); #5062=FACE_OUTER_BOUND('',#16359,.T.); #5063=FACE_OUTER_BOUND('',#16360,.T.); #5064=FACE_OUTER_BOUND('',#16361,.T.); #5065=FACE_OUTER_BOUND('',#16362,.T.); #5066=FACE_OUTER_BOUND('',#16363,.T.); #5067=FACE_OUTER_BOUND('',#16364,.T.); #5068=FACE_OUTER_BOUND('',#16365,.T.); #5069=FACE_OUTER_BOUND('',#16366,.T.); #5070=FACE_OUTER_BOUND('',#16367,.T.); #5071=FACE_OUTER_BOUND('',#16368,.T.); #5072=FACE_OUTER_BOUND('',#16369,.T.); #5073=FACE_OUTER_BOUND('',#16370,.T.); #5074=FACE_OUTER_BOUND('',#16371,.T.); #5075=FACE_OUTER_BOUND('',#16372,.T.); #5076=FACE_OUTER_BOUND('',#16373,.T.); #5077=FACE_OUTER_BOUND('',#16374,.T.); #5078=FACE_OUTER_BOUND('',#16375,.T.); #5079=FACE_OUTER_BOUND('',#16376,.T.); #5080=FACE_OUTER_BOUND('',#16377,.T.); #5081=FACE_OUTER_BOUND('',#16378,.T.); #5082=FACE_OUTER_BOUND('',#16379,.T.); #5083=FACE_OUTER_BOUND('',#16380,.T.); #5084=FACE_OUTER_BOUND('',#16381,.T.); #5085=FACE_OUTER_BOUND('',#16382,.T.); #5086=FACE_OUTER_BOUND('',#16383,.T.); #5087=FACE_OUTER_BOUND('',#16384,.T.); #5088=FACE_OUTER_BOUND('',#16385,.T.); #5089=FACE_OUTER_BOUND('',#16386,.T.); #5090=FACE_OUTER_BOUND('',#16387,.T.); #5091=FACE_OUTER_BOUND('',#16388,.T.); #5092=FACE_OUTER_BOUND('',#16389,.T.); #5093=FACE_OUTER_BOUND('',#16390,.T.); #5094=FACE_OUTER_BOUND('',#16391,.T.); #5095=FACE_OUTER_BOUND('',#16392,.T.); #5096=FACE_OUTER_BOUND('',#16393,.T.); #5097=FACE_OUTER_BOUND('',#16394,.T.); #5098=FACE_OUTER_BOUND('',#16395,.T.); #5099=FACE_OUTER_BOUND('',#16396,.T.); #5100=FACE_OUTER_BOUND('',#16397,.T.); #5101=FACE_OUTER_BOUND('',#16398,.T.); #5102=FACE_OUTER_BOUND('',#16399,.T.); #5103=FACE_OUTER_BOUND('',#16402,.T.); #5104=FACE_OUTER_BOUND('',#16403,.T.); #5105=FACE_OUTER_BOUND('',#16404,.T.); #5106=FACE_OUTER_BOUND('',#16405,.T.); #5107=FACE_OUTER_BOUND('',#16406,.T.); #5108=FACE_OUTER_BOUND('',#16407,.T.); #5109=FACE_OUTER_BOUND('',#16408,.T.); #5110=FACE_OUTER_BOUND('',#16409,.T.); #5111=FACE_OUTER_BOUND('',#16410,.T.); #5112=FACE_OUTER_BOUND('',#16411,.T.); #5113=FACE_OUTER_BOUND('',#16412,.T.); #5114=FACE_OUTER_BOUND('',#16413,.T.); #5115=FACE_OUTER_BOUND('',#16414,.T.); #5116=FACE_OUTER_BOUND('',#16415,.T.); #5117=FACE_OUTER_BOUND('',#16416,.T.); #5118=FACE_OUTER_BOUND('',#16417,.T.); #5119=FACE_OUTER_BOUND('',#16418,.T.); #5120=FACE_OUTER_BOUND('',#16419,.T.); #5121=FACE_OUTER_BOUND('',#16420,.T.); #5122=FACE_OUTER_BOUND('',#16421,.T.); #5123=FACE_OUTER_BOUND('',#16422,.T.); #5124=FACE_OUTER_BOUND('',#16423,.T.); #5125=FACE_OUTER_BOUND('',#16424,.T.); #5126=FACE_OUTER_BOUND('',#16425,.T.); #5127=FACE_OUTER_BOUND('',#16426,.T.); #5128=FACE_OUTER_BOUND('',#16427,.T.); #5129=FACE_OUTER_BOUND('',#16428,.T.); #5130=FACE_OUTER_BOUND('',#16429,.T.); #5131=FACE_OUTER_BOUND('',#16430,.T.); #5132=FACE_OUTER_BOUND('',#16431,.T.); #5133=FACE_OUTER_BOUND('',#16432,.T.); #5134=FACE_OUTER_BOUND('',#16433,.T.); #5135=FACE_OUTER_BOUND('',#16434,.T.); #5136=FACE_OUTER_BOUND('',#16435,.T.); #5137=FACE_OUTER_BOUND('',#16436,.T.); #5138=FACE_OUTER_BOUND('',#16437,.T.); #5139=FACE_OUTER_BOUND('',#16438,.T.); #5140=FACE_OUTER_BOUND('',#16439,.T.); #5141=FACE_OUTER_BOUND('',#16440,.T.); #5142=FACE_OUTER_BOUND('',#16441,.T.); #5143=FACE_OUTER_BOUND('',#16442,.T.); #5144=FACE_OUTER_BOUND('',#16443,.T.); #5145=FACE_OUTER_BOUND('',#16444,.T.); #5146=FACE_OUTER_BOUND('',#16445,.T.); #5147=FACE_OUTER_BOUND('',#16446,.T.); #5148=FACE_OUTER_BOUND('',#16447,.T.); #5149=FACE_OUTER_BOUND('',#16448,.T.); #5150=FACE_OUTER_BOUND('',#16449,.T.); #5151=FACE_OUTER_BOUND('',#16450,.T.); #5152=FACE_OUTER_BOUND('',#16451,.T.); #5153=FACE_OUTER_BOUND('',#16452,.T.); #5154=FACE_OUTER_BOUND('',#16453,.T.); #5155=FACE_OUTER_BOUND('',#16454,.T.); #5156=FACE_OUTER_BOUND('',#16455,.T.); #5157=FACE_OUTER_BOUND('',#16456,.T.); #5158=FACE_OUTER_BOUND('',#16457,.T.); #5159=FACE_OUTER_BOUND('',#16458,.T.); #5160=FACE_OUTER_BOUND('',#16459,.T.); #5161=FACE_OUTER_BOUND('',#16460,.T.); #5162=FACE_OUTER_BOUND('',#16461,.T.); #5163=FACE_OUTER_BOUND('',#16462,.T.); #5164=FACE_OUTER_BOUND('',#16463,.T.); #5165=FACE_OUTER_BOUND('',#16466,.T.); #5166=FACE_OUTER_BOUND('',#16467,.T.); #5167=FACE_OUTER_BOUND('',#16468,.T.); #5168=FACE_OUTER_BOUND('',#16469,.T.); #5169=FACE_OUTER_BOUND('',#16470,.T.); #5170=FACE_OUTER_BOUND('',#16471,.T.); #5171=FACE_OUTER_BOUND('',#16472,.T.); #5172=FACE_OUTER_BOUND('',#16473,.T.); #5173=FACE_OUTER_BOUND('',#16474,.T.); #5174=FACE_OUTER_BOUND('',#16475,.T.); #5175=FACE_OUTER_BOUND('',#16476,.T.); #5176=FACE_OUTER_BOUND('',#16477,.T.); #5177=FACE_OUTER_BOUND('',#16478,.T.); #5178=FACE_OUTER_BOUND('',#16479,.T.); #5179=FACE_OUTER_BOUND('',#16480,.T.); #5180=FACE_OUTER_BOUND('',#16481,.T.); #5181=FACE_OUTER_BOUND('',#16482,.T.); #5182=FACE_OUTER_BOUND('',#16483,.T.); #5183=FACE_OUTER_BOUND('',#16484,.T.); #5184=FACE_OUTER_BOUND('',#16487,.T.); #5185=FACE_OUTER_BOUND('',#16488,.T.); #5186=FACE_OUTER_BOUND('',#16489,.T.); #5187=FACE_OUTER_BOUND('',#16490,.T.); #5188=FACE_OUTER_BOUND('',#16491,.T.); #5189=FACE_OUTER_BOUND('',#16492,.T.); #5190=ADVANCED_FACE('',(#14811,#14812,#14813,#14814,#14815,#14816,#14817, #14818,#14819,#14820,#14821,#14822,#14823,#14824),#6684,.T.); #5191=ADVANCED_FACE('',(#3730),#6685,.T.); #5192=ADVANCED_FACE('',(#3731),#6686,.T.); #5193=ADVANCED_FACE('',(#3732),#3562,.F.); #5194=ADVANCED_FACE('',(#3733),#3563,.F.); #5195=ADVANCED_FACE('',(#3734),#3564,.F.); #5196=ADVANCED_FACE('',(#3735),#3565,.F.); #5197=ADVANCED_FACE('',(#3736),#6687,.F.); #5198=ADVANCED_FACE('',(#3737),#3566,.T.); #5199=ADVANCED_FACE('',(#3738),#3567,.T.); #5200=ADVANCED_FACE('',(#3739),#3568,.T.); #5201=ADVANCED_FACE('',(#3740),#3569,.T.); #5202=ADVANCED_FACE('',(#3741),#3570,.T.); #5203=ADVANCED_FACE('',(#3742),#3571,.T.); #5204=ADVANCED_FACE('',(#3743),#6688,.F.); #5205=ADVANCED_FACE('',(#3744),#6689,.F.); #5206=ADVANCED_FACE('',(#3745),#6690,.T.); #5207=ADVANCED_FACE('',(#14825,#14826),#6691,.T.); #5208=ADVANCED_FACE('',(#3746),#3572,.F.); #5209=ADVANCED_FACE('',(#14827,#14828),#6692,.T.); #5210=ADVANCED_FACE('',(#3747),#6693,.T.); #5211=ADVANCED_FACE('',(#3748),#6694,.T.); #5212=ADVANCED_FACE('',(#3749),#6695,.T.); #5213=ADVANCED_FACE('',(#3750),#6696,.T.); #5214=ADVANCED_FACE('',(#3751),#6697,.T.); #5215=ADVANCED_FACE('',(#14829,#14830),#6698,.T.); #5216=ADVANCED_FACE('',(#14831,#14832),#3573,.F.); #5217=ADVANCED_FACE('',(#14833,#14834),#3574,.F.); #5218=ADVANCED_FACE('',(#3752),#3575,.T.); #5219=ADVANCED_FACE('',(#3753),#6699,.T.); #5220=ADVANCED_FACE('',(#3754),#6700,.T.); #5221=ADVANCED_FACE('',(#3755),#3576,.T.); #5222=ADVANCED_FACE('',(#3756),#6701,.T.); #5223=ADVANCED_FACE('',(#3757),#6702,.T.); #5224=ADVANCED_FACE('',(#3758),#6703,.T.); #5225=ADVANCED_FACE('',(#14835,#14836),#6704,.F.); #5226=ADVANCED_FACE('',(#14837,#14838),#6705,.F.); #5227=ADVANCED_FACE('',(#3759),#6706,.T.); #5228=ADVANCED_FACE('',(#3760),#6707,.T.); #5229=ADVANCED_FACE('',(#3761),#6708,.T.); #5230=ADVANCED_FACE('',(#3762),#6709,.T.); #5231=ADVANCED_FACE('',(#3763),#3577,.F.); #5232=ADVANCED_FACE('',(#3764),#3578,.F.); #5233=ADVANCED_FACE('',(#3765),#3579,.F.); #5234=ADVANCED_FACE('',(#3766),#3580,.F.); #5235=ADVANCED_FACE('',(#3767),#3581,.T.); #5236=ADVANCED_FACE('',(#3768),#3582,.T.); #5237=ADVANCED_FACE('',(#3769),#3583,.T.); #5238=ADVANCED_FACE('',(#3770),#3584,.T.); #5239=ADVANCED_FACE('',(#3771),#3585,.F.); #5240=ADVANCED_FACE('',(#3772),#3586,.F.); #5241=ADVANCED_FACE('',(#3773),#3587,.F.); #5242=ADVANCED_FACE('',(#3774),#3588,.F.); #5243=ADVANCED_FACE('',(#3775),#3589,.F.); #5244=ADVANCED_FACE('',(#3776),#3590,.F.); #5245=ADVANCED_FACE('',(#3777),#6710,.F.); #5246=ADVANCED_FACE('',(#3778),#6711,.F.); #5247=ADVANCED_FACE('',(#3779),#6712,.F.); #5248=ADVANCED_FACE('',(#3780),#6713,.F.); #5249=ADVANCED_FACE('',(#3781),#6714,.F.); #5250=ADVANCED_FACE('',(#14839,#14840),#6715,.F.); #5251=ADVANCED_FACE('',(#3782),#6716,.F.); #5252=ADVANCED_FACE('',(#14841,#14842),#3591,.T.); #5253=ADVANCED_FACE('',(#14843,#14844),#3557,.T.); #5254=ADVANCED_FACE('',(#3783),#6717,.F.); #5255=ADVANCED_FACE('',(#3784),#3592,.F.); #5256=ADVANCED_FACE('',(#3785),#3593,.F.); #5257=ADVANCED_FACE('',(#3786),#6718,.T.); #5258=ADVANCED_FACE('',(#3787),#6719,.F.); #5259=ADVANCED_FACE('',(#3788),#6720,.F.); #5260=ADVANCED_FACE('',(#3789),#6721,.F.); #5261=ADVANCED_FACE('',(#3790),#6722,.F.); #5262=ADVANCED_FACE('',(#3791),#3594,.F.); #5263=ADVANCED_FACE('',(#3792),#3595,.F.); #5264=ADVANCED_FACE('',(#3793),#3596,.F.); #5265=ADVANCED_FACE('',(#3794),#3597,.F.); #5266=ADVANCED_FACE('',(#3795),#3598,.F.); #5267=ADVANCED_FACE('',(#3796),#6723,.T.); #5268=ADVANCED_FACE('',(#3797),#6724,.F.); #5269=ADVANCED_FACE('',(#14845,#14846),#3599,.F.); #5270=ADVANCED_FACE('',(#3798),#3600,.F.); #5271=ADVANCED_FACE('',(#3799),#3601,.F.); #5272=ADVANCED_FACE('',(#3800),#3602,.T.); #5273=ADVANCED_FACE('',(#3801),#3603,.T.); #5274=ADVANCED_FACE('',(#3802),#3604,.T.); #5275=ADVANCED_FACE('',(#3803),#3605,.T.); #5276=ADVANCED_FACE('',(#3804),#3606,.T.); #5277=ADVANCED_FACE('',(#3805),#3607,.T.); #5278=ADVANCED_FACE('',(#3806),#6725,.F.); #5279=ADVANCED_FACE('',(#3807),#6726,.F.); #5280=ADVANCED_FACE('',(#3808),#6727,.F.); #5281=ADVANCED_FACE('',(#3809),#6728,.F.); #5282=ADVANCED_FACE('',(#3810),#6729,.T.); #5283=ADVANCED_FACE('',(#3811),#6730,.T.); #5284=ADVANCED_FACE('',(#3812),#6731,.T.); #5285=ADVANCED_FACE('',(#3813),#6732,.T.); #5286=ADVANCED_FACE('',(#3814),#6733,.T.); #5287=ADVANCED_FACE('',(#3815),#6734,.T.); #5288=ADVANCED_FACE('',(#3816),#6735,.T.); #5289=ADVANCED_FACE('',(#3817),#6736,.T.); #5290=ADVANCED_FACE('',(#3818),#6737,.F.); #5291=ADVANCED_FACE('',(#3819),#6738,.F.); #5292=ADVANCED_FACE('',(#3820),#6739,.F.); #5293=ADVANCED_FACE('',(#3821),#3608,.T.); #5294=ADVANCED_FACE('',(#3822),#3609,.T.); #5295=ADVANCED_FACE('',(#3823),#3610,.T.); #5296=ADVANCED_FACE('',(#3824),#3611,.T.); #5297=ADVANCED_FACE('',(#3825),#3612,.F.); #5298=ADVANCED_FACE('',(#3826),#3613,.F.); #5299=ADVANCED_FACE('',(#3827),#3614,.T.); #5300=ADVANCED_FACE('',(#3828),#3615,.T.); #5301=ADVANCED_FACE('',(#3829),#3616,.T.); #5302=ADVANCED_FACE('',(#3830),#3617,.T.); #5303=ADVANCED_FACE('',(#3831),#6740,.T.); #5304=ADVANCED_FACE('',(#3832),#6741,.T.); #5305=ADVANCED_FACE('',(#3833),#6742,.F.); #5306=ADVANCED_FACE('',(#3834),#6743,.F.); #5307=ADVANCED_FACE('',(#3835),#6744,.F.); #5308=ADVANCED_FACE('',(#3836),#6745,.F.); #5309=ADVANCED_FACE('',(#3837),#6746,.F.); #5310=ADVANCED_FACE('',(#3838),#6747,.T.); #5311=ADVANCED_FACE('',(#3839),#3509,.T.); #5312=ADVANCED_FACE('',(#3840),#3510,.T.); #5313=ADVANCED_FACE('',(#3841),#3511,.T.); #5314=ADVANCED_FACE('',(#14847,#14848),#3512,.T.); #5315=ADVANCED_FACE('',(#3842),#3513,.T.); #5316=ADVANCED_FACE('',(#3843),#3514,.T.); #5317=ADVANCED_FACE('',(#14849,#14850,#14851),#6748,.T.); #5318=ADVANCED_FACE('',(#3844),#6749,.T.); #5319=ADVANCED_FACE('',(#3845),#6750,.T.); #5320=ADVANCED_FACE('',(#3846),#6751,.T.); #5321=ADVANCED_FACE('',(#3847),#6752,.T.); #5322=ADVANCED_FACE('',(#3848),#6753,.T.); #5323=ADVANCED_FACE('',(#3849),#6754,.T.); #5324=ADVANCED_FACE('',(#3850),#6755,.T.); #5325=ADVANCED_FACE('',(#3851),#6756,.T.); #5326=ADVANCED_FACE('',(#3852),#6757,.T.); #5327=ADVANCED_FACE('',(#3853),#6758,.T.); #5328=ADVANCED_FACE('',(#3854),#3515,.T.); #5329=ADVANCED_FACE('',(#3855),#6759,.T.); #5330=ADVANCED_FACE('',(#3856),#3516,.T.); #5331=ADVANCED_FACE('',(#3857),#6760,.T.); #5332=ADVANCED_FACE('',(#3858),#6761,.T.); #5333=ADVANCED_FACE('',(#3859),#6762,.T.); #5334=ADVANCED_FACE('',(#3860),#3517,.T.); #5335=ADVANCED_FACE('',(#3861),#6763,.T.); #5336=ADVANCED_FACE('',(#14852,#14853),#6764,.T.); #5337=ADVANCED_FACE('',(#3862),#6765,.T.); #5338=ADVANCED_FACE('',(#3863),#6766,.T.); #5339=ADVANCED_FACE('',(#3864),#6767,.T.); #5340=ADVANCED_FACE('',(#3865),#6768,.T.); #5341=ADVANCED_FACE('',(#3866),#3518,.T.); #5342=ADVANCED_FACE('',(#14854,#14855),#3519,.T.); #5343=ADVANCED_FACE('',(#14856,#14857),#6769,.T.); #5344=ADVANCED_FACE('',(#3867),#6770,.T.); #5345=ADVANCED_FACE('',(#3868),#6771,.T.); #5346=ADVANCED_FACE('',(#3869),#6772,.T.); #5347=ADVANCED_FACE('',(#3870),#6773,.T.); #5348=ADVANCED_FACE('',(#3871),#6774,.T.); #5349=ADVANCED_FACE('',(#3872),#3520,.T.); #5350=ADVANCED_FACE('',(#3873),#6775,.T.); #5351=ADVANCED_FACE('',(#3874),#3521,.T.); #5352=ADVANCED_FACE('',(#3875),#6776,.T.); #5353=ADVANCED_FACE('',(#3876),#6777,.T.); #5354=ADVANCED_FACE('',(#3877),#6778,.T.); #5355=ADVANCED_FACE('',(#3878),#6779,.T.); #5356=ADVANCED_FACE('',(#3879),#6780,.T.); #5357=ADVANCED_FACE('',(#3880),#6781,.T.); #5358=ADVANCED_FACE('',(#3881),#6782,.T.); #5359=ADVANCED_FACE('',(#3882),#6783,.T.); #5360=ADVANCED_FACE('',(#3883),#6784,.T.); #5361=ADVANCED_FACE('',(#3884),#6785,.T.); #5362=ADVANCED_FACE('',(#3885),#6786,.T.); #5363=ADVANCED_FACE('',(#3886),#6787,.T.); #5364=ADVANCED_FACE('',(#3887),#6788,.T.); #5365=ADVANCED_FACE('',(#3888),#6789,.T.); #5366=ADVANCED_FACE('',(#3889),#6790,.T.); #5367=ADVANCED_FACE('',(#14858,#14859),#6791,.T.); #5368=ADVANCED_FACE('',(#3890),#6792,.T.); #5369=ADVANCED_FACE('',(#3891),#3522,.T.); #5370=ADVANCED_FACE('',(#14860,#14861),#3523,.T.); #5371=ADVANCED_FACE('',(#3892),#3524,.T.); #5372=ADVANCED_FACE('',(#14862,#14863),#6793,.T.); #5373=ADVANCED_FACE('',(#3893),#6794,.T.); #5374=ADVANCED_FACE('',(#3894),#6795,.T.); #5375=ADVANCED_FACE('',(#3895),#6796,.T.); #5376=ADVANCED_FACE('',(#3896),#6797,.T.); #5377=ADVANCED_FACE('',(#3897),#6798,.T.); #5378=ADVANCED_FACE('',(#3898),#6799,.T.); #5379=ADVANCED_FACE('',(#3899),#6800,.T.); #5380=ADVANCED_FACE('',(#3900),#6801,.T.); #5381=ADVANCED_FACE('',(#3901),#6802,.T.); #5382=ADVANCED_FACE('',(#3902),#6803,.T.); #5383=ADVANCED_FACE('',(#3903),#6804,.T.); #5384=ADVANCED_FACE('',(#3904),#6805,.T.); #5385=ADVANCED_FACE('',(#3905),#6806,.T.); #5386=ADVANCED_FACE('',(#3906),#6807,.T.); #5387=ADVANCED_FACE('',(#3907),#6808,.T.); #5388=ADVANCED_FACE('',(#3908),#6809,.T.); #5389=ADVANCED_FACE('',(#3909),#6810,.T.); #5390=ADVANCED_FACE('',(#3910),#6811,.T.); #5391=ADVANCED_FACE('',(#3911),#6812,.T.); #5392=ADVANCED_FACE('',(#3912),#6813,.T.); #5393=ADVANCED_FACE('',(#3913),#6814,.T.); #5394=ADVANCED_FACE('',(#3914),#6815,.T.); #5395=ADVANCED_FACE('',(#3915),#6816,.T.); #5396=ADVANCED_FACE('',(#3916),#6817,.T.); #5397=ADVANCED_FACE('',(#3917),#6818,.T.); #5398=ADVANCED_FACE('',(#14864,#14865),#6819,.T.); #5399=ADVANCED_FACE('',(#3918),#6820,.T.); #5400=ADVANCED_FACE('',(#3919),#6821,.T.); #5401=ADVANCED_FACE('',(#3920),#6822,.T.); #5402=ADVANCED_FACE('',(#3921),#6823,.T.); #5403=ADVANCED_FACE('',(#3922),#6824,.T.); #5404=ADVANCED_FACE('',(#3923),#6825,.T.); #5405=ADVANCED_FACE('',(#3924),#6826,.T.); #5406=ADVANCED_FACE('',(#3925),#6827,.T.); #5407=ADVANCED_FACE('',(#3926),#6828,.T.); #5408=ADVANCED_FACE('',(#3927),#6829,.T.); #5409=ADVANCED_FACE('',(#3928),#6830,.T.); #5410=ADVANCED_FACE('',(#3929),#6831,.T.); #5411=ADVANCED_FACE('',(#3930),#6832,.T.); #5412=ADVANCED_FACE('',(#3931),#6833,.T.); #5413=ADVANCED_FACE('',(#3932),#6834,.T.); #5414=ADVANCED_FACE('',(#3933),#3525,.T.); #5415=ADVANCED_FACE('',(#3934),#3526,.T.); #5416=ADVANCED_FACE('',(#3935),#3527,.T.); #5417=ADVANCED_FACE('',(#3936),#3528,.T.); #5418=ADVANCED_FACE('',(#14866,#14867),#6835,.T.); #5419=ADVANCED_FACE('',(#3937),#6836,.T.); #5420=ADVANCED_FACE('',(#3938),#6837,.T.); #5421=ADVANCED_FACE('',(#3939),#6838,.T.); #5422=ADVANCED_FACE('',(#3940),#6839,.T.); #5423=ADVANCED_FACE('',(#3941),#6840,.T.); #5424=ADVANCED_FACE('',(#3942),#6841,.T.); #5425=ADVANCED_FACE('',(#3943),#6842,.T.); #5426=ADVANCED_FACE('',(#3944),#6843,.T.); #5427=ADVANCED_FACE('',(#3945),#6844,.T.); #5428=ADVANCED_FACE('',(#3946),#6845,.T.); #5429=ADVANCED_FACE('',(#3947),#6846,.T.); #5430=ADVANCED_FACE('',(#3948),#6847,.T.); #5431=ADVANCED_FACE('',(#3949),#6848,.T.); #5432=ADVANCED_FACE('',(#3950),#6849,.T.); #5433=ADVANCED_FACE('',(#3951),#6850,.T.); #5434=ADVANCED_FACE('',(#3952),#6851,.T.); #5435=ADVANCED_FACE('',(#3953),#6852,.T.); #5436=ADVANCED_FACE('',(#3954),#6853,.T.); #5437=ADVANCED_FACE('',(#3955),#6854,.T.); #5438=ADVANCED_FACE('',(#3956),#6855,.T.); #5439=ADVANCED_FACE('',(#3957),#6856,.T.); #5440=ADVANCED_FACE('',(#14868,#14869),#6857,.T.); #5441=ADVANCED_FACE('',(#3958),#6858,.T.); #5442=ADVANCED_FACE('',(#3959),#6859,.T.); #5443=ADVANCED_FACE('',(#3960),#6860,.T.); #5444=ADVANCED_FACE('',(#3961),#6861,.F.); #5445=ADVANCED_FACE('',(#3962),#6862,.F.); #5446=ADVANCED_FACE('',(#3963),#6863,.F.); #5447=ADVANCED_FACE('',(#3964),#6864,.F.); #5448=ADVANCED_FACE('',(#3965),#6865,.F.); #5449=ADVANCED_FACE('',(#3966),#6866,.F.); #5450=ADVANCED_FACE('',(#3967),#6867,.T.); #5451=ADVANCED_FACE('',(#3968),#6868,.F.); #5452=ADVANCED_FACE('',(#3969),#6869,.F.); #5453=ADVANCED_FACE('',(#3970),#6870,.F.); #5454=ADVANCED_FACE('',(#3971),#6871,.F.); #5455=ADVANCED_FACE('',(#3972),#6872,.F.); #5456=ADVANCED_FACE('',(#3973),#6873,.F.); #5457=ADVANCED_FACE('',(#3974),#6874,.F.); #5458=ADVANCED_FACE('',(#3975),#6875,.F.); #5459=ADVANCED_FACE('',(#3976),#6876,.F.); #5460=ADVANCED_FACE('',(#3977),#6877,.F.); #5461=ADVANCED_FACE('',(#3978),#6878,.F.); #5462=ADVANCED_FACE('',(#3979),#6879,.F.); #5463=ADVANCED_FACE('',(#3980),#6880,.F.); #5464=ADVANCED_FACE('',(#3981),#6881,.F.); #5465=ADVANCED_FACE('',(#3982),#6882,.F.); #5466=ADVANCED_FACE('',(#3983),#6883,.F.); #5467=ADVANCED_FACE('',(#3984),#6884,.F.); #5468=ADVANCED_FACE('',(#3985),#6885,.F.); #5469=ADVANCED_FACE('',(#3986),#6886,.F.); #5470=ADVANCED_FACE('',(#3987),#6887,.F.); #5471=ADVANCED_FACE('',(#3988),#6888,.F.); #5472=ADVANCED_FACE('',(#3989),#6889,.F.); #5473=ADVANCED_FACE('',(#3990),#6890,.F.); #5474=ADVANCED_FACE('',(#3991),#6891,.F.); #5475=ADVANCED_FACE('',(#3992),#6892,.F.); #5476=ADVANCED_FACE('',(#3993),#6893,.F.); #5477=ADVANCED_FACE('',(#3994),#6894,.F.); #5478=ADVANCED_FACE('',(#3995),#6895,.F.); #5479=ADVANCED_FACE('',(#3996),#6896,.F.); #5480=ADVANCED_FACE('',(#3997),#6897,.F.); #5481=ADVANCED_FACE('',(#3998),#6898,.F.); #5482=ADVANCED_FACE('',(#3999),#6899,.F.); #5483=ADVANCED_FACE('',(#4000),#6900,.F.); #5484=ADVANCED_FACE('',(#4001),#6901,.F.); #5485=ADVANCED_FACE('',(#4002),#6902,.F.); #5486=ADVANCED_FACE('',(#4003),#6903,.F.); #5487=ADVANCED_FACE('',(#4004),#6904,.F.); #5488=ADVANCED_FACE('',(#4005),#6905,.F.); #5489=ADVANCED_FACE('',(#4006),#6906,.F.); #5490=ADVANCED_FACE('',(#4007),#6907,.F.); #5491=ADVANCED_FACE('',(#4008),#6908,.F.); #5492=ADVANCED_FACE('',(#4009),#6909,.F.); #5493=ADVANCED_FACE('',(#4010),#6910,.F.); #5494=ADVANCED_FACE('',(#4011),#6911,.F.); #5495=ADVANCED_FACE('',(#4012),#6912,.F.); #5496=ADVANCED_FACE('',(#4013),#6913,.F.); #5497=ADVANCED_FACE('',(#4014),#6914,.F.); #5498=ADVANCED_FACE('',(#4015),#6915,.F.); #5499=ADVANCED_FACE('',(#4016),#6916,.F.); #5500=ADVANCED_FACE('',(#4017),#6917,.F.); #5501=ADVANCED_FACE('',(#4018),#6918,.F.); #5502=ADVANCED_FACE('',(#4019),#6919,.F.); #5503=ADVANCED_FACE('',(#4020),#6920,.F.); #5504=ADVANCED_FACE('',(#4021),#6921,.F.); #5505=ADVANCED_FACE('',(#4022),#6922,.F.); #5506=ADVANCED_FACE('',(#4023),#6923,.F.); #5507=ADVANCED_FACE('',(#4024),#6924,.F.); #5508=ADVANCED_FACE('',(#4025),#6925,.F.); #5509=ADVANCED_FACE('',(#4026),#6926,.F.); #5510=ADVANCED_FACE('',(#4027),#6927,.F.); #5511=ADVANCED_FACE('',(#4028),#6928,.F.); #5512=ADVANCED_FACE('',(#4029),#6929,.F.); #5513=ADVANCED_FACE('',(#4030),#6930,.F.); #5514=ADVANCED_FACE('',(#4031),#6931,.F.); #5515=ADVANCED_FACE('',(#4032),#6932,.F.); #5516=ADVANCED_FACE('',(#4033),#6933,.F.); #5517=ADVANCED_FACE('',(#4034),#6934,.F.); #5518=ADVANCED_FACE('',(#4035),#6935,.F.); #5519=ADVANCED_FACE('',(#4036),#6936,.F.); #5520=ADVANCED_FACE('',(#4037),#6937,.F.); #5521=ADVANCED_FACE('',(#4038),#6938,.F.); #5522=ADVANCED_FACE('',(#4039),#6939,.F.); #5523=ADVANCED_FACE('',(#4040),#6940,.F.); #5524=ADVANCED_FACE('',(#4041),#6941,.F.); #5525=ADVANCED_FACE('',(#4042),#6942,.F.); #5526=ADVANCED_FACE('',(#4043),#6943,.F.); #5527=ADVANCED_FACE('',(#4044),#6944,.F.); #5528=ADVANCED_FACE('',(#4045),#6945,.F.); #5529=ADVANCED_FACE('',(#4046),#6946,.F.); #5530=ADVANCED_FACE('',(#4047),#6947,.F.); #5531=ADVANCED_FACE('',(#4048),#6948,.F.); #5532=ADVANCED_FACE('',(#4049),#6949,.F.); #5533=ADVANCED_FACE('',(#4050),#6950,.F.); #5534=ADVANCED_FACE('',(#4051),#6951,.F.); #5535=ADVANCED_FACE('',(#4052),#6952,.F.); #5536=ADVANCED_FACE('',(#4053),#6953,.F.); #5537=ADVANCED_FACE('',(#4054),#6954,.F.); #5538=ADVANCED_FACE('',(#4055),#6955,.F.); #5539=ADVANCED_FACE('',(#4056),#6956,.F.); #5540=ADVANCED_FACE('',(#4057),#6957,.F.); #5541=ADVANCED_FACE('',(#4058),#6958,.F.); #5542=ADVANCED_FACE('',(#4059),#6959,.F.); #5543=ADVANCED_FACE('',(#4060),#6960,.F.); #5544=ADVANCED_FACE('',(#4061),#6961,.F.); #5545=ADVANCED_FACE('',(#4062),#6962,.F.); #5546=ADVANCED_FACE('',(#4063),#6963,.F.); #5547=ADVANCED_FACE('',(#4064),#6964,.F.); #5548=ADVANCED_FACE('',(#4065),#6965,.F.); #5549=ADVANCED_FACE('',(#4066),#6966,.F.); #5550=ADVANCED_FACE('',(#4067),#6967,.F.); #5551=ADVANCED_FACE('',(#4068),#6968,.F.); #5552=ADVANCED_FACE('',(#4069),#6969,.F.); #5553=ADVANCED_FACE('',(#4070),#6970,.F.); #5554=ADVANCED_FACE('',(#4071),#6971,.F.); #5555=ADVANCED_FACE('',(#4072),#6972,.F.); #5556=ADVANCED_FACE('',(#4073),#6973,.F.); #5557=ADVANCED_FACE('',(#4074),#6974,.F.); #5558=ADVANCED_FACE('',(#4075),#6975,.F.); #5559=ADVANCED_FACE('',(#4076),#6976,.F.); #5560=ADVANCED_FACE('',(#4077),#6977,.F.); #5561=ADVANCED_FACE('',(#4078),#6978,.F.); #5562=ADVANCED_FACE('',(#4079),#6979,.F.); #5563=ADVANCED_FACE('',(#4080),#6980,.F.); #5564=ADVANCED_FACE('',(#4081),#6981,.F.); #5565=ADVANCED_FACE('',(#4082),#6982,.F.); #5566=ADVANCED_FACE('',(#4083),#6983,.F.); #5567=ADVANCED_FACE('',(#4084),#6984,.F.); #5568=ADVANCED_FACE('',(#4085),#6985,.F.); #5569=ADVANCED_FACE('',(#4086),#6986,.F.); #5570=ADVANCED_FACE('',(#4087),#6987,.F.); #5571=ADVANCED_FACE('',(#4088),#6988,.F.); #5572=ADVANCED_FACE('',(#4089),#6989,.F.); #5573=ADVANCED_FACE('',(#4090),#6990,.F.); #5574=ADVANCED_FACE('',(#4091),#6991,.F.); #5575=ADVANCED_FACE('',(#4092),#6992,.F.); #5576=ADVANCED_FACE('',(#4093),#6993,.F.); #5577=ADVANCED_FACE('',(#4094),#6994,.F.); #5578=ADVANCED_FACE('',(#4095),#6995,.F.); #5579=ADVANCED_FACE('',(#4096),#6996,.F.); #5580=ADVANCED_FACE('',(#4097),#6997,.F.); #5581=ADVANCED_FACE('',(#4098),#6998,.F.); #5582=ADVANCED_FACE('',(#4099),#6999,.F.); #5583=ADVANCED_FACE('',(#4100),#7000,.F.); #5584=ADVANCED_FACE('',(#4101),#7001,.F.); #5585=ADVANCED_FACE('',(#4102),#7002,.F.); #5586=ADVANCED_FACE('',(#4103),#7003,.F.); #5587=ADVANCED_FACE('',(#4104),#7004,.F.); #5588=ADVANCED_FACE('',(#4105),#7005,.F.); #5589=ADVANCED_FACE('',(#4106),#7006,.F.); #5590=ADVANCED_FACE('',(#4107),#7007,.F.); #5591=ADVANCED_FACE('',(#4108),#7008,.F.); #5592=ADVANCED_FACE('',(#4109),#7009,.F.); #5593=ADVANCED_FACE('',(#4110),#7010,.F.); #5594=ADVANCED_FACE('',(#4111),#7011,.F.); #5595=ADVANCED_FACE('',(#4112),#7012,.F.); #5596=ADVANCED_FACE('',(#4113),#7013,.F.); #5597=ADVANCED_FACE('',(#4114),#7014,.F.); #5598=ADVANCED_FACE('',(#4115),#7015,.F.); #5599=ADVANCED_FACE('',(#4116),#7016,.F.); #5600=ADVANCED_FACE('',(#4117),#7017,.F.); #5601=ADVANCED_FACE('',(#4118),#7018,.F.); #5602=ADVANCED_FACE('',(#4119),#7019,.F.); #5603=ADVANCED_FACE('',(#4120),#7020,.F.); #5604=ADVANCED_FACE('',(#4121),#7021,.F.); #5605=ADVANCED_FACE('',(#4122),#7022,.F.); #5606=ADVANCED_FACE('',(#4123),#7023,.F.); #5607=ADVANCED_FACE('',(#4124),#7024,.F.); #5608=ADVANCED_FACE('',(#4125),#7025,.F.); #5609=ADVANCED_FACE('',(#4126),#7026,.F.); #5610=ADVANCED_FACE('',(#4127),#7027,.F.); #5611=ADVANCED_FACE('',(#4128),#7028,.F.); #5612=ADVANCED_FACE('',(#4129),#7029,.T.); #5613=ADVANCED_FACE('',(#4130),#7030,.F.); #5614=ADVANCED_FACE('',(#4131),#7031,.T.); #5615=ADVANCED_FACE('',(#4132),#7032,.F.); #5616=ADVANCED_FACE('',(#4133),#7033,.F.); #5617=ADVANCED_FACE('',(#14870,#14871),#7034,.F.); #5618=ADVANCED_FACE('',(#4134),#7035,.F.); #5619=ADVANCED_FACE('',(#4135),#7036,.F.); #5620=ADVANCED_FACE('',(#4136),#7037,.F.); #5621=ADVANCED_FACE('',(#4137),#7038,.F.); #5622=ADVANCED_FACE('',(#4138),#7039,.F.); #5623=ADVANCED_FACE('',(#4139),#7040,.F.); #5624=ADVANCED_FACE('',(#4140),#7041,.F.); #5625=ADVANCED_FACE('',(#4141),#7042,.F.); #5626=ADVANCED_FACE('',(#4142),#7043,.F.); #5627=ADVANCED_FACE('',(#4143),#7044,.F.); #5628=ADVANCED_FACE('',(#4144),#7045,.F.); #5629=ADVANCED_FACE('',(#4145),#7046,.F.); #5630=ADVANCED_FACE('',(#4146),#7047,.F.); #5631=ADVANCED_FACE('',(#4147),#7048,.F.); #5632=ADVANCED_FACE('',(#4148),#7049,.F.); #5633=ADVANCED_FACE('',(#4149),#7050,.F.); #5634=ADVANCED_FACE('',(#4150),#7051,.F.); #5635=ADVANCED_FACE('',(#4151),#7052,.F.); #5636=ADVANCED_FACE('',(#4152),#7053,.F.); #5637=ADVANCED_FACE('',(#4153),#7054,.F.); #5638=ADVANCED_FACE('',(#4154),#7055,.F.); #5639=ADVANCED_FACE('',(#4155),#7056,.F.); #5640=ADVANCED_FACE('',(#4156),#7057,.F.); #5641=ADVANCED_FACE('',(#4157),#7058,.F.); #5642=ADVANCED_FACE('',(#4158),#7059,.F.); #5643=ADVANCED_FACE('',(#4159),#7060,.F.); #5644=ADVANCED_FACE('',(#4160),#7061,.F.); #5645=ADVANCED_FACE('',(#4161),#7062,.F.); #5646=ADVANCED_FACE('',(#4162),#7063,.F.); #5647=ADVANCED_FACE('',(#4163),#7064,.F.); #5648=ADVANCED_FACE('',(#4164),#7065,.F.); #5649=ADVANCED_FACE('',(#4165),#7066,.F.); #5650=ADVANCED_FACE('',(#4166),#7067,.F.); #5651=ADVANCED_FACE('',(#4167),#7068,.F.); #5652=ADVANCED_FACE('',(#4168),#7069,.T.); #5653=ADVANCED_FACE('',(#4169),#7070,.F.); #5654=ADVANCED_FACE('',(#4170),#7071,.T.); #5655=ADVANCED_FACE('',(#4171),#7072,.F.); #5656=ADVANCED_FACE('',(#4172),#7073,.F.); #5657=ADVANCED_FACE('',(#4173),#7074,.T.); #5658=ADVANCED_FACE('',(#4174),#7075,.F.); #5659=ADVANCED_FACE('',(#4175),#7076,.T.); #5660=ADVANCED_FACE('',(#4176),#7077,.F.); #5661=ADVANCED_FACE('',(#4177),#7078,.F.); #5662=ADVANCED_FACE('',(#4178),#7079,.T.); #5663=ADVANCED_FACE('',(#4179),#7080,.F.); #5664=ADVANCED_FACE('',(#4180),#7081,.T.); #5665=ADVANCED_FACE('',(#4181),#7082,.F.); #5666=ADVANCED_FACE('',(#4182),#7083,.F.); #5667=ADVANCED_FACE('',(#4183),#7084,.T.); #5668=ADVANCED_FACE('',(#4184),#7085,.F.); #5669=ADVANCED_FACE('',(#4185),#7086,.T.); #5670=ADVANCED_FACE('',(#4186),#7087,.F.); #5671=ADVANCED_FACE('',(#4187),#7088,.F.); #5672=ADVANCED_FACE('',(#4188),#7089,.T.); #5673=ADVANCED_FACE('',(#4189),#7090,.F.); #5674=ADVANCED_FACE('',(#4190),#7091,.T.); #5675=ADVANCED_FACE('',(#4191),#7092,.F.); #5676=ADVANCED_FACE('',(#4192),#7093,.F.); #5677=ADVANCED_FACE('',(#4193),#7094,.T.); #5678=ADVANCED_FACE('',(#4194),#7095,.F.); #5679=ADVANCED_FACE('',(#4195),#7096,.T.); #5680=ADVANCED_FACE('',(#4196),#7097,.F.); #5681=ADVANCED_FACE('',(#4197),#7098,.F.); #5682=ADVANCED_FACE('',(#4198),#7099,.T.); #5683=ADVANCED_FACE('',(#4199),#7100,.F.); #5684=ADVANCED_FACE('',(#4200),#7101,.T.); #5685=ADVANCED_FACE('',(#4201),#7102,.F.); #5686=ADVANCED_FACE('',(#4202),#7103,.F.); #5687=ADVANCED_FACE('',(#4203),#7104,.T.); #5688=ADVANCED_FACE('',(#4204),#7105,.F.); #5689=ADVANCED_FACE('',(#4205),#7106,.T.); #5690=ADVANCED_FACE('',(#4206),#7107,.F.); #5691=ADVANCED_FACE('',(#4207),#7108,.T.); #5692=ADVANCED_FACE('',(#4208),#7109,.F.); #5693=ADVANCED_FACE('',(#4209),#7110,.T.); #5694=ADVANCED_FACE('',(#4210),#7111,.F.); #5695=ADVANCED_FACE('',(#4211),#7112,.F.); #5696=ADVANCED_FACE('',(#4212),#7113,.T.); #5697=ADVANCED_FACE('',(#4213),#7114,.F.); #5698=ADVANCED_FACE('',(#4214),#7115,.T.); #5699=ADVANCED_FACE('',(#4215),#7116,.F.); #5700=ADVANCED_FACE('',(#4216),#7117,.F.); #5701=ADVANCED_FACE('',(#4217),#7118,.T.); #5702=ADVANCED_FACE('',(#4218),#7119,.F.); #5703=ADVANCED_FACE('',(#4219),#7120,.T.); #5704=ADVANCED_FACE('',(#4220),#7121,.F.); #5705=ADVANCED_FACE('',(#4221),#7122,.F.); #5706=ADVANCED_FACE('',(#4222),#7123,.T.); #5707=ADVANCED_FACE('',(#4223),#7124,.F.); #5708=ADVANCED_FACE('',(#4224),#7125,.T.); #5709=ADVANCED_FACE('',(#4225),#7126,.F.); #5710=ADVANCED_FACE('',(#4226),#7127,.F.); #5711=ADVANCED_FACE('',(#4227),#7128,.T.); #5712=ADVANCED_FACE('',(#4228),#7129,.F.); #5713=ADVANCED_FACE('',(#4229),#7130,.T.); #5714=ADVANCED_FACE('',(#4230),#7131,.F.); #5715=ADVANCED_FACE('',(#4231),#7132,.F.); #5716=ADVANCED_FACE('',(#4232),#7133,.T.); #5717=ADVANCED_FACE('',(#4233),#7134,.F.); #5718=ADVANCED_FACE('',(#4234),#7135,.T.); #5719=ADVANCED_FACE('',(#4235),#7136,.F.); #5720=ADVANCED_FACE('',(#4236),#7137,.F.); #5721=ADVANCED_FACE('',(#4237),#7138,.T.); #5722=ADVANCED_FACE('',(#4238),#7139,.F.); #5723=ADVANCED_FACE('',(#4239),#7140,.T.); #5724=ADVANCED_FACE('',(#4240),#7141,.F.); #5725=ADVANCED_FACE('',(#4241),#7142,.F.); #5726=ADVANCED_FACE('',(#4242),#7143,.T.); #5727=ADVANCED_FACE('',(#4243),#7144,.F.); #5728=ADVANCED_FACE('',(#4244),#7145,.T.); #5729=ADVANCED_FACE('',(#4245),#7146,.F.); #5730=ADVANCED_FACE('',(#4246),#7147,.F.); #5731=ADVANCED_FACE('',(#4247),#3618,.T.); #5732=ADVANCED_FACE('',(#4248),#7148,.F.); #5733=ADVANCED_FACE('',(#4249),#7149,.F.); #5734=ADVANCED_FACE('',(#4250),#3619,.T.); #5735=ADVANCED_FACE('',(#4251),#7150,.F.); #5736=ADVANCED_FACE('',(#4252),#7151,.F.); #5737=ADVANCED_FACE('',(#4253),#3620,.T.); #5738=ADVANCED_FACE('',(#4254),#7152,.F.); #5739=ADVANCED_FACE('',(#4255),#7153,.F.); #5740=ADVANCED_FACE('',(#4256),#3621,.T.); #5741=ADVANCED_FACE('',(#4257),#7154,.F.); #5742=ADVANCED_FACE('',(#4258),#7155,.F.); #5743=ADVANCED_FACE('',(#4259),#3622,.T.); #5744=ADVANCED_FACE('',(#4260),#7156,.F.); #5745=ADVANCED_FACE('',(#4261),#7157,.F.); #5746=ADVANCED_FACE('',(#4262),#3623,.T.); #5747=ADVANCED_FACE('',(#4263),#7158,.F.); #5748=ADVANCED_FACE('',(#4264),#7159,.F.); #5749=ADVANCED_FACE('',(#4265),#3624,.T.); #5750=ADVANCED_FACE('',(#4266),#7160,.F.); #5751=ADVANCED_FACE('',(#4267),#7161,.F.); #5752=ADVANCED_FACE('',(#4268),#3625,.T.); #5753=ADVANCED_FACE('',(#4269),#7162,.F.); #5754=ADVANCED_FACE('',(#4270),#7163,.F.); #5755=ADVANCED_FACE('',(#4271),#3626,.T.); #5756=ADVANCED_FACE('',(#4272),#7164,.F.); #5757=ADVANCED_FACE('',(#4273),#7165,.F.); #5758=ADVANCED_FACE('',(#4274),#3627,.T.); #5759=ADVANCED_FACE('',(#4275),#7166,.F.); #5760=ADVANCED_FACE('',(#4276),#7167,.F.); #5761=ADVANCED_FACE('',(#4277),#3628,.T.); #5762=ADVANCED_FACE('',(#4278),#7168,.F.); #5763=ADVANCED_FACE('',(#4279),#7169,.F.); #5764=ADVANCED_FACE('',(#4280),#3629,.T.); #5765=ADVANCED_FACE('',(#4281),#7170,.F.); #5766=ADVANCED_FACE('',(#4282),#7171,.F.); #5767=ADVANCED_FACE('',(#4283),#3630,.T.); #5768=ADVANCED_FACE('',(#4284),#7172,.F.); #5769=ADVANCED_FACE('',(#4285),#7173,.F.); #5770=ADVANCED_FACE('',(#4286),#3631,.T.); #5771=ADVANCED_FACE('',(#4287),#7174,.F.); #5772=ADVANCED_FACE('',(#4288),#7175,.F.); #5773=ADVANCED_FACE('',(#4289),#3632,.T.); #5774=ADVANCED_FACE('',(#4290),#7176,.F.); #5775=ADVANCED_FACE('',(#4291),#7177,.F.); #5776=ADVANCED_FACE('',(#4292),#3633,.T.); #5777=ADVANCED_FACE('',(#4293),#7178,.F.); #5778=ADVANCED_FACE('',(#4294),#7179,.F.); #5779=ADVANCED_FACE('',(#4295),#7180,.F.); #5780=ADVANCED_FACE('',(#4296),#7181,.F.); #5781=ADVANCED_FACE('',(#4297),#7182,.F.); #5782=ADVANCED_FACE('',(#4298),#7183,.F.); #5783=ADVANCED_FACE('',(#4299),#7184,.F.); #5784=ADVANCED_FACE('',(#4300),#7185,.F.); #5785=ADVANCED_FACE('',(#4301),#7186,.F.); #5786=ADVANCED_FACE('',(#4302),#7187,.F.); #5787=ADVANCED_FACE('',(#4303),#7188,.F.); #5788=ADVANCED_FACE('',(#4304),#7189,.F.); #5789=ADVANCED_FACE('',(#4305),#7190,.F.); #5790=ADVANCED_FACE('',(#4306),#7191,.F.); #5791=ADVANCED_FACE('',(#4307),#7192,.F.); #5792=ADVANCED_FACE('',(#4308),#7193,.F.); #5793=ADVANCED_FACE('',(#4309),#7194,.F.); #5794=ADVANCED_FACE('',(#4310),#7195,.F.); #5795=ADVANCED_FACE('',(#4311),#7196,.F.); #5796=ADVANCED_FACE('',(#4312),#7197,.F.); #5797=ADVANCED_FACE('',(#4313),#7198,.F.); #5798=ADVANCED_FACE('',(#4314),#7199,.F.); #5799=ADVANCED_FACE('',(#4315),#7200,.F.); #5800=ADVANCED_FACE('',(#4316),#7201,.F.); #5801=ADVANCED_FACE('',(#4317),#7202,.F.); #5802=ADVANCED_FACE('',(#4318),#7203,.F.); #5803=ADVANCED_FACE('',(#4319),#7204,.F.); #5804=ADVANCED_FACE('',(#4320),#7205,.F.); #5805=ADVANCED_FACE('',(#4321),#7206,.F.); #5806=ADVANCED_FACE('',(#4322),#7207,.F.); #5807=ADVANCED_FACE('',(#4323),#7208,.F.); #5808=ADVANCED_FACE('',(#4324),#7209,.F.); #5809=ADVANCED_FACE('',(#4325),#7210,.F.); #5810=ADVANCED_FACE('',(#4326),#7211,.F.); #5811=ADVANCED_FACE('',(#4327),#7212,.F.); #5812=ADVANCED_FACE('',(#4328),#7213,.F.); #5813=ADVANCED_FACE('',(#4329),#7214,.F.); #5814=ADVANCED_FACE('',(#4330),#7215,.F.); #5815=ADVANCED_FACE('',(#4331),#7216,.F.); #5816=ADVANCED_FACE('',(#4332),#7217,.F.); #5817=ADVANCED_FACE('',(#4333),#7218,.F.); #5818=ADVANCED_FACE('',(#4334),#7219,.F.); #5819=ADVANCED_FACE('',(#4335),#7220,.T.); #5820=ADVANCED_FACE('',(#4336),#7221,.F.); #5821=ADVANCED_FACE('',(#4337),#7222,.F.); #5822=ADVANCED_FACE('',(#4338),#7223,.F.); #5823=ADVANCED_FACE('',(#4339),#7224,.F.); #5824=ADVANCED_FACE('',(#4340),#7225,.F.); #5825=ADVANCED_FACE('',(#4341),#7226,.F.); #5826=ADVANCED_FACE('',(#4342),#7227,.F.); #5827=ADVANCED_FACE('',(#4343),#3634,.T.); #5828=ADVANCED_FACE('',(#4344),#3635,.T.); #5829=ADVANCED_FACE('',(#4345),#7228,.F.); #5830=ADVANCED_FACE('',(#4346),#7229,.F.); #5831=ADVANCED_FACE('',(#4347),#7230,.F.); #5832=ADVANCED_FACE('',(#4348),#7231,.F.); #5833=ADVANCED_FACE('',(#4349),#3636,.T.); #5834=ADVANCED_FACE('',(#4350),#3637,.T.); #5835=ADVANCED_FACE('',(#4351),#7232,.F.); #5836=ADVANCED_FACE('',(#4352),#7233,.F.); #5837=ADVANCED_FACE('',(#4353),#7234,.F.); #5838=ADVANCED_FACE('',(#4354),#7235,.F.); #5839=ADVANCED_FACE('',(#4355),#3638,.T.); #5840=ADVANCED_FACE('',(#4356),#3639,.T.); #5841=ADVANCED_FACE('',(#4357),#7236,.F.); #5842=ADVANCED_FACE('',(#4358),#7237,.F.); #5843=ADVANCED_FACE('',(#4359),#7238,.F.); #5844=ADVANCED_FACE('',(#4360),#7239,.F.); #5845=ADVANCED_FACE('',(#4361),#3640,.T.); #5846=ADVANCED_FACE('',(#4362),#3641,.T.); #5847=ADVANCED_FACE('',(#4363),#7240,.F.); #5848=ADVANCED_FACE('',(#4364),#7241,.F.); #5849=ADVANCED_FACE('',(#4365),#7242,.F.); #5850=ADVANCED_FACE('',(#4366),#7243,.F.); #5851=ADVANCED_FACE('',(#4367),#3642,.T.); #5852=ADVANCED_FACE('',(#4368),#3643,.T.); #5853=ADVANCED_FACE('',(#4369),#7244,.F.); #5854=ADVANCED_FACE('',(#4370),#7245,.F.); #5855=ADVANCED_FACE('',(#4371),#7246,.F.); #5856=ADVANCED_FACE('',(#4372),#7247,.F.); #5857=ADVANCED_FACE('',(#4373),#3644,.T.); #5858=ADVANCED_FACE('',(#4374),#3645,.T.); #5859=ADVANCED_FACE('',(#4375),#7248,.F.); #5860=ADVANCED_FACE('',(#4376),#7249,.F.); #5861=ADVANCED_FACE('',(#4377),#7250,.F.); #5862=ADVANCED_FACE('',(#4378),#7251,.F.); #5863=ADVANCED_FACE('',(#4379),#3646,.T.); #5864=ADVANCED_FACE('',(#4380),#3647,.T.); #5865=ADVANCED_FACE('',(#4381),#7252,.F.); #5866=ADVANCED_FACE('',(#4382),#7253,.F.); #5867=ADVANCED_FACE('',(#4383),#7254,.F.); #5868=ADVANCED_FACE('',(#4384),#7255,.F.); #5869=ADVANCED_FACE('',(#4385),#3648,.T.); #5870=ADVANCED_FACE('',(#4386),#3649,.T.); #5871=ADVANCED_FACE('',(#4387),#7256,.F.); #5872=ADVANCED_FACE('',(#4388),#7257,.F.); #5873=ADVANCED_FACE('',(#4389),#7258,.F.); #5874=ADVANCED_FACE('',(#4390),#7259,.F.); #5875=ADVANCED_FACE('',(#4391),#3650,.T.); #5876=ADVANCED_FACE('',(#4392),#3651,.T.); #5877=ADVANCED_FACE('',(#4393),#7260,.F.); #5878=ADVANCED_FACE('',(#4394),#7261,.F.); #5879=ADVANCED_FACE('',(#4395),#7262,.F.); #5880=ADVANCED_FACE('',(#4396),#7263,.F.); #5881=ADVANCED_FACE('',(#4397),#3652,.T.); #5882=ADVANCED_FACE('',(#4398),#3653,.T.); #5883=ADVANCED_FACE('',(#4399),#7264,.F.); #5884=ADVANCED_FACE('',(#4400),#7265,.F.); #5885=ADVANCED_FACE('',(#4401),#7266,.F.); #5886=ADVANCED_FACE('',(#4402),#7267,.F.); #5887=ADVANCED_FACE('',(#4403),#3654,.T.); #5888=ADVANCED_FACE('',(#4404),#3655,.T.); #5889=ADVANCED_FACE('',(#4405),#7268,.F.); #5890=ADVANCED_FACE('',(#4406),#7269,.F.); #5891=ADVANCED_FACE('',(#4407),#7270,.F.); #5892=ADVANCED_FACE('',(#4408),#7271,.F.); #5893=ADVANCED_FACE('',(#4409),#3656,.T.); #5894=ADVANCED_FACE('',(#4410),#3657,.T.); #5895=ADVANCED_FACE('',(#4411),#7272,.F.); #5896=ADVANCED_FACE('',(#4412),#7273,.F.); #5897=ADVANCED_FACE('',(#4413),#7274,.F.); #5898=ADVANCED_FACE('',(#4414),#7275,.F.); #5899=ADVANCED_FACE('',(#4415),#3658,.T.); #5900=ADVANCED_FACE('',(#4416),#3659,.T.); #5901=ADVANCED_FACE('',(#4417),#7276,.F.); #5902=ADVANCED_FACE('',(#4418),#7277,.F.); #5903=ADVANCED_FACE('',(#4419),#7278,.F.); #5904=ADVANCED_FACE('',(#4420),#7279,.F.); #5905=ADVANCED_FACE('',(#4421),#3660,.T.); #5906=ADVANCED_FACE('',(#4422),#3661,.T.); #5907=ADVANCED_FACE('',(#4423),#7280,.F.); #5908=ADVANCED_FACE('',(#4424),#7281,.F.); #5909=ADVANCED_FACE('',(#4425),#7282,.F.); #5910=ADVANCED_FACE('',(#4426),#7283,.F.); #5911=ADVANCED_FACE('',(#4427),#3662,.T.); #5912=ADVANCED_FACE('',(#4428),#3663,.T.); #5913=ADVANCED_FACE('',(#4429),#7284,.F.); #5914=ADVANCED_FACE('',(#4430),#7285,.F.); #5915=ADVANCED_FACE('',(#4431),#7286,.F.); #5916=ADVANCED_FACE('',(#4432),#7287,.F.); #5917=ADVANCED_FACE('',(#4433),#7288,.F.); #5918=ADVANCED_FACE('',(#4434),#7289,.F.); #5919=ADVANCED_FACE('',(#4435),#7290,.F.); #5920=ADVANCED_FACE('',(#4436),#7291,.F.); #5921=ADVANCED_FACE('',(#4437),#7292,.F.); #5922=ADVANCED_FACE('',(#4438),#7293,.T.); #5923=ADVANCED_FACE('',(#4439),#7294,.T.); #5924=ADVANCED_FACE('',(#4440),#7295,.T.); #5925=ADVANCED_FACE('',(#4441),#7296,.T.); #5926=ADVANCED_FACE('',(#4442),#7297,.T.); #5927=ADVANCED_FACE('',(#4443),#7298,.T.); #5928=ADVANCED_FACE('',(#4444),#7299,.T.); #5929=ADVANCED_FACE('',(#4445),#7300,.T.); #5930=ADVANCED_FACE('',(#4446),#7301,.T.); #5931=ADVANCED_FACE('',(#4447),#7302,.F.); #5932=ADVANCED_FACE('',(#4448),#7303,.F.); #5933=ADVANCED_FACE('',(#4449),#7304,.T.); #5934=ADVANCED_FACE('',(#4450),#7305,.F.); #5935=ADVANCED_FACE('',(#4451),#7306,.F.); #5936=ADVANCED_FACE('',(#4452),#7307,.F.); #5937=ADVANCED_FACE('',(#4453),#7308,.T.); #5938=ADVANCED_FACE('',(#4454),#7309,.F.); #5939=ADVANCED_FACE('',(#4455),#7310,.F.); #5940=ADVANCED_FACE('',(#4456),#7311,.F.); #5941=ADVANCED_FACE('',(#4457),#7312,.T.); #5942=ADVANCED_FACE('',(#4458),#7313,.F.); #5943=ADVANCED_FACE('',(#4459),#7314,.F.); #5944=ADVANCED_FACE('',(#4460),#7315,.F.); #5945=ADVANCED_FACE('',(#4461),#7316,.T.); #5946=ADVANCED_FACE('',(#4462),#7317,.F.); #5947=ADVANCED_FACE('',(#4463),#7318,.F.); #5948=ADVANCED_FACE('',(#4464),#7319,.F.); #5949=ADVANCED_FACE('',(#4465),#7320,.T.); #5950=ADVANCED_FACE('',(#4466),#7321,.F.); #5951=ADVANCED_FACE('',(#4467),#7322,.F.); #5952=ADVANCED_FACE('',(#4468),#7323,.F.); #5953=ADVANCED_FACE('',(#4469),#7324,.T.); #5954=ADVANCED_FACE('',(#4470),#7325,.F.); #5955=ADVANCED_FACE('',(#4471),#7326,.F.); #5956=ADVANCED_FACE('',(#4472),#7327,.F.); #5957=ADVANCED_FACE('',(#4473),#7328,.T.); #5958=ADVANCED_FACE('',(#4474),#7329,.F.); #5959=ADVANCED_FACE('',(#4475),#7330,.F.); #5960=ADVANCED_FACE('',(#4476),#7331,.F.); #5961=ADVANCED_FACE('',(#4477),#7332,.T.); #5962=ADVANCED_FACE('',(#4478),#7333,.F.); #5963=ADVANCED_FACE('',(#4479),#7334,.F.); #5964=ADVANCED_FACE('',(#4480),#7335,.F.); #5965=ADVANCED_FACE('',(#4481),#7336,.T.); #5966=ADVANCED_FACE('',(#4482),#7337,.F.); #5967=ADVANCED_FACE('',(#4483),#7338,.F.); #5968=ADVANCED_FACE('',(#4484),#7339,.F.); #5969=ADVANCED_FACE('',(#4485),#7340,.T.); #5970=ADVANCED_FACE('',(#4486),#7341,.F.); #5971=ADVANCED_FACE('',(#4487),#7342,.F.); #5972=ADVANCED_FACE('',(#4488),#7343,.F.); #5973=ADVANCED_FACE('',(#4489),#7344,.T.); #5974=ADVANCED_FACE('',(#4490),#7345,.F.); #5975=ADVANCED_FACE('',(#4491),#7346,.F.); #5976=ADVANCED_FACE('',(#4492),#7347,.F.); #5977=ADVANCED_FACE('',(#4493),#7348,.T.); #5978=ADVANCED_FACE('',(#4494),#7349,.F.); #5979=ADVANCED_FACE('',(#4495),#7350,.F.); #5980=ADVANCED_FACE('',(#4496),#7351,.F.); #5981=ADVANCED_FACE('',(#4497),#7352,.T.); #5982=ADVANCED_FACE('',(#4498),#7353,.F.); #5983=ADVANCED_FACE('',(#4499),#7354,.F.); #5984=ADVANCED_FACE('',(#4500),#7355,.F.); #5985=ADVANCED_FACE('',(#4501),#7356,.T.); #5986=ADVANCED_FACE('',(#4502),#7357,.F.); #5987=ADVANCED_FACE('',(#4503),#7358,.F.); #5988=ADVANCED_FACE('',(#4504),#7359,.F.); #5989=ADVANCED_FACE('',(#4505),#7360,.T.); #5990=ADVANCED_FACE('',(#4506),#7361,.F.); #5991=ADVANCED_FACE('',(#4507),#7362,.F.); #5992=ADVANCED_FACE('',(#4508),#7363,.F.); #5993=ADVANCED_FACE('',(#4509),#7364,.T.); #5994=ADVANCED_FACE('',(#4510),#7365,.F.); #5995=ADVANCED_FACE('',(#4511),#7366,.F.); #5996=ADVANCED_FACE('',(#4512),#7367,.F.); #5997=ADVANCED_FACE('',(#4513),#7368,.F.); #5998=ADVANCED_FACE('',(#4514),#7369,.F.); #5999=ADVANCED_FACE('',(#4515),#7370,.T.); #6000=ADVANCED_FACE('',(#4516),#7371,.F.); #6001=ADVANCED_FACE('',(#4517),#7372,.F.); #6002=ADVANCED_FACE('',(#4518),#7373,.F.); #6003=ADVANCED_FACE('',(#4519),#7374,.T.); #6004=ADVANCED_FACE('',(#4520),#7375,.F.); #6005=ADVANCED_FACE('',(#4521),#7376,.F.); #6006=ADVANCED_FACE('',(#4522),#7377,.F.); #6007=ADVANCED_FACE('',(#4523),#7378,.T.); #6008=ADVANCED_FACE('',(#4524),#7379,.F.); #6009=ADVANCED_FACE('',(#4525),#7380,.F.); #6010=ADVANCED_FACE('',(#4526),#7381,.F.); #6011=ADVANCED_FACE('',(#4527),#7382,.T.); #6012=ADVANCED_FACE('',(#4528),#7383,.F.); #6013=ADVANCED_FACE('',(#4529),#7384,.F.); #6014=ADVANCED_FACE('',(#4530),#7385,.F.); #6015=ADVANCED_FACE('',(#4531),#7386,.T.); #6016=ADVANCED_FACE('',(#4532),#7387,.F.); #6017=ADVANCED_FACE('',(#4533),#7388,.F.); #6018=ADVANCED_FACE('',(#4534),#7389,.F.); #6019=ADVANCED_FACE('',(#4535),#7390,.T.); #6020=ADVANCED_FACE('',(#4536),#7391,.F.); #6021=ADVANCED_FACE('',(#4537),#7392,.F.); #6022=ADVANCED_FACE('',(#4538),#7393,.F.); #6023=ADVANCED_FACE('',(#4539),#7394,.T.); #6024=ADVANCED_FACE('',(#4540),#7395,.F.); #6025=ADVANCED_FACE('',(#4541),#7396,.F.); #6026=ADVANCED_FACE('',(#4542),#7397,.F.); #6027=ADVANCED_FACE('',(#4543),#7398,.T.); #6028=ADVANCED_FACE('',(#4544),#7399,.T.); #6029=ADVANCED_FACE('',(#4545),#7400,.T.); #6030=ADVANCED_FACE('',(#4546),#7401,.T.); #6031=ADVANCED_FACE('',(#4547),#7402,.F.); #6032=ADVANCED_FACE('',(#4548),#7403,.F.); #6033=ADVANCED_FACE('',(#4549),#7404,.F.); #6034=ADVANCED_FACE('',(#4550),#7405,.T.); #6035=ADVANCED_FACE('',(#4551),#7406,.F.); #6036=ADVANCED_FACE('',(#4552),#7407,.F.); #6037=ADVANCED_FACE('',(#4553),#7408,.F.); #6038=ADVANCED_FACE('',(#4554),#7409,.T.); #6039=ADVANCED_FACE('',(#4555),#7410,.F.); #6040=ADVANCED_FACE('',(#4556),#7411,.F.); #6041=ADVANCED_FACE('',(#4557),#7412,.F.); #6042=ADVANCED_FACE('',(#4558),#7413,.T.); #6043=ADVANCED_FACE('',(#4559),#7414,.F.); #6044=ADVANCED_FACE('',(#4560),#7415,.F.); #6045=ADVANCED_FACE('',(#4561),#7416,.F.); #6046=ADVANCED_FACE('',(#4562),#7417,.T.); #6047=ADVANCED_FACE('',(#4563),#7418,.F.); #6048=ADVANCED_FACE('',(#4564),#7419,.F.); #6049=ADVANCED_FACE('',(#4565),#7420,.F.); #6050=ADVANCED_FACE('',(#4566),#7421,.T.); #6051=ADVANCED_FACE('',(#4567),#7422,.F.); #6052=ADVANCED_FACE('',(#4568),#7423,.F.); #6053=ADVANCED_FACE('',(#4569),#7424,.F.); #6054=ADVANCED_FACE('',(#4570),#7425,.T.); #6055=ADVANCED_FACE('',(#4571),#7426,.F.); #6056=ADVANCED_FACE('',(#4572),#7427,.F.); #6057=ADVANCED_FACE('',(#4573),#7428,.F.); #6058=ADVANCED_FACE('',(#4574),#7429,.T.); #6059=ADVANCED_FACE('',(#4575),#7430,.F.); #6060=ADVANCED_FACE('',(#4576),#7431,.F.); #6061=ADVANCED_FACE('',(#4577),#7432,.F.); #6062=ADVANCED_FACE('',(#4578),#7433,.T.); #6063=ADVANCED_FACE('',(#4579),#7434,.F.); #6064=ADVANCED_FACE('',(#4580),#7435,.F.); #6065=ADVANCED_FACE('',(#4581),#7436,.T.); #6066=ADVANCED_FACE('',(#4582),#7437,.F.); #6067=ADVANCED_FACE('',(#4583),#7438,.F.); #6068=ADVANCED_FACE('',(#4584),#7439,.F.); #6069=ADVANCED_FACE('',(#4585),#7440,.T.); #6070=ADVANCED_FACE('',(#4586),#7441,.F.); #6071=ADVANCED_FACE('',(#4587),#7442,.F.); #6072=ADVANCED_FACE('',(#4588),#7443,.F.); #6073=ADVANCED_FACE('',(#4589),#7444,.T.); #6074=ADVANCED_FACE('',(#4590),#7445,.F.); #6075=ADVANCED_FACE('',(#4591),#7446,.F.); #6076=ADVANCED_FACE('',(#4592),#7447,.F.); #6077=ADVANCED_FACE('',(#4593),#7448,.T.); #6078=ADVANCED_FACE('',(#4594),#7449,.F.); #6079=ADVANCED_FACE('',(#4595),#7450,.F.); #6080=ADVANCED_FACE('',(#4596),#7451,.F.); #6081=ADVANCED_FACE('',(#4597),#7452,.T.); #6082=ADVANCED_FACE('',(#4598),#7453,.F.); #6083=ADVANCED_FACE('',(#4599),#7454,.F.); #6084=ADVANCED_FACE('',(#4600),#7455,.F.); #6085=ADVANCED_FACE('',(#4601),#7456,.T.); #6086=ADVANCED_FACE('',(#4602),#7457,.F.); #6087=ADVANCED_FACE('',(#4603),#7458,.F.); #6088=ADVANCED_FACE('',(#4604),#7459,.F.); #6089=ADVANCED_FACE('',(#4605),#7460,.T.); #6090=ADVANCED_FACE('',(#4606),#7461,.F.); #6091=ADVANCED_FACE('',(#4607),#7462,.F.); #6092=ADVANCED_FACE('',(#4608),#7463,.F.); #6093=ADVANCED_FACE('',(#4609),#7464,.T.); #6094=ADVANCED_FACE('',(#4610),#7465,.F.); #6095=ADVANCED_FACE('',(#4611),#7466,.F.); #6096=ADVANCED_FACE('',(#4612),#7467,.F.); #6097=ADVANCED_FACE('',(#4613),#7468,.F.); #6098=ADVANCED_FACE('',(#4614),#7469,.T.); #6099=ADVANCED_FACE('',(#4615),#7470,.F.); #6100=ADVANCED_FACE('',(#4616),#7471,.F.); #6101=ADVANCED_FACE('',(#4617),#7472,.F.); #6102=ADVANCED_FACE('',(#4618),#7473,.T.); #6103=ADVANCED_FACE('',(#4619),#7474,.F.); #6104=ADVANCED_FACE('',(#4620),#7475,.F.); #6105=ADVANCED_FACE('',(#4621),#7476,.F.); #6106=ADVANCED_FACE('',(#4622),#7477,.T.); #6107=ADVANCED_FACE('',(#4623),#7478,.F.); #6108=ADVANCED_FACE('',(#4624),#7479,.F.); #6109=ADVANCED_FACE('',(#4625),#7480,.F.); #6110=ADVANCED_FACE('',(#4626),#7481,.T.); #6111=ADVANCED_FACE('',(#4627),#7482,.F.); #6112=ADVANCED_FACE('',(#4628),#7483,.F.); #6113=ADVANCED_FACE('',(#4629),#7484,.F.); #6114=ADVANCED_FACE('',(#4630),#7485,.T.); #6115=ADVANCED_FACE('',(#4631),#7486,.F.); #6116=ADVANCED_FACE('',(#4632),#7487,.F.); #6117=ADVANCED_FACE('',(#4633),#7488,.F.); #6118=ADVANCED_FACE('',(#4634),#7489,.T.); #6119=ADVANCED_FACE('',(#4635),#7490,.F.); #6120=ADVANCED_FACE('',(#4636),#7491,.F.); #6121=ADVANCED_FACE('',(#4637),#7492,.F.); #6122=ADVANCED_FACE('',(#4638),#7493,.T.); #6123=ADVANCED_FACE('',(#4639),#7494,.F.); #6124=ADVANCED_FACE('',(#4640),#7495,.F.); #6125=ADVANCED_FACE('',(#4641),#7496,.F.); #6126=ADVANCED_FACE('',(#4642),#7497,.T.); #6127=ADVANCED_FACE('',(#4643),#7498,.F.); #6128=ADVANCED_FACE('',(#4644),#7499,.T.); #6129=ADVANCED_FACE('',(#4645),#7500,.F.); #6130=ADVANCED_FACE('',(#4646),#7501,.F.); #6131=ADVANCED_FACE('',(#4647),#7502,.F.); #6132=ADVANCED_FACE('',(#4648),#7503,.T.); #6133=ADVANCED_FACE('',(#4649),#7504,.T.); #6134=ADVANCED_FACE('',(#4650),#7505,.F.); #6135=ADVANCED_FACE('',(#4651),#7506,.F.); #6136=ADVANCED_FACE('',(#4652),#7507,.T.); #6137=ADVANCED_FACE('',(#4653),#7508,.T.); #6138=ADVANCED_FACE('',(#4654),#7509,.T.); #6139=ADVANCED_FACE('',(#4655),#7510,.T.); #6140=ADVANCED_FACE('',(#4656),#3664,.T.); #6141=ADVANCED_FACE('',(#4657),#3665,.T.); #6142=ADVANCED_FACE('',(#4658),#7511,.T.); #6143=ADVANCED_FACE('',(#4659),#7512,.F.); #6144=ADVANCED_FACE('',(#4660),#7513,.T.); #6145=ADVANCED_FACE('',(#4661),#3666,.T.); #6146=ADVANCED_FACE('',(#4662),#7514,.F.); #6147=ADVANCED_FACE('',(#4663),#7515,.F.); #6148=ADVANCED_FACE('',(#4664),#7516,.T.); #6149=ADVANCED_FACE('',(#4665),#3667,.T.); #6150=ADVANCED_FACE('',(#4666),#7517,.F.); #6151=ADVANCED_FACE('',(#4667),#7518,.F.); #6152=ADVANCED_FACE('',(#4668),#7519,.T.); #6153=ADVANCED_FACE('',(#4669),#3668,.T.); #6154=ADVANCED_FACE('',(#4670),#7520,.F.); #6155=ADVANCED_FACE('',(#4671),#7521,.F.); #6156=ADVANCED_FACE('',(#4672),#7522,.T.); #6157=ADVANCED_FACE('',(#4673),#3669,.T.); #6158=ADVANCED_FACE('',(#4674),#7523,.F.); #6159=ADVANCED_FACE('',(#4675),#7524,.F.); #6160=ADVANCED_FACE('',(#4676),#7525,.T.); #6161=ADVANCED_FACE('',(#4677),#3670,.T.); #6162=ADVANCED_FACE('',(#4678),#7526,.F.); #6163=ADVANCED_FACE('',(#4679),#7527,.F.); #6164=ADVANCED_FACE('',(#4680),#7528,.T.); #6165=ADVANCED_FACE('',(#4681),#3671,.T.); #6166=ADVANCED_FACE('',(#4682),#7529,.F.); #6167=ADVANCED_FACE('',(#4683),#7530,.F.); #6168=ADVANCED_FACE('',(#4684),#7531,.T.); #6169=ADVANCED_FACE('',(#4685),#3672,.T.); #6170=ADVANCED_FACE('',(#4686),#7532,.F.); #6171=ADVANCED_FACE('',(#4687),#7533,.F.); #6172=ADVANCED_FACE('',(#4688),#7534,.T.); #6173=ADVANCED_FACE('',(#4689),#3673,.T.); #6174=ADVANCED_FACE('',(#4690),#7535,.F.); #6175=ADVANCED_FACE('',(#4691),#7536,.F.); #6176=ADVANCED_FACE('',(#4692),#7537,.T.); #6177=ADVANCED_FACE('',(#4693),#3674,.T.); #6178=ADVANCED_FACE('',(#4694),#7538,.F.); #6179=ADVANCED_FACE('',(#4695),#7539,.F.); #6180=ADVANCED_FACE('',(#4696),#7540,.T.); #6181=ADVANCED_FACE('',(#4697),#3675,.T.); #6182=ADVANCED_FACE('',(#4698),#7541,.F.); #6183=ADVANCED_FACE('',(#4699),#7542,.F.); #6184=ADVANCED_FACE('',(#4700),#7543,.T.); #6185=ADVANCED_FACE('',(#4701),#3676,.T.); #6186=ADVANCED_FACE('',(#4702),#7544,.F.); #6187=ADVANCED_FACE('',(#4703),#7545,.F.); #6188=ADVANCED_FACE('',(#4704),#7546,.T.); #6189=ADVANCED_FACE('',(#4705),#3677,.T.); #6190=ADVANCED_FACE('',(#4706),#7547,.F.); #6191=ADVANCED_FACE('',(#4707),#7548,.F.); #6192=ADVANCED_FACE('',(#4708),#7549,.T.); #6193=ADVANCED_FACE('',(#4709),#3678,.T.); #6194=ADVANCED_FACE('',(#4710),#7550,.F.); #6195=ADVANCED_FACE('',(#4711),#7551,.F.); #6196=ADVANCED_FACE('',(#4712),#7552,.T.); #6197=ADVANCED_FACE('',(#4713),#3679,.T.); #6198=ADVANCED_FACE('',(#4714),#7553,.F.); #6199=ADVANCED_FACE('',(#4715),#7554,.F.); #6200=ADVANCED_FACE('',(#4716),#7555,.T.); #6201=ADVANCED_FACE('',(#4717),#3680,.T.); #6202=ADVANCED_FACE('',(#4718),#7556,.F.); #6203=ADVANCED_FACE('',(#4719),#7557,.F.); #6204=ADVANCED_FACE('',(#4720),#7558,.T.); #6205=ADVANCED_FACE('',(#4721),#3681,.T.); #6206=ADVANCED_FACE('',(#4722),#7559,.F.); #6207=ADVANCED_FACE('',(#4723),#7560,.F.); #6208=ADVANCED_FACE('',(#4724),#7561,.T.); #6209=ADVANCED_FACE('',(#4725),#3682,.T.); #6210=ADVANCED_FACE('',(#4726),#3683,.T.); #6211=ADVANCED_FACE('',(#4727),#7562,.F.); #6212=ADVANCED_FACE('',(#4728),#7563,.F.); #6213=ADVANCED_FACE('',(#4729),#7564,.T.); #6214=ADVANCED_FACE('',(#4730),#7565,.F.); #6215=ADVANCED_FACE('',(#4731),#7566,.T.); #6216=ADVANCED_FACE('',(#4732),#7567,.T.); #6217=ADVANCED_FACE('',(#4733),#7568,.F.); #6218=ADVANCED_FACE('',(#4734),#7569,.F.); #6219=ADVANCED_FACE('',(#4735),#7570,.T.); #6220=ADVANCED_FACE('',(#4736),#7571,.F.); #6221=ADVANCED_FACE('',(#4737),#7572,.F.); #6222=ADVANCED_FACE('',(#4738),#7573,.F.); #6223=ADVANCED_FACE('',(#4739),#7574,.T.); #6224=ADVANCED_FACE('',(#4740),#7575,.F.); #6225=ADVANCED_FACE('',(#4741),#7576,.F.); #6226=ADVANCED_FACE('',(#4742),#7577,.F.); #6227=ADVANCED_FACE('',(#4743),#7578,.T.); #6228=ADVANCED_FACE('',(#4744),#7579,.F.); #6229=ADVANCED_FACE('',(#4745),#7580,.F.); #6230=ADVANCED_FACE('',(#4746),#7581,.F.); #6231=ADVANCED_FACE('',(#4747),#7582,.T.); #6232=ADVANCED_FACE('',(#4748),#7583,.F.); #6233=ADVANCED_FACE('',(#4749),#7584,.F.); #6234=ADVANCED_FACE('',(#4750),#7585,.F.); #6235=ADVANCED_FACE('',(#4751),#7586,.T.); #6236=ADVANCED_FACE('',(#4752),#7587,.F.); #6237=ADVANCED_FACE('',(#4753),#7588,.F.); #6238=ADVANCED_FACE('',(#4754),#7589,.F.); #6239=ADVANCED_FACE('',(#4755),#7590,.T.); #6240=ADVANCED_FACE('',(#4756),#7591,.F.); #6241=ADVANCED_FACE('',(#4757),#7592,.F.); #6242=ADVANCED_FACE('',(#4758),#7593,.F.); #6243=ADVANCED_FACE('',(#4759),#7594,.T.); #6244=ADVANCED_FACE('',(#4760),#7595,.F.); #6245=ADVANCED_FACE('',(#4761),#7596,.F.); #6246=ADVANCED_FACE('',(#4762),#7597,.F.); #6247=ADVANCED_FACE('',(#4763),#7598,.T.); #6248=ADVANCED_FACE('',(#4764),#7599,.F.); #6249=ADVANCED_FACE('',(#4765),#7600,.F.); #6250=ADVANCED_FACE('',(#4766),#7601,.F.); #6251=ADVANCED_FACE('',(#4767),#7602,.T.); #6252=ADVANCED_FACE('',(#4768),#7603,.F.); #6253=ADVANCED_FACE('',(#4769),#7604,.F.); #6254=ADVANCED_FACE('',(#4770),#7605,.F.); #6255=ADVANCED_FACE('',(#4771),#7606,.T.); #6256=ADVANCED_FACE('',(#4772),#7607,.F.); #6257=ADVANCED_FACE('',(#4773),#7608,.F.); #6258=ADVANCED_FACE('',(#4774),#7609,.F.); #6259=ADVANCED_FACE('',(#4775),#7610,.T.); #6260=ADVANCED_FACE('',(#4776),#7611,.F.); #6261=ADVANCED_FACE('',(#4777),#7612,.F.); #6262=ADVANCED_FACE('',(#4778),#7613,.F.); #6263=ADVANCED_FACE('',(#4779),#7614,.T.); #6264=ADVANCED_FACE('',(#4780),#7615,.F.); #6265=ADVANCED_FACE('',(#4781),#7616,.F.); #6266=ADVANCED_FACE('',(#4782),#7617,.F.); #6267=ADVANCED_FACE('',(#4783),#7618,.T.); #6268=ADVANCED_FACE('',(#4784),#7619,.F.); #6269=ADVANCED_FACE('',(#4785),#7620,.F.); #6270=ADVANCED_FACE('',(#4786),#7621,.F.); #6271=ADVANCED_FACE('',(#4787),#7622,.T.); #6272=ADVANCED_FACE('',(#4788),#7623,.F.); #6273=ADVANCED_FACE('',(#4789),#7624,.F.); #6274=ADVANCED_FACE('',(#4790),#7625,.F.); #6275=ADVANCED_FACE('',(#4791),#7626,.T.); #6276=ADVANCED_FACE('',(#4792),#7627,.F.); #6277=ADVANCED_FACE('',(#4793),#7628,.F.); #6278=ADVANCED_FACE('',(#4794),#7629,.F.); #6279=ADVANCED_FACE('',(#4795),#7630,.T.); #6280=ADVANCED_FACE('',(#4796),#7631,.F.); #6281=ADVANCED_FACE('',(#4797),#7632,.F.); #6282=ADVANCED_FACE('',(#4798),#7633,.F.); #6283=ADVANCED_FACE('',(#4799),#7634,.F.); #6284=ADVANCED_FACE('',(#4800),#7635,.F.); #6285=ADVANCED_FACE('',(#4801),#7636,.T.); #6286=ADVANCED_FACE('',(#4802),#7637,.F.); #6287=ADVANCED_FACE('',(#4803),#7638,.F.); #6288=ADVANCED_FACE('',(#4804),#7639,.F.); #6289=ADVANCED_FACE('',(#4805),#7640,.F.); #6290=ADVANCED_FACE('',(#4806),#7641,.F.); #6291=ADVANCED_FACE('',(#4807),#7642,.F.); #6292=ADVANCED_FACE('',(#4808),#7643,.F.); #6293=ADVANCED_FACE('',(#4809),#7644,.F.); #6294=ADVANCED_FACE('',(#4810),#7645,.F.); #6295=ADVANCED_FACE('',(#4811),#7646,.F.); #6296=ADVANCED_FACE('',(#4812),#7647,.F.); #6297=ADVANCED_FACE('',(#4813),#7648,.F.); #6298=ADVANCED_FACE('',(#4814),#7649,.F.); #6299=ADVANCED_FACE('',(#4815),#7650,.F.); #6300=ADVANCED_FACE('',(#4816),#7651,.F.); #6301=ADVANCED_FACE('',(#4817),#7652,.F.); #6302=ADVANCED_FACE('',(#4818),#7653,.F.); #6303=ADVANCED_FACE('',(#4819),#7654,.F.); #6304=ADVANCED_FACE('',(#4820),#7655,.F.); #6305=ADVANCED_FACE('',(#4821),#7656,.F.); #6306=ADVANCED_FACE('',(#4822),#7657,.F.); #6307=ADVANCED_FACE('',(#4823),#7658,.F.); #6308=ADVANCED_FACE('',(#4824),#7659,.F.); #6309=ADVANCED_FACE('',(#4825),#7660,.F.); #6310=ADVANCED_FACE('',(#4826),#7661,.F.); #6311=ADVANCED_FACE('',(#4827),#7662,.F.); #6312=ADVANCED_FACE('',(#4828),#7663,.F.); #6313=ADVANCED_FACE('',(#4829),#7664,.F.); #6314=ADVANCED_FACE('',(#4830),#7665,.F.); #6315=ADVANCED_FACE('',(#4831),#7666,.F.); #6316=ADVANCED_FACE('',(#4832),#7667,.F.); #6317=ADVANCED_FACE('',(#4833),#7668,.F.); #6318=ADVANCED_FACE('',(#4834),#7669,.F.); #6319=ADVANCED_FACE('',(#4835),#7670,.F.); #6320=ADVANCED_FACE('',(#4836),#7671,.F.); #6321=ADVANCED_FACE('',(#4837),#7672,.F.); #6322=ADVANCED_FACE('',(#4838),#7673,.F.); #6323=ADVANCED_FACE('',(#4839),#7674,.F.); #6324=ADVANCED_FACE('',(#4840),#7675,.F.); #6325=ADVANCED_FACE('',(#4841),#7676,.F.); #6326=ADVANCED_FACE('',(#4842),#7677,.F.); #6327=ADVANCED_FACE('',(#4843),#7678,.F.); #6328=ADVANCED_FACE('',(#4844),#7679,.F.); #6329=ADVANCED_FACE('',(#4845),#7680,.F.); #6330=ADVANCED_FACE('',(#4846),#7681,.F.); #6331=ADVANCED_FACE('',(#4847),#7682,.F.); #6332=ADVANCED_FACE('',(#4848),#7683,.F.); #6333=ADVANCED_FACE('',(#4849),#7684,.F.); #6334=ADVANCED_FACE('',(#4850),#7685,.F.); #6335=ADVANCED_FACE('',(#4851),#7686,.F.); #6336=ADVANCED_FACE('',(#4852),#7687,.F.); #6337=ADVANCED_FACE('',(#4853),#7688,.F.); #6338=ADVANCED_FACE('',(#4854),#7689,.F.); #6339=ADVANCED_FACE('',(#4855),#7690,.F.); #6340=ADVANCED_FACE('',(#4856),#7691,.F.); #6341=ADVANCED_FACE('',(#4857),#7692,.F.); #6342=ADVANCED_FACE('',(#4858),#7693,.F.); #6343=ADVANCED_FACE('',(#4859),#7694,.F.); #6344=ADVANCED_FACE('',(#4860),#7695,.F.); #6345=ADVANCED_FACE('',(#4861),#7696,.F.); #6346=ADVANCED_FACE('',(#4862),#7697,.F.); #6347=ADVANCED_FACE('',(#4863),#7698,.F.); #6348=ADVANCED_FACE('',(#4864),#7699,.F.); #6349=ADVANCED_FACE('',(#4865),#7700,.F.); #6350=ADVANCED_FACE('',(#4866),#7701,.F.); #6351=ADVANCED_FACE('',(#4867),#7702,.F.); #6352=ADVANCED_FACE('',(#4868),#7703,.F.); #6353=ADVANCED_FACE('',(#4869),#7704,.F.); #6354=ADVANCED_FACE('',(#4870),#7705,.F.); #6355=ADVANCED_FACE('',(#4871),#7706,.F.); #6356=ADVANCED_FACE('',(#4872),#7707,.F.); #6357=ADVANCED_FACE('',(#4873),#7708,.F.); #6358=ADVANCED_FACE('',(#4874),#7709,.F.); #6359=ADVANCED_FACE('',(#4875),#7710,.F.); #6360=ADVANCED_FACE('',(#4876),#7711,.F.); #6361=ADVANCED_FACE('',(#4877),#7712,.F.); #6362=ADVANCED_FACE('',(#4878),#7713,.F.); #6363=ADVANCED_FACE('',(#4879),#7714,.F.); #6364=ADVANCED_FACE('',(#4880),#7715,.F.); #6365=ADVANCED_FACE('',(#4881),#7716,.F.); #6366=ADVANCED_FACE('',(#4882),#7717,.F.); #6367=ADVANCED_FACE('',(#4883),#7718,.F.); #6368=ADVANCED_FACE('',(#4884),#7719,.F.); #6369=ADVANCED_FACE('',(#4885),#7720,.F.); #6370=ADVANCED_FACE('',(#4886),#7721,.F.); #6371=ADVANCED_FACE('',(#4887),#7722,.F.); #6372=ADVANCED_FACE('',(#4888),#7723,.F.); #6373=ADVANCED_FACE('',(#4889),#7724,.F.); #6374=ADVANCED_FACE('',(#4890),#7725,.F.); #6375=ADVANCED_FACE('',(#4891),#7726,.F.); #6376=ADVANCED_FACE('',(#4892),#7727,.F.); #6377=ADVANCED_FACE('',(#4893),#7728,.F.); #6378=ADVANCED_FACE('',(#4894),#7729,.F.); #6379=ADVANCED_FACE('',(#4895),#7730,.F.); #6380=ADVANCED_FACE('',(#4896),#7731,.F.); #6381=ADVANCED_FACE('',(#4897),#7732,.F.); #6382=ADVANCED_FACE('',(#4898),#7733,.F.); #6383=ADVANCED_FACE('',(#4899),#3684,.F.); #6384=ADVANCED_FACE('',(#4900),#3685,.F.); #6385=ADVANCED_FACE('',(#4901),#7734,.T.); #6386=ADVANCED_FACE('',(#4902),#3686,.F.); #6387=ADVANCED_FACE('',(#4903),#7735,.T.); #6388=ADVANCED_FACE('',(#4904),#7736,.T.); #6389=ADVANCED_FACE('',(#4905),#7737,.T.); #6390=ADVANCED_FACE('',(#4906),#7738,.T.); #6391=ADVANCED_FACE('',(#4907),#7739,.T.); #6392=ADVANCED_FACE('',(#4908),#7740,.T.); #6393=ADVANCED_FACE('',(#4909),#7741,.T.); #6394=ADVANCED_FACE('',(#4910),#7742,.T.); #6395=ADVANCED_FACE('',(#14872,#14873),#7743,.T.); #6396=ADVANCED_FACE('',(#4911),#7744,.T.); #6397=ADVANCED_FACE('',(#14874,#14875),#7745,.T.); #6398=ADVANCED_FACE('',(#4912),#7746,.T.); #6399=ADVANCED_FACE('',(#4913),#7747,.T.); #6400=ADVANCED_FACE('',(#4914),#7748,.T.); #6401=ADVANCED_FACE('',(#4915),#7749,.T.); #6402=ADVANCED_FACE('',(#4916),#7750,.T.); #6403=ADVANCED_FACE('',(#4917),#7751,.T.); #6404=ADVANCED_FACE('',(#4918),#7752,.T.); #6405=ADVANCED_FACE('',(#4919),#7753,.T.); #6406=ADVANCED_FACE('',(#4920),#7754,.F.); #6407=ADVANCED_FACE('',(#4921),#7755,.F.); #6408=ADVANCED_FACE('',(#4922),#3687,.F.); #6409=ADVANCED_FACE('',(#4923),#7756,.F.); #6410=ADVANCED_FACE('',(#14876,#14877,#14878),#7757,.T.); #6411=ADVANCED_FACE('',(#4924),#3688,.F.); #6412=ADVANCED_FACE('',(#4925),#7758,.F.); #6413=ADVANCED_FACE('',(#4926),#3689,.F.); #6414=ADVANCED_FACE('',(#14879,#14880),#7759,.T.); #6415=ADVANCED_FACE('',(#14881,#14882,#14883,#14884,#14885,#14886,#14887, #14888,#14889,#14890,#14891,#14892,#14893,#14894,#14895,#14896,#14897,#14898, #14899,#14900,#14901,#14902,#14903,#14904,#14905,#14906,#14907,#14908,#14909, #14910,#14911),#7760,.T.); #6416=ADVANCED_FACE('',(#4927),#7761,.F.); #6417=ADVANCED_FACE('',(#4928),#7762,.T.); #6418=ADVANCED_FACE('',(#4929),#7763,.T.); #6419=ADVANCED_FACE('',(#4930),#7764,.T.); #6420=ADVANCED_FACE('',(#4931),#7765,.T.); #6421=ADVANCED_FACE('',(#4932),#3690,.F.); #6422=ADVANCED_FACE('',(#4933),#7766,.T.); #6423=ADVANCED_FACE('',(#4934),#7767,.T.); #6424=ADVANCED_FACE('',(#4935),#7768,.T.); #6425=ADVANCED_FACE('',(#4936),#3691,.F.); #6426=ADVANCED_FACE('',(#4937),#7769,.F.); #6427=ADVANCED_FACE('',(#4938),#7770,.F.); #6428=ADVANCED_FACE('',(#14912,#14913),#7771,.F.); #6429=ADVANCED_FACE('',(#4939),#7772,.T.); #6430=ADVANCED_FACE('',(#4940),#3558,.F.); #6431=ADVANCED_FACE('',(#4941),#7773,.T.); #6432=ADVANCED_FACE('',(#4942),#7774,.T.); #6433=ADVANCED_FACE('',(#4943),#3559,.F.); #6434=ADVANCED_FACE('',(#4944),#7775,.T.); #6435=ADVANCED_FACE('',(#4945),#7776,.T.); #6436=ADVANCED_FACE('',(#4946),#3560,.T.); #6437=ADVANCED_FACE('',(#4947),#7777,.T.); #6438=ADVANCED_FACE('',(#4948),#7778,.T.); #6439=ADVANCED_FACE('',(#4949),#3561,.T.); #6440=ADVANCED_FACE('',(#4950),#7779,.T.); #6441=ADVANCED_FACE('',(#4951),#3692,.F.); #6442=ADVANCED_FACE('',(#4952),#24,.F.); #6443=ADVANCED_FACE('',(#4953),#3693,.F.); #6444=ADVANCED_FACE('',(#4954),#3694,.F.); #6445=ADVANCED_FACE('',(#4955),#25,.F.); #6446=ADVANCED_FACE('',(#4956),#3695,.F.); #6447=ADVANCED_FACE('',(#4957),#3696,.F.); #6448=ADVANCED_FACE('',(#4958),#26,.F.); #6449=ADVANCED_FACE('',(#4959),#3697,.F.); #6450=ADVANCED_FACE('',(#4960),#3698,.F.); #6451=ADVANCED_FACE('',(#4961),#27,.F.); #6452=ADVANCED_FACE('',(#4962),#3699,.F.); #6453=ADVANCED_FACE('',(#4963),#3700,.T.); #6454=ADVANCED_FACE('',(#4964),#28,.T.); #6455=ADVANCED_FACE('',(#4965),#3701,.T.); #6456=ADVANCED_FACE('',(#4966),#3702,.T.); #6457=ADVANCED_FACE('',(#4967),#29,.T.); #6458=ADVANCED_FACE('',(#4968),#3703,.T.); #6459=ADVANCED_FACE('',(#4969),#3704,.T.); #6460=ADVANCED_FACE('',(#4970),#30,.T.); #6461=ADVANCED_FACE('',(#4971),#3705,.T.); #6462=ADVANCED_FACE('',(#4972),#3706,.T.); #6463=ADVANCED_FACE('',(#4973),#31,.T.); #6464=ADVANCED_FACE('',(#4974),#3707,.T.); #6465=ADVANCED_FACE('',(#4975),#3708,.T.); #6466=ADVANCED_FACE('',(#4976),#3709,.T.); #6467=ADVANCED_FACE('',(#4977),#3710,.T.); #6468=ADVANCED_FACE('',(#4978),#3711,.T.); #6469=ADVANCED_FACE('',(#4979),#7780,.F.); #6470=ADVANCED_FACE('',(#4980),#7781,.F.); #6471=ADVANCED_FACE('',(#4981),#7782,.F.); #6472=ADVANCED_FACE('',(#4982),#7783,.F.); #6473=ADVANCED_FACE('',(#4983),#7784,.T.); #6474=ADVANCED_FACE('',(#4984),#7785,.F.); #6475=ADVANCED_FACE('',(#4985),#7786,.F.); #6476=ADVANCED_FACE('',(#4986),#7787,.F.); #6477=ADVANCED_FACE('',(#4987),#3712,.F.); #6478=ADVANCED_FACE('',(#4988),#3713,.T.); #6479=ADVANCED_FACE('',(#14914,#14915),#3714,.F.); #6480=ADVANCED_FACE('',(#4989),#7788,.T.); #6481=ADVANCED_FACE('',(#4990),#7789,.T.); #6482=ADVANCED_FACE('',(#4991),#7790,.T.); #6483=ADVANCED_FACE('',(#4992),#7791,.T.); #6484=ADVANCED_FACE('',(#4993),#7792,.T.); #6485=ADVANCED_FACE('',(#4994),#7793,.T.); #6486=ADVANCED_FACE('',(#4995),#7794,.T.); #6487=ADVANCED_FACE('',(#4996),#7795,.T.); #6488=ADVANCED_FACE('',(#4997),#7796,.T.); #6489=ADVANCED_FACE('',(#4998),#7797,.T.); #6490=ADVANCED_FACE('',(#4999),#7798,.T.); #6491=ADVANCED_FACE('',(#5000),#7799,.T.); #6492=ADVANCED_FACE('',(#5001),#7800,.T.); #6493=ADVANCED_FACE('',(#5002),#7801,.T.); #6494=ADVANCED_FACE('',(#5003),#7802,.T.); #6495=ADVANCED_FACE('',(#5004),#7803,.T.); #6496=ADVANCED_FACE('',(#5005),#7804,.T.); #6497=ADVANCED_FACE('',(#5006),#7805,.T.); #6498=ADVANCED_FACE('',(#5007),#7806,.T.); #6499=ADVANCED_FACE('',(#5008),#7807,.T.); #6500=ADVANCED_FACE('',(#5009),#7808,.T.); #6501=ADVANCED_FACE('',(#5010),#7809,.T.); #6502=ADVANCED_FACE('',(#5011),#7810,.T.); #6503=ADVANCED_FACE('',(#5012),#7811,.T.); #6504=ADVANCED_FACE('',(#5013),#7812,.T.); #6505=ADVANCED_FACE('',(#5014),#7813,.T.); #6506=ADVANCED_FACE('',(#5015),#7814,.T.); #6507=ADVANCED_FACE('',(#5016),#7815,.T.); #6508=ADVANCED_FACE('',(#5017),#7816,.T.); #6509=ADVANCED_FACE('',(#5018),#7817,.T.); #6510=ADVANCED_FACE('',(#5019),#7818,.T.); #6511=ADVANCED_FACE('',(#5020),#7819,.T.); #6512=ADVANCED_FACE('',(#5021),#7820,.T.); #6513=ADVANCED_FACE('',(#5022),#7821,.T.); #6514=ADVANCED_FACE('',(#5023),#7822,.T.); #6515=ADVANCED_FACE('',(#5024),#7823,.T.); #6516=ADVANCED_FACE('',(#5025),#7824,.T.); #6517=ADVANCED_FACE('',(#5026),#7825,.T.); #6518=ADVANCED_FACE('',(#5027),#7826,.T.); #6519=ADVANCED_FACE('',(#5028),#7827,.T.); #6520=ADVANCED_FACE('',(#5029),#7828,.T.); #6521=ADVANCED_FACE('',(#5030),#7829,.T.); #6522=ADVANCED_FACE('',(#5031),#7830,.T.); #6523=ADVANCED_FACE('',(#5032),#7831,.T.); #6524=ADVANCED_FACE('',(#5033),#7832,.T.); #6525=ADVANCED_FACE('',(#5034),#7833,.F.); #6526=ADVANCED_FACE('',(#5035),#7834,.F.); #6527=ADVANCED_FACE('',(#5036),#7835,.F.); #6528=ADVANCED_FACE('',(#5037),#7836,.F.); #6529=ADVANCED_FACE('',(#5038),#7837,.F.); #6530=ADVANCED_FACE('',(#5039),#7838,.T.); #6531=ADVANCED_FACE('',(#5040),#7839,.T.); #6532=ADVANCED_FACE('',(#5041),#7840,.T.); #6533=ADVANCED_FACE('',(#5042),#7841,.T.); #6534=ADVANCED_FACE('',(#5043),#7842,.T.); #6535=ADVANCED_FACE('',(#5044),#7843,.T.); #6536=ADVANCED_FACE('',(#5045),#7844,.T.); #6537=ADVANCED_FACE('',(#5046),#7845,.T.); #6538=ADVANCED_FACE('',(#5047),#7846,.T.); #6539=ADVANCED_FACE('',(#5048),#7847,.T.); #6540=ADVANCED_FACE('',(#5049),#7848,.T.); #6541=ADVANCED_FACE('',(#5050),#7849,.T.); #6542=ADVANCED_FACE('',(#5051),#7850,.T.); #6543=ADVANCED_FACE('',(#5052),#7851,.T.); #6544=ADVANCED_FACE('',(#5053),#7852,.T.); #6545=ADVANCED_FACE('',(#5054),#7853,.T.); #6546=ADVANCED_FACE('',(#5055),#7854,.T.); #6547=ADVANCED_FACE('',(#5056),#7855,.T.); #6548=ADVANCED_FACE('',(#5057),#7856,.T.); #6549=ADVANCED_FACE('',(#5058),#7857,.T.); #6550=ADVANCED_FACE('',(#5059),#7858,.T.); #6551=ADVANCED_FACE('',(#5060),#7859,.T.); #6552=ADVANCED_FACE('',(#5061),#7860,.T.); #6553=ADVANCED_FACE('',(#5062),#7861,.T.); #6554=ADVANCED_FACE('',(#5063),#7862,.T.); #6555=ADVANCED_FACE('',(#5064),#7863,.T.); #6556=ADVANCED_FACE('',(#5065),#7864,.T.); #6557=ADVANCED_FACE('',(#5066),#7865,.T.); #6558=ADVANCED_FACE('',(#5067),#7866,.T.); #6559=ADVANCED_FACE('',(#5068),#7867,.T.); #6560=ADVANCED_FACE('',(#5069),#7868,.T.); #6561=ADVANCED_FACE('',(#5070),#7869,.T.); #6562=ADVANCED_FACE('',(#5071),#7870,.T.); #6563=ADVANCED_FACE('',(#5072),#7871,.T.); #6564=ADVANCED_FACE('',(#5073),#7872,.T.); #6565=ADVANCED_FACE('',(#5074),#7873,.T.); #6566=ADVANCED_FACE('',(#5075),#7874,.T.); #6567=ADVANCED_FACE('',(#5076),#7875,.T.); #6568=ADVANCED_FACE('',(#5077),#7876,.T.); #6569=ADVANCED_FACE('',(#5078),#7877,.T.); #6570=ADVANCED_FACE('',(#5079),#7878,.T.); #6571=ADVANCED_FACE('',(#5080),#7879,.T.); #6572=ADVANCED_FACE('',(#5081),#7880,.T.); #6573=ADVANCED_FACE('',(#5082),#7881,.T.); #6574=ADVANCED_FACE('',(#5083),#7882,.F.); #6575=ADVANCED_FACE('',(#5084),#7883,.F.); #6576=ADVANCED_FACE('',(#5085),#7884,.F.); #6577=ADVANCED_FACE('',(#5086),#7885,.F.); #6578=ADVANCED_FACE('',(#5087),#3529,.F.); #6579=ADVANCED_FACE('',(#5088),#7886,.F.); #6580=ADVANCED_FACE('',(#5089),#7887,.F.); #6581=ADVANCED_FACE('',(#5090),#7888,.F.); #6582=ADVANCED_FACE('',(#5091),#3530,.F.); #6583=ADVANCED_FACE('',(#5092),#7889,.F.); #6584=ADVANCED_FACE('',(#5093),#7890,.F.); #6585=ADVANCED_FACE('',(#5094),#3531,.F.); #6586=ADVANCED_FACE('',(#5095),#7891,.F.); #6587=ADVANCED_FACE('',(#5096),#7892,.F.); #6588=ADVANCED_FACE('',(#5097),#7893,.F.); #6589=ADVANCED_FACE('',(#5098),#7894,.F.); #6590=ADVANCED_FACE('',(#5099),#7895,.F.); #6591=ADVANCED_FACE('',(#5100),#3532,.F.); #6592=ADVANCED_FACE('',(#5101),#3533,.F.); #6593=ADVANCED_FACE('',(#5102),#7896,.F.); #6594=ADVANCED_FACE('',(#14916,#14917),#7897,.F.); #6595=ADVANCED_FACE('',(#5103),#7898,.T.); #6596=ADVANCED_FACE('',(#5104),#7899,.F.); #6597=ADVANCED_FACE('',(#5105),#7900,.F.); #6598=ADVANCED_FACE('',(#5106),#7901,.F.); #6599=ADVANCED_FACE('',(#5107),#3534,.F.); #6600=ADVANCED_FACE('',(#5108),#3535,.F.); #6601=ADVANCED_FACE('',(#5109),#7902,.F.); #6602=ADVANCED_FACE('',(#5110),#7903,.F.); #6603=ADVANCED_FACE('',(#5111),#3536,.F.); #6604=ADVANCED_FACE('',(#5112),#7904,.T.); #6605=ADVANCED_FACE('',(#5113),#7905,.F.); #6606=ADVANCED_FACE('',(#5114),#7906,.F.); #6607=ADVANCED_FACE('',(#5115),#3537,.F.); #6608=ADVANCED_FACE('',(#5116),#3538,.F.); #6609=ADVANCED_FACE('',(#5117),#7907,.F.); #6610=ADVANCED_FACE('',(#5118),#3539,.F.); #6611=ADVANCED_FACE('',(#5119),#7908,.F.); #6612=ADVANCED_FACE('',(#5120),#3540,.F.); #6613=ADVANCED_FACE('',(#5121),#7909,.F.); #6614=ADVANCED_FACE('',(#5122),#7910,.T.); #6615=ADVANCED_FACE('',(#5123),#7911,.F.); #6616=ADVANCED_FACE('',(#5124),#7912,.F.); #6617=ADVANCED_FACE('',(#5125),#7913,.F.); #6618=ADVANCED_FACE('',(#5126),#7914,.F.); #6619=ADVANCED_FACE('',(#5127),#7915,.F.); #6620=ADVANCED_FACE('',(#5128),#7916,.F.); #6621=ADVANCED_FACE('',(#5129),#7917,.F.); #6622=ADVANCED_FACE('',(#5130),#3541,.F.); #6623=ADVANCED_FACE('',(#5131),#7918,.F.); #6624=ADVANCED_FACE('',(#5132),#3542,.F.); #6625=ADVANCED_FACE('',(#5133),#7919,.F.); #6626=ADVANCED_FACE('',(#5134),#7920,.F.); #6627=ADVANCED_FACE('',(#5135),#7921,.F.); #6628=ADVANCED_FACE('',(#5136),#7922,.F.); #6629=ADVANCED_FACE('',(#5137),#7923,.F.); #6630=ADVANCED_FACE('',(#5138),#7924,.F.); #6631=ADVANCED_FACE('',(#5139),#7925,.F.); #6632=ADVANCED_FACE('',(#5140),#7926,.F.); #6633=ADVANCED_FACE('',(#5141),#7927,.F.); #6634=ADVANCED_FACE('',(#5142),#7928,.F.); #6635=ADVANCED_FACE('',(#5143),#7929,.F.); #6636=ADVANCED_FACE('',(#5144),#7930,.F.); #6637=ADVANCED_FACE('',(#5145),#7931,.F.); #6638=ADVANCED_FACE('',(#5146),#7932,.F.); #6639=ADVANCED_FACE('',(#5147),#7933,.F.); #6640=ADVANCED_FACE('',(#5148),#3543,.F.); #6641=ADVANCED_FACE('',(#5149),#3544,.F.); #6642=ADVANCED_FACE('',(#5150),#3545,.F.); #6643=ADVANCED_FACE('',(#5151),#7934,.F.); #6644=ADVANCED_FACE('',(#5152),#7935,.F.); #6645=ADVANCED_FACE('',(#5153),#7936,.F.); #6646=ADVANCED_FACE('',(#5154),#7937,.F.); #6647=ADVANCED_FACE('',(#5155),#7938,.F.); #6648=ADVANCED_FACE('',(#5156),#7939,.F.); #6649=ADVANCED_FACE('',(#5157),#7940,.F.); #6650=ADVANCED_FACE('',(#5158),#3546,.F.); #6651=ADVANCED_FACE('',(#5159),#7941,.F.); #6652=ADVANCED_FACE('',(#5160),#3547,.F.); #6653=ADVANCED_FACE('',(#5161),#3548,.F.); #6654=ADVANCED_FACE('',(#5162),#3549,.F.); #6655=ADVANCED_FACE('',(#5163),#3550,.F.); #6656=ADVANCED_FACE('',(#5164),#3551,.F.); #6657=ADVANCED_FACE('',(#14918,#14919),#7942,.F.); #6658=ADVANCED_FACE('',(#5165),#7943,.T.); #6659=ADVANCED_FACE('',(#5166),#7944,.T.); #6660=ADVANCED_FACE('',(#5167),#7945,.T.); #6661=ADVANCED_FACE('',(#5168),#7946,.T.); #6662=ADVANCED_FACE('',(#5169),#7947,.T.); #6663=ADVANCED_FACE('',(#5170),#7948,.F.); #6664=ADVANCED_FACE('',(#5171),#7949,.F.); #6665=ADVANCED_FACE('',(#5172),#3552,.F.); #6666=ADVANCED_FACE('',(#5173),#3553,.F.); #6667=ADVANCED_FACE('',(#5174),#7950,.F.); #6668=ADVANCED_FACE('',(#5175),#7951,.F.); #6669=ADVANCED_FACE('',(#5176),#7952,.F.); #6670=ADVANCED_FACE('',(#5177),#7953,.F.); #6671=ADVANCED_FACE('',(#5178),#7954,.F.); #6672=ADVANCED_FACE('',(#5179),#3554,.F.); #6673=ADVANCED_FACE('',(#5180),#3555,.F.); #6674=ADVANCED_FACE('',(#5181),#7955,.F.); #6675=ADVANCED_FACE('',(#5182),#7956,.F.); #6676=ADVANCED_FACE('',(#5183),#3556,.F.); #6677=ADVANCED_FACE('',(#14920,#14921),#7957,.F.); #6678=ADVANCED_FACE('',(#5184),#7958,.T.); #6679=ADVANCED_FACE('',(#5185),#7959,.F.); #6680=ADVANCED_FACE('',(#5186),#7960,.F.); #6681=ADVANCED_FACE('',(#5187),#7961,.F.); #6682=ADVANCED_FACE('',(#5188),#7962,.F.); #6683=ADVANCED_FACE('',(#5189),#7963,.F.); #6684=PLANE('',#32325); #6685=PLANE('',#32334); #6686=PLANE('',#32339); #6687=PLANE('',#32365); #6688=PLANE('',#32379); #6689=PLANE('',#32380); #6690=PLANE('',#32383); #6691=PLANE('',#32386); #6692=PLANE('',#32394); #6693=PLANE('',#32396); #6694=PLANE('',#32400); #6695=PLANE('',#32403); #6696=PLANE('',#32406); #6697=PLANE('',#32409); #6698=PLANE('',#32414); #6699=PLANE('',#32424); #6700=PLANE('',#32427); #6701=PLANE('',#32433); #6702=PLANE('',#32436); #6703=PLANE('',#32443); #6704=PLANE('',#32446); #6705=PLANE('',#32449); #6706=PLANE('',#32450); #6707=PLANE('',#32451); #6708=PLANE('',#32452); #6709=PLANE('',#32453); #6710=PLANE('',#32468); #6711=PLANE('',#32469); #6712=PLANE('',#32470); #6713=PLANE('',#32471); #6714=PLANE('',#32472); #6715=PLANE('',#32480); #6716=PLANE('',#32481); #6717=PLANE('',#32485); #6718=PLANE('',#32497); #6719=PLANE('',#32498); #6720=PLANE('',#32499); #6721=PLANE('',#32500); #6722=PLANE('',#32501); #6723=PLANE('',#32509); #6724=PLANE('',#32516); #6725=PLANE('',#32529); #6726=PLANE('',#32530); #6727=PLANE('',#32531); #6728=PLANE('',#32532); #6729=PLANE('',#32533); #6730=PLANE('',#32534); #6731=PLANE('',#32535); #6732=PLANE('',#32536); #6733=PLANE('',#32537); #6734=PLANE('',#32538); #6735=PLANE('',#32539); #6736=PLANE('',#32540); #6737=PLANE('',#32541); #6738=PLANE('',#32546); #6739=PLANE('',#32547); #6740=PLANE('',#32558); #6741=PLANE('',#32559); #6742=PLANE('',#32560); #6743=PLANE('',#32561); #6744=PLANE('',#32562); #6745=PLANE('',#32563); #6746=PLANE('',#32564); #6747=PLANE('',#32565); #6748=PLANE('',#32566); #6749=PLANE('',#32567); #6750=PLANE('',#32568); #6751=PLANE('',#32569); #6752=PLANE('',#32570); #6753=PLANE('',#32571); #6754=PLANE('',#32572); #6755=PLANE('',#32573); #6756=PLANE('',#32574); #6757=PLANE('',#32575); #6758=PLANE('',#32576); #6759=PLANE('',#32577); #6760=PLANE('',#32578); #6761=PLANE('',#32579); #6762=PLANE('',#32580); #6763=PLANE('',#32581); #6764=PLANE('',#32582); #6765=PLANE('',#32583); #6766=PLANE('',#32584); #6767=PLANE('',#32585); #6768=PLANE('',#32586); #6769=PLANE('',#32587); #6770=PLANE('',#32588); #6771=PLANE('',#32589); #6772=PLANE('',#32590); #6773=PLANE('',#32591); #6774=PLANE('',#32592); #6775=PLANE('',#32593); #6776=PLANE('',#32594); #6777=PLANE('',#32595); #6778=PLANE('',#32596); #6779=PLANE('',#32597); #6780=PLANE('',#32598); #6781=PLANE('',#32599); #6782=PLANE('',#32600); #6783=PLANE('',#32601); #6784=PLANE('',#32602); #6785=PLANE('',#32603); #6786=PLANE('',#32604); #6787=PLANE('',#32605); #6788=PLANE('',#32606); #6789=PLANE('',#32607); #6790=PLANE('',#32608); #6791=PLANE('',#32609); #6792=PLANE('',#32610); #6793=PLANE('',#32611); #6794=PLANE('',#32612); #6795=PLANE('',#32613); #6796=PLANE('',#32614); #6797=PLANE('',#32615); #6798=PLANE('',#32616); #6799=PLANE('',#32617); #6800=PLANE('',#32618); #6801=PLANE('',#32619); #6802=PLANE('',#32620); #6803=PLANE('',#32621); #6804=PLANE('',#32622); #6805=PLANE('',#32623); #6806=PLANE('',#32624); #6807=PLANE('',#32625); #6808=PLANE('',#32626); #6809=PLANE('',#32627); #6810=PLANE('',#32628); #6811=PLANE('',#32629); #6812=PLANE('',#32630); #6813=PLANE('',#32631); #6814=PLANE('',#32632); #6815=PLANE('',#32633); #6816=PLANE('',#32634); #6817=PLANE('',#32635); #6818=PLANE('',#32636); #6819=PLANE('',#32637); #6820=PLANE('',#32638); #6821=PLANE('',#32639); #6822=PLANE('',#32640); #6823=PLANE('',#32641); #6824=PLANE('',#32642); #6825=PLANE('',#32643); #6826=PLANE('',#32644); #6827=PLANE('',#32645); #6828=PLANE('',#32646); #6829=PLANE('',#32647); #6830=PLANE('',#32648); #6831=PLANE('',#32649); #6832=PLANE('',#32650); #6833=PLANE('',#32651); #6834=PLANE('',#32652); #6835=PLANE('',#32653); #6836=PLANE('',#32654); #6837=PLANE('',#32655); #6838=PLANE('',#32656); #6839=PLANE('',#32657); #6840=PLANE('',#32658); #6841=PLANE('',#32659); #6842=PLANE('',#32660); #6843=PLANE('',#32661); #6844=PLANE('',#32662); #6845=PLANE('',#32663); #6846=PLANE('',#32664); #6847=PLANE('',#32665); #6848=PLANE('',#32666); #6849=PLANE('',#32667); #6850=PLANE('',#32668); #6851=PLANE('',#32669); #6852=PLANE('',#32670); #6853=PLANE('',#32671); #6854=PLANE('',#32672); #6855=PLANE('',#32673); #6856=PLANE('',#32674); #6857=PLANE('',#32675); #6858=PLANE('',#32676); #6859=PLANE('',#32677); #6860=PLANE('',#32678); #6861=PLANE('',#32679); #6862=PLANE('',#32680); #6863=PLANE('',#32681); #6864=PLANE('',#32682); #6865=PLANE('',#32683); #6866=PLANE('',#32684); #6867=PLANE('',#32685); #6868=PLANE('',#32686); #6869=PLANE('',#32687); #6870=PLANE('',#32688); #6871=PLANE('',#32689); #6872=PLANE('',#32690); #6873=PLANE('',#32691); #6874=PLANE('',#32692); #6875=PLANE('',#32693); #6876=PLANE('',#32694); #6877=PLANE('',#32695); #6878=PLANE('',#32696); #6879=PLANE('',#32697); #6880=PLANE('',#32698); #6881=PLANE('',#32699); #6882=PLANE('',#32700); #6883=PLANE('',#32701); #6884=PLANE('',#32702); #6885=PLANE('',#32703); #6886=PLANE('',#32704); #6887=PLANE('',#32705); #6888=PLANE('',#32706); #6889=PLANE('',#32707); #6890=PLANE('',#32708); #6891=PLANE('',#32709); #6892=PLANE('',#32710); #6893=PLANE('',#32711); #6894=PLANE('',#32712); #6895=PLANE('',#32713); #6896=PLANE('',#32714); #6897=PLANE('',#32715); #6898=PLANE('',#32716); #6899=PLANE('',#32717); #6900=PLANE('',#32718); #6901=PLANE('',#32719); #6902=PLANE('',#32720); #6903=PLANE('',#32721); #6904=PLANE('',#32722); #6905=PLANE('',#32723); #6906=PLANE('',#32724); #6907=PLANE('',#32725); #6908=PLANE('',#32726); #6909=PLANE('',#32727); #6910=PLANE('',#32728); #6911=PLANE('',#32729); #6912=PLANE('',#32730); #6913=PLANE('',#32731); #6914=PLANE('',#32732); #6915=PLANE('',#32733); #6916=PLANE('',#32734); #6917=PLANE('',#32735); #6918=PLANE('',#32736); #6919=PLANE('',#32737); #6920=PLANE('',#32738); #6921=PLANE('',#32739); #6922=PLANE('',#32740); #6923=PLANE('',#32741); #6924=PLANE('',#32742); #6925=PLANE('',#32743); #6926=PLANE('',#32744); #6927=PLANE('',#32745); #6928=PLANE('',#32746); #6929=PLANE('',#32747); #6930=PLANE('',#32748); #6931=PLANE('',#32749); #6932=PLANE('',#32750); #6933=PLANE('',#32751); #6934=PLANE('',#32752); #6935=PLANE('',#32753); #6936=PLANE('',#32754); #6937=PLANE('',#32755); #6938=PLANE('',#32756); #6939=PLANE('',#32757); #6940=PLANE('',#32758); #6941=PLANE('',#32759); #6942=PLANE('',#32760); #6943=PLANE('',#32761); #6944=PLANE('',#32762); #6945=PLANE('',#32763); #6946=PLANE('',#32764); #6947=PLANE('',#32765); #6948=PLANE('',#32766); #6949=PLANE('',#32767); #6950=PLANE('',#32768); #6951=PLANE('',#32769); #6952=PLANE('',#32770); #6953=PLANE('',#32771); #6954=PLANE('',#32772); #6955=PLANE('',#32773); #6956=PLANE('',#32774); #6957=PLANE('',#32775); #6958=PLANE('',#32776); #6959=PLANE('',#32777); #6960=PLANE('',#32778); #6961=PLANE('',#32779); #6962=PLANE('',#32780); #6963=PLANE('',#32781); #6964=PLANE('',#32782); #6965=PLANE('',#32783); #6966=PLANE('',#32784); #6967=PLANE('',#32785); #6968=PLANE('',#32786); #6969=PLANE('',#32787); #6970=PLANE('',#32788); #6971=PLANE('',#32789); #6972=PLANE('',#32790); #6973=PLANE('',#32791); #6974=PLANE('',#32792); #6975=PLANE('',#32793); #6976=PLANE('',#32794); #6977=PLANE('',#32795); #6978=PLANE('',#32796); #6979=PLANE('',#32797); #6980=PLANE('',#32798); #6981=PLANE('',#32799); #6982=PLANE('',#32800); #6983=PLANE('',#32801); #6984=PLANE('',#32802); #6985=PLANE('',#32803); #6986=PLANE('',#32804); #6987=PLANE('',#32805); #6988=PLANE('',#32806); #6989=PLANE('',#32807); #6990=PLANE('',#32808); #6991=PLANE('',#32809); #6992=PLANE('',#32810); #6993=PLANE('',#32811); #6994=PLANE('',#32812); #6995=PLANE('',#32813); #6996=PLANE('',#32814); #6997=PLANE('',#32815); #6998=PLANE('',#32816); #6999=PLANE('',#32817); #7000=PLANE('',#32818); #7001=PLANE('',#32819); #7002=PLANE('',#32820); #7003=PLANE('',#32821); #7004=PLANE('',#32822); #7005=PLANE('',#32823); #7006=PLANE('',#32824); #7007=PLANE('',#32825); #7008=PLANE('',#32826); #7009=PLANE('',#32827); #7010=PLANE('',#32828); #7011=PLANE('',#32829); #7012=PLANE('',#32830); #7013=PLANE('',#32831); #7014=PLANE('',#32832); #7015=PLANE('',#32833); #7016=PLANE('',#32834); #7017=PLANE('',#32835); #7018=PLANE('',#32836); #7019=PLANE('',#32837); #7020=PLANE('',#32838); #7021=PLANE('',#32839); #7022=PLANE('',#32840); #7023=PLANE('',#32841); #7024=PLANE('',#32842); #7025=PLANE('',#32843); #7026=PLANE('',#32844); #7027=PLANE('',#32845); #7028=PLANE('',#32846); #7029=PLANE('',#32847); #7030=PLANE('',#32848); #7031=PLANE('',#32849); #7032=PLANE('',#32850); #7033=PLANE('',#32851); #7034=PLANE('',#32852); #7035=PLANE('',#32853); #7036=PLANE('',#32854); #7037=PLANE('',#32855); #7038=PLANE('',#32856); #7039=PLANE('',#32857); #7040=PLANE('',#32858); #7041=PLANE('',#32859); #7042=PLANE('',#32860); #7043=PLANE('',#32861); #7044=PLANE('',#32862); #7045=PLANE('',#32863); #7046=PLANE('',#32864); #7047=PLANE('',#32865); #7048=PLANE('',#32866); #7049=PLANE('',#32867); #7050=PLANE('',#32868); #7051=PLANE('',#32869); #7052=PLANE('',#32870); #7053=PLANE('',#32871); #7054=PLANE('',#32872); #7055=PLANE('',#32873); #7056=PLANE('',#32874); #7057=PLANE('',#32875); #7058=PLANE('',#32876); #7059=PLANE('',#32877); #7060=PLANE('',#32878); #7061=PLANE('',#32879); #7062=PLANE('',#32880); #7063=PLANE('',#32881); #7064=PLANE('',#32882); #7065=PLANE('',#32883); #7066=PLANE('',#32884); #7067=PLANE('',#32885); #7068=PLANE('',#32886); #7069=PLANE('',#32887); #7070=PLANE('',#32888); #7071=PLANE('',#32889); #7072=PLANE('',#32890); #7073=PLANE('',#32891); #7074=PLANE('',#32892); #7075=PLANE('',#32893); #7076=PLANE('',#32894); #7077=PLANE('',#32895); #7078=PLANE('',#32896); #7079=PLANE('',#32897); #7080=PLANE('',#32898); #7081=PLANE('',#32899); #7082=PLANE('',#32900); #7083=PLANE('',#32901); #7084=PLANE('',#32902); #7085=PLANE('',#32903); #7086=PLANE('',#32904); #7087=PLANE('',#32905); #7088=PLANE('',#32906); #7089=PLANE('',#32907); #7090=PLANE('',#32908); #7091=PLANE('',#32909); #7092=PLANE('',#32910); #7093=PLANE('',#32911); #7094=PLANE('',#32912); #7095=PLANE('',#32913); #7096=PLANE('',#32914); #7097=PLANE('',#32915); #7098=PLANE('',#32916); #7099=PLANE('',#32917); #7100=PLANE('',#32918); #7101=PLANE('',#32919); #7102=PLANE('',#32920); #7103=PLANE('',#32921); #7104=PLANE('',#32922); #7105=PLANE('',#32923); #7106=PLANE('',#32924); #7107=PLANE('',#32925); #7108=PLANE('',#32926); #7109=PLANE('',#32927); #7110=PLANE('',#32928); #7111=PLANE('',#32929); #7112=PLANE('',#32930); #7113=PLANE('',#32931); #7114=PLANE('',#32932); #7115=PLANE('',#32933); #7116=PLANE('',#32934); #7117=PLANE('',#32935); #7118=PLANE('',#32936); #7119=PLANE('',#32937); #7120=PLANE('',#32938); #7121=PLANE('',#32939); #7122=PLANE('',#32940); #7123=PLANE('',#32941); #7124=PLANE('',#32942); #7125=PLANE('',#32943); #7126=PLANE('',#32944); #7127=PLANE('',#32945); #7128=PLANE('',#32946); #7129=PLANE('',#32947); #7130=PLANE('',#32948); #7131=PLANE('',#32949); #7132=PLANE('',#32950); #7133=PLANE('',#32951); #7134=PLANE('',#32952); #7135=PLANE('',#32953); #7136=PLANE('',#32954); #7137=PLANE('',#32955); #7138=PLANE('',#32956); #7139=PLANE('',#32957); #7140=PLANE('',#32958); #7141=PLANE('',#32959); #7142=PLANE('',#32960); #7143=PLANE('',#32961); #7144=PLANE('',#32962); #7145=PLANE('',#32963); #7146=PLANE('',#32964); #7147=PLANE('',#32965); #7148=PLANE('',#32969); #7149=PLANE('',#32970); #7150=PLANE('',#32974); #7151=PLANE('',#32975); #7152=PLANE('',#32979); #7153=PLANE('',#32980); #7154=PLANE('',#32984); #7155=PLANE('',#32985); #7156=PLANE('',#32989); #7157=PLANE('',#32990); #7158=PLANE('',#32994); #7159=PLANE('',#32995); #7160=PLANE('',#32999); #7161=PLANE('',#33000); #7162=PLANE('',#33004); #7163=PLANE('',#33005); #7164=PLANE('',#33009); #7165=PLANE('',#33010); #7166=PLANE('',#33014); #7167=PLANE('',#33015); #7168=PLANE('',#33019); #7169=PLANE('',#33020); #7170=PLANE('',#33024); #7171=PLANE('',#33025); #7172=PLANE('',#33029); #7173=PLANE('',#33030); #7174=PLANE('',#33034); #7175=PLANE('',#33035); #7176=PLANE('',#33039); #7177=PLANE('',#33040); #7178=PLANE('',#33044); #7179=PLANE('',#33045); #7180=PLANE('',#33046); #7181=PLANE('',#33047); #7182=PLANE('',#33048); #7183=PLANE('',#33049); #7184=PLANE('',#33050); #7185=PLANE('',#33051); #7186=PLANE('',#33052); #7187=PLANE('',#33053); #7188=PLANE('',#33054); #7189=PLANE('',#33055); #7190=PLANE('',#33056); #7191=PLANE('',#33057); #7192=PLANE('',#33058); #7193=PLANE('',#33059); #7194=PLANE('',#33060); #7195=PLANE('',#33061); #7196=PLANE('',#33062); #7197=PLANE('',#33063); #7198=PLANE('',#33064); #7199=PLANE('',#33065); #7200=PLANE('',#33066); #7201=PLANE('',#33067); #7202=PLANE('',#33068); #7203=PLANE('',#33069); #7204=PLANE('',#33070); #7205=PLANE('',#33071); #7206=PLANE('',#33072); #7207=PLANE('',#33073); #7208=PLANE('',#33074); #7209=PLANE('',#33075); #7210=PLANE('',#33076); #7211=PLANE('',#33077); #7212=PLANE('',#33078); #7213=PLANE('',#33079); #7214=PLANE('',#33080); #7215=PLANE('',#33081); #7216=PLANE('',#33082); #7217=PLANE('',#33083); #7218=PLANE('',#33084); #7219=PLANE('',#33085); #7220=PLANE('',#33086); #7221=PLANE('',#33089); #7222=PLANE('',#33090); #7223=PLANE('',#33091); #7224=PLANE('',#33092); #7225=PLANE('',#33094); #7226=PLANE('',#33095); #7227=PLANE('',#33097); #7228=PLANE('',#33104); #7229=PLANE('',#33106); #7230=PLANE('',#33107); #7231=PLANE('',#33109); #7232=PLANE('',#33116); #7233=PLANE('',#33118); #7234=PLANE('',#33119); #7235=PLANE('',#33121); #7236=PLANE('',#33128); #7237=PLANE('',#33130); #7238=PLANE('',#33131); #7239=PLANE('',#33133); #7240=PLANE('',#33140); #7241=PLANE('',#33142); #7242=PLANE('',#33143); #7243=PLANE('',#33145); #7244=PLANE('',#33152); #7245=PLANE('',#33154); #7246=PLANE('',#33155); #7247=PLANE('',#33157); #7248=PLANE('',#33164); #7249=PLANE('',#33166); #7250=PLANE('',#33167); #7251=PLANE('',#33169); #7252=PLANE('',#33176); #7253=PLANE('',#33178); #7254=PLANE('',#33179); #7255=PLANE('',#33181); #7256=PLANE('',#33188); #7257=PLANE('',#33190); #7258=PLANE('',#33191); #7259=PLANE('',#33193); #7260=PLANE('',#33200); #7261=PLANE('',#33202); #7262=PLANE('',#33203); #7263=PLANE('',#33205); #7264=PLANE('',#33212); #7265=PLANE('',#33214); #7266=PLANE('',#33215); #7267=PLANE('',#33217); #7268=PLANE('',#33224); #7269=PLANE('',#33226); #7270=PLANE('',#33227); #7271=PLANE('',#33229); #7272=PLANE('',#33236); #7273=PLANE('',#33238); #7274=PLANE('',#33239); #7275=PLANE('',#33241); #7276=PLANE('',#33248); #7277=PLANE('',#33250); #7278=PLANE('',#33251); #7279=PLANE('',#33253); #7280=PLANE('',#33260); #7281=PLANE('',#33262); #7282=PLANE('',#33263); #7283=PLANE('',#33265); #7284=PLANE('',#33272); #7285=PLANE('',#33274); #7286=PLANE('',#33275); #7287=PLANE('',#33277); #7288=PLANE('',#33278); #7289=PLANE('',#33279); #7290=PLANE('',#33280); #7291=PLANE('',#33281); #7292=PLANE('',#33284); #7293=PLANE('',#33285); #7294=PLANE('',#33286); #7295=PLANE('',#33287); #7296=PLANE('',#33288); #7297=PLANE('',#33289); #7298=PLANE('',#33290); #7299=PLANE('',#33291); #7300=PLANE('',#33292); #7301=PLANE('',#33293); #7302=PLANE('',#33294); #7303=PLANE('',#33295); #7304=PLANE('',#33296); #7305=PLANE('',#33297); #7306=PLANE('',#33298); #7307=PLANE('',#33299); #7308=PLANE('',#33300); #7309=PLANE('',#33301); #7310=PLANE('',#33302); #7311=PLANE('',#33303); #7312=PLANE('',#33304); #7313=PLANE('',#33305); #7314=PLANE('',#33306); #7315=PLANE('',#33307); #7316=PLANE('',#33308); #7317=PLANE('',#33309); #7318=PLANE('',#33310); #7319=PLANE('',#33311); #7320=PLANE('',#33312); #7321=PLANE('',#33313); #7322=PLANE('',#33314); #7323=PLANE('',#33315); #7324=PLANE('',#33316); #7325=PLANE('',#33317); #7326=PLANE('',#33318); #7327=PLANE('',#33319); #7328=PLANE('',#33320); #7329=PLANE('',#33321); #7330=PLANE('',#33322); #7331=PLANE('',#33323); #7332=PLANE('',#33324); #7333=PLANE('',#33325); #7334=PLANE('',#33326); #7335=PLANE('',#33327); #7336=PLANE('',#33328); #7337=PLANE('',#33329); #7338=PLANE('',#33330); #7339=PLANE('',#33331); #7340=PLANE('',#33332); #7341=PLANE('',#33333); #7342=PLANE('',#33334); #7343=PLANE('',#33335); #7344=PLANE('',#33336); #7345=PLANE('',#33337); #7346=PLANE('',#33338); #7347=PLANE('',#33339); #7348=PLANE('',#33340); #7349=PLANE('',#33341); #7350=PLANE('',#33342); #7351=PLANE('',#33343); #7352=PLANE('',#33344); #7353=PLANE('',#33345); #7354=PLANE('',#33346); #7355=PLANE('',#33347); #7356=PLANE('',#33348); #7357=PLANE('',#33349); #7358=PLANE('',#33350); #7359=PLANE('',#33351); #7360=PLANE('',#33352); #7361=PLANE('',#33353); #7362=PLANE('',#33354); #7363=PLANE('',#33355); #7364=PLANE('',#33356); #7365=PLANE('',#33357); #7366=PLANE('',#33358); #7367=PLANE('',#33359); #7368=PLANE('',#33360); #7369=PLANE('',#33361); #7370=PLANE('',#33362); #7371=PLANE('',#33363); #7372=PLANE('',#33364); #7373=PLANE('',#33365); #7374=PLANE('',#33366); #7375=PLANE('',#33367); #7376=PLANE('',#33368); #7377=PLANE('',#33369); #7378=PLANE('',#33370); #7379=PLANE('',#33371); #7380=PLANE('',#33372); #7381=PLANE('',#33373); #7382=PLANE('',#33374); #7383=PLANE('',#33375); #7384=PLANE('',#33376); #7385=PLANE('',#33377); #7386=PLANE('',#33378); #7387=PLANE('',#33379); #7388=PLANE('',#33380); #7389=PLANE('',#33381); #7390=PLANE('',#33382); #7391=PLANE('',#33383); #7392=PLANE('',#33384); #7393=PLANE('',#33385); #7394=PLANE('',#33386); #7395=PLANE('',#33387); #7396=PLANE('',#33388); #7397=PLANE('',#33389); #7398=PLANE('',#33390); #7399=PLANE('',#33391); #7400=PLANE('',#33392); #7401=PLANE('',#33393); #7402=PLANE('',#33394); #7403=PLANE('',#33395); #7404=PLANE('',#33396); #7405=PLANE('',#33397); #7406=PLANE('',#33398); #7407=PLANE('',#33399); #7408=PLANE('',#33400); #7409=PLANE('',#33401); #7410=PLANE('',#33402); #7411=PLANE('',#33403); #7412=PLANE('',#33404); #7413=PLANE('',#33405); #7414=PLANE('',#33406); #7415=PLANE('',#33407); #7416=PLANE('',#33408); #7417=PLANE('',#33409); #7418=PLANE('',#33410); #7419=PLANE('',#33411); #7420=PLANE('',#33412); #7421=PLANE('',#33413); #7422=PLANE('',#33414); #7423=PLANE('',#33415); #7424=PLANE('',#33416); #7425=PLANE('',#33417); #7426=PLANE('',#33418); #7427=PLANE('',#33419); #7428=PLANE('',#33420); #7429=PLANE('',#33421); #7430=PLANE('',#33422); #7431=PLANE('',#33423); #7432=PLANE('',#33424); #7433=PLANE('',#33425); #7434=PLANE('',#33426); #7435=PLANE('',#33427); #7436=PLANE('',#33428); #7437=PLANE('',#33429); #7438=PLANE('',#33430); #7439=PLANE('',#33431); #7440=PLANE('',#33432); #7441=PLANE('',#33433); #7442=PLANE('',#33434); #7443=PLANE('',#33435); #7444=PLANE('',#33436); #7445=PLANE('',#33437); #7446=PLANE('',#33438); #7447=PLANE('',#33439); #7448=PLANE('',#33440); #7449=PLANE('',#33441); #7450=PLANE('',#33442); #7451=PLANE('',#33443); #7452=PLANE('',#33444); #7453=PLANE('',#33445); #7454=PLANE('',#33446); #7455=PLANE('',#33447); #7456=PLANE('',#33448); #7457=PLANE('',#33449); #7458=PLANE('',#33450); #7459=PLANE('',#33451); #7460=PLANE('',#33452); #7461=PLANE('',#33453); #7462=PLANE('',#33454); #7463=PLANE('',#33455); #7464=PLANE('',#33456); #7465=PLANE('',#33457); #7466=PLANE('',#33458); #7467=PLANE('',#33459); #7468=PLANE('',#33460); #7469=PLANE('',#33461); #7470=PLANE('',#33462); #7471=PLANE('',#33463); #7472=PLANE('',#33464); #7473=PLANE('',#33465); #7474=PLANE('',#33466); #7475=PLANE('',#33467); #7476=PLANE('',#33468); #7477=PLANE('',#33469); #7478=PLANE('',#33470); #7479=PLANE('',#33471); #7480=PLANE('',#33472); #7481=PLANE('',#33473); #7482=PLANE('',#33474); #7483=PLANE('',#33475); #7484=PLANE('',#33476); #7485=PLANE('',#33477); #7486=PLANE('',#33478); #7487=PLANE('',#33479); #7488=PLANE('',#33480); #7489=PLANE('',#33481); #7490=PLANE('',#33482); #7491=PLANE('',#33483); #7492=PLANE('',#33484); #7493=PLANE('',#33485); #7494=PLANE('',#33486); #7495=PLANE('',#33487); #7496=PLANE('',#33488); #7497=PLANE('',#33489); #7498=PLANE('',#33490); #7499=PLANE('',#33491); #7500=PLANE('',#33492); #7501=PLANE('',#33496); #7502=PLANE('',#33497); #7503=PLANE('',#33498); #7504=PLANE('',#33499); #7505=PLANE('',#33500); #7506=PLANE('',#33501); #7507=PLANE('',#33502); #7508=PLANE('',#33503); #7509=PLANE('',#33504); #7510=PLANE('',#33505); #7511=PLANE('',#33513); #7512=PLANE('',#33514); #7513=PLANE('',#33516); #7514=PLANE('',#33519); #7515=PLANE('',#33520); #7516=PLANE('',#33522); #7517=PLANE('',#33525); #7518=PLANE('',#33526); #7519=PLANE('',#33528); #7520=PLANE('',#33531); #7521=PLANE('',#33532); #7522=PLANE('',#33534); #7523=PLANE('',#33537); #7524=PLANE('',#33538); #7525=PLANE('',#33540); #7526=PLANE('',#33543); #7527=PLANE('',#33544); #7528=PLANE('',#33546); #7529=PLANE('',#33549); #7530=PLANE('',#33550); #7531=PLANE('',#33552); #7532=PLANE('',#33555); #7533=PLANE('',#33556); #7534=PLANE('',#33558); #7535=PLANE('',#33561); #7536=PLANE('',#33562); #7537=PLANE('',#33564); #7538=PLANE('',#33567); #7539=PLANE('',#33568); #7540=PLANE('',#33570); #7541=PLANE('',#33573); #7542=PLANE('',#33574); #7543=PLANE('',#33576); #7544=PLANE('',#33579); #7545=PLANE('',#33580); #7546=PLANE('',#33582); #7547=PLANE('',#33585); #7548=PLANE('',#33586); #7549=PLANE('',#33588); #7550=PLANE('',#33591); #7551=PLANE('',#33592); #7552=PLANE('',#33594); #7553=PLANE('',#33597); #7554=PLANE('',#33598); #7555=PLANE('',#33600); #7556=PLANE('',#33603); #7557=PLANE('',#33604); #7558=PLANE('',#33606); #7559=PLANE('',#33611); #7560=PLANE('',#33612); #7561=PLANE('',#33614); #7562=PLANE('',#33619); #7563=PLANE('',#33620); #7564=PLANE('',#33621); #7565=PLANE('',#33622); #7566=PLANE('',#33623); #7567=PLANE('',#33624); #7568=PLANE('',#33625); #7569=PLANE('',#33626); #7570=PLANE('',#33627); #7571=PLANE('',#33628); #7572=PLANE('',#33629); #7573=PLANE('',#33630); #7574=PLANE('',#33631); #7575=PLANE('',#33632); #7576=PLANE('',#33633); #7577=PLANE('',#33634); #7578=PLANE('',#33635); #7579=PLANE('',#33636); #7580=PLANE('',#33637); #7581=PLANE('',#33638); #7582=PLANE('',#33639); #7583=PLANE('',#33640); #7584=PLANE('',#33641); #7585=PLANE('',#33642); #7586=PLANE('',#33643); #7587=PLANE('',#33644); #7588=PLANE('',#33645); #7589=PLANE('',#33646); #7590=PLANE('',#33647); #7591=PLANE('',#33648); #7592=PLANE('',#33649); #7593=PLANE('',#33650); #7594=PLANE('',#33651); #7595=PLANE('',#33652); #7596=PLANE('',#33653); #7597=PLANE('',#33654); #7598=PLANE('',#33655); #7599=PLANE('',#33656); #7600=PLANE('',#33657); #7601=PLANE('',#33658); #7602=PLANE('',#33659); #7603=PLANE('',#33660); #7604=PLANE('',#33661); #7605=PLANE('',#33662); #7606=PLANE('',#33663); #7607=PLANE('',#33664); #7608=PLANE('',#33665); #7609=PLANE('',#33666); #7610=PLANE('',#33667); #7611=PLANE('',#33668); #7612=PLANE('',#33669); #7613=PLANE('',#33670); #7614=PLANE('',#33671); #7615=PLANE('',#33672); #7616=PLANE('',#33673); #7617=PLANE('',#33674); #7618=PLANE('',#33675); #7619=PLANE('',#33676); #7620=PLANE('',#33677); #7621=PLANE('',#33678); #7622=PLANE('',#33679); #7623=PLANE('',#33680); #7624=PLANE('',#33681); #7625=PLANE('',#33682); #7626=PLANE('',#33683); #7627=PLANE('',#33684); #7628=PLANE('',#33685); #7629=PLANE('',#33686); #7630=PLANE('',#33687); #7631=PLANE('',#33688); #7632=PLANE('',#33689); #7633=PLANE('',#33690); #7634=PLANE('',#33691); #7635=PLANE('',#33692); #7636=PLANE('',#33693); #7637=PLANE('',#33694); #7638=PLANE('',#33695); #7639=PLANE('',#33696); #7640=PLANE('',#33697); #7641=PLANE('',#33698); #7642=PLANE('',#33699); #7643=PLANE('',#33700); #7644=PLANE('',#33701); #7645=PLANE('',#33702); #7646=PLANE('',#33703); #7647=PLANE('',#33704); #7648=PLANE('',#33705); #7649=PLANE('',#33706); #7650=PLANE('',#33707); #7651=PLANE('',#33708); #7652=PLANE('',#33709); #7653=PLANE('',#33710); #7654=PLANE('',#33711); #7655=PLANE('',#33712); #7656=PLANE('',#33713); #7657=PLANE('',#33714); #7658=PLANE('',#33715); #7659=PLANE('',#33716); #7660=PLANE('',#33717); #7661=PLANE('',#33718); #7662=PLANE('',#33719); #7663=PLANE('',#33720); #7664=PLANE('',#33721); #7665=PLANE('',#33722); #7666=PLANE('',#33723); #7667=PLANE('',#33724); #7668=PLANE('',#33725); #7669=PLANE('',#33726); #7670=PLANE('',#33727); #7671=PLANE('',#33728); #7672=PLANE('',#33729); #7673=PLANE('',#33730); #7674=PLANE('',#33731); #7675=PLANE('',#33732); #7676=PLANE('',#33733); #7677=PLANE('',#33734); #7678=PLANE('',#33735); #7679=PLANE('',#33736); #7680=PLANE('',#33737); #7681=PLANE('',#33738); #7682=PLANE('',#33739); #7683=PLANE('',#33740); #7684=PLANE('',#33741); #7685=PLANE('',#33742); #7686=PLANE('',#33743); #7687=PLANE('',#33744); #7688=PLANE('',#33745); #7689=PLANE('',#33746); #7690=PLANE('',#33747); #7691=PLANE('',#33748); #7692=PLANE('',#33749); #7693=PLANE('',#33750); #7694=PLANE('',#33751); #7695=PLANE('',#33752); #7696=PLANE('',#33753); #7697=PLANE('',#33754); #7698=PLANE('',#33755); #7699=PLANE('',#33756); #7700=PLANE('',#33757); #7701=PLANE('',#33758); #7702=PLANE('',#33759); #7703=PLANE('',#33760); #7704=PLANE('',#33761); #7705=PLANE('',#33762); #7706=PLANE('',#33763); #7707=PLANE('',#33764); #7708=PLANE('',#33765); #7709=PLANE('',#33766); #7710=PLANE('',#33767); #7711=PLANE('',#33768); #7712=PLANE('',#33769); #7713=PLANE('',#33770); #7714=PLANE('',#33771); #7715=PLANE('',#33772); #7716=PLANE('',#33773); #7717=PLANE('',#33774); #7718=PLANE('',#33775); #7719=PLANE('',#33776); #7720=PLANE('',#33777); #7721=PLANE('',#33778); #7722=PLANE('',#33779); #7723=PLANE('',#33780); #7724=PLANE('',#33781); #7725=PLANE('',#33782); #7726=PLANE('',#33783); #7727=PLANE('',#33784); #7728=PLANE('',#33785); #7729=PLANE('',#33786); #7730=PLANE('',#33787); #7731=PLANE('',#33788); #7732=PLANE('',#33789); #7733=PLANE('',#33790); #7734=PLANE('',#33795); #7735=PLANE('',#33798); #7736=PLANE('',#33799); #7737=PLANE('',#33800); #7738=PLANE('',#33801); #7739=PLANE('',#33802); #7740=PLANE('',#33803); #7741=PLANE('',#33804); #7742=PLANE('',#33805); #7743=PLANE('',#33806); #7744=PLANE('',#33807); #7745=PLANE('',#33808); #7746=PLANE('',#33809); #7747=PLANE('',#33810); #7748=PLANE('',#33811); #7749=PLANE('',#33812); #7750=PLANE('',#33813); #7751=PLANE('',#33814); #7752=PLANE('',#33815); #7753=PLANE('',#33816); #7754=PLANE('',#33817); #7755=PLANE('',#33818); #7756=PLANE('',#33822); #7757=PLANE('',#33825); #7758=PLANE('',#33829); #7759=PLANE('',#33831); #7760=PLANE('',#33835); #7761=PLANE('',#33837); #7762=PLANE('',#33842); #7763=PLANE('',#33847); #7764=PLANE('',#33852); #7765=PLANE('',#33857); #7766=PLANE('',#33864); #7767=PLANE('',#33869); #7768=PLANE('',#33870); #7769=PLANE('',#33876); #7770=PLANE('',#33880); #7771=PLANE('',#33884); #7772=PLANE('',#33885); #7773=PLANE('',#33889); #7774=PLANE('',#33890); #7775=PLANE('',#33894); #7776=PLANE('',#33895); #7777=PLANE('',#33899); #7778=PLANE('',#33900); #7779=PLANE('',#33904); #7780=PLANE('',#33949); #7781=PLANE('',#33950); #7782=PLANE('',#33952); #7783=PLANE('',#33955); #7784=PLANE('',#33958); #7785=PLANE('',#33959); #7786=PLANE('',#33960); #7787=PLANE('',#33961); #7788=PLANE('',#33965); #7789=PLANE('',#33966); #7790=PLANE('',#33967); #7791=PLANE('',#33968); #7792=PLANE('',#33969); #7793=PLANE('',#33970); #7794=PLANE('',#33971); #7795=PLANE('',#33972); #7796=PLANE('',#33973); #7797=PLANE('',#33974); #7798=PLANE('',#33975); #7799=PLANE('',#33976); #7800=PLANE('',#33977); #7801=PLANE('',#33978); #7802=PLANE('',#33979); #7803=PLANE('',#33980); #7804=PLANE('',#33981); #7805=PLANE('',#33982); #7806=PLANE('',#33983); #7807=PLANE('',#33984); #7808=PLANE('',#33985); #7809=PLANE('',#33986); #7810=PLANE('',#33987); #7811=PLANE('',#33988); #7812=PLANE('',#33989); #7813=PLANE('',#33990); #7814=PLANE('',#33991); #7815=PLANE('',#33992); #7816=PLANE('',#33993); #7817=PLANE('',#33994); #7818=PLANE('',#33995); #7819=PLANE('',#33996); #7820=PLANE('',#33997); #7821=PLANE('',#33998); #7822=PLANE('',#33999); #7823=PLANE('',#34000); #7824=PLANE('',#34001); #7825=PLANE('',#34002); #7826=PLANE('',#34003); #7827=PLANE('',#34004); #7828=PLANE('',#34005); #7829=PLANE('',#34006); #7830=PLANE('',#34007); #7831=PLANE('',#34008); #7832=PLANE('',#34009); #7833=PLANE('',#34010); #7834=PLANE('',#34011); #7835=PLANE('',#34012); #7836=PLANE('',#34013); #7837=PLANE('',#34014); #7838=PLANE('',#34015); #7839=PLANE('',#34016); #7840=PLANE('',#34017); #7841=PLANE('',#34018); #7842=PLANE('',#34019); #7843=PLANE('',#34020); #7844=PLANE('',#34021); #7845=PLANE('',#34022); #7846=PLANE('',#34023); #7847=PLANE('',#34024); #7848=PLANE('',#34025); #7849=PLANE('',#34026); #7850=PLANE('',#34027); #7851=PLANE('',#34028); #7852=PLANE('',#34029); #7853=PLANE('',#34030); #7854=PLANE('',#34031); #7855=PLANE('',#34032); #7856=PLANE('',#34033); #7857=PLANE('',#34034); #7858=PLANE('',#34035); #7859=PLANE('',#34036); #7860=PLANE('',#34037); #7861=PLANE('',#34038); #7862=PLANE('',#34039); #7863=PLANE('',#34040); #7864=PLANE('',#34041); #7865=PLANE('',#34042); #7866=PLANE('',#34043); #7867=PLANE('',#34044); #7868=PLANE('',#34045); #7869=PLANE('',#34046); #7870=PLANE('',#34047); #7871=PLANE('',#34048); #7872=PLANE('',#34049); #7873=PLANE('',#34050); #7874=PLANE('',#34051); #7875=PLANE('',#34052); #7876=PLANE('',#34053); #7877=PLANE('',#34054); #7878=PLANE('',#34055); #7879=PLANE('',#34056); #7880=PLANE('',#34057); #7881=PLANE('',#34058); #7882=PLANE('',#34059); #7883=PLANE('',#34060); #7884=PLANE('',#34061); #7885=PLANE('',#34062); #7886=PLANE('',#34063); #7887=PLANE('',#34064); #7888=PLANE('',#34065); #7889=PLANE('',#34066); #7890=PLANE('',#34067); #7891=PLANE('',#34068); #7892=PLANE('',#34069); #7893=PLANE('',#34070); #7894=PLANE('',#34071); #7895=PLANE('',#34072); #7896=PLANE('',#34073); #7897=PLANE('',#34074); #7898=PLANE('',#34075); #7899=PLANE('',#34076); #7900=PLANE('',#34077); #7901=PLANE('',#34078); #7902=PLANE('',#34079); #7903=PLANE('',#34080); #7904=PLANE('',#34081); #7905=PLANE('',#34082); #7906=PLANE('',#34083); #7907=PLANE('',#34084); #7908=PLANE('',#34085); #7909=PLANE('',#34086); #7910=PLANE('',#34087); #7911=PLANE('',#34088); #7912=PLANE('',#34089); #7913=PLANE('',#34090); #7914=PLANE('',#34091); #7915=PLANE('',#34092); #7916=PLANE('',#34093); #7917=PLANE('',#34094); #7918=PLANE('',#34095); #7919=PLANE('',#34096); #7920=PLANE('',#34097); #7921=PLANE('',#34098); #7922=PLANE('',#34099); #7923=PLANE('',#34100); #7924=PLANE('',#34101); #7925=PLANE('',#34102); #7926=PLANE('',#34103); #7927=PLANE('',#34104); #7928=PLANE('',#34105); #7929=PLANE('',#34106); #7930=PLANE('',#34107); #7931=PLANE('',#34108); #7932=PLANE('',#34109); #7933=PLANE('',#34110); #7934=PLANE('',#34111); #7935=PLANE('',#34112); #7936=PLANE('',#34113); #7937=PLANE('',#34114); #7938=PLANE('',#34115); #7939=PLANE('',#34116); #7940=PLANE('',#34117); #7941=PLANE('',#34118); #7942=PLANE('',#34119); #7943=PLANE('',#34120); #7944=PLANE('',#34121); #7945=PLANE('',#34122); #7946=PLANE('',#34123); #7947=PLANE('',#34124); #7948=PLANE('',#34125); #7949=PLANE('',#34126); #7950=PLANE('',#34127); #7951=PLANE('',#34128); #7952=PLANE('',#34129); #7953=PLANE('',#34130); #7954=PLANE('',#34131); #7955=PLANE('',#34132); #7956=PLANE('',#34133); #7957=PLANE('',#34134); #7958=PLANE('',#34135); #7959=PLANE('',#34136); #7960=PLANE('',#34137); #7961=PLANE('',#34138); #7962=PLANE('',#34139); #7963=PLANE('',#34140); #7964=CIRCLE('',#32323,0.5); #7965=CIRCLE('',#32324,1.); #7966=CIRCLE('',#32326,0.2); #7967=CIRCLE('',#32327,0.550000000000002); #7968=CIRCLE('',#32328,0.2); #7969=CIRCLE('',#32329,0.8); #7970=CIRCLE('',#32330,0.8); #7971=CIRCLE('',#32331,0.2); #7972=CIRCLE('',#32332,0.550000000000003); #7973=CIRCLE('',#32333,0.2); #7974=CIRCLE('',#32335,0.2); #7975=CIRCLE('',#32336,0.549999999999999); #7976=CIRCLE('',#32337,0.55); #7977=CIRCLE('',#32338,0.2); #7978=CIRCLE('',#32341,0.55); #7979=CIRCLE('',#32342,0.55); #7980=CIRCLE('',#32343,0.55); #7981=CIRCLE('',#32348,0.549999999999999); #7982=CIRCLE('',#32352,0.2); #7983=CIRCLE('',#32353,0.8); #7984=CIRCLE('',#32354,3.75); #7985=CIRCLE('',#32355,0.8); #7986=CIRCLE('',#32356,0.2); #7987=CIRCLE('',#32357,0.8); #7988=CIRCLE('',#32358,0.8); #7989=CIRCLE('',#32359,0.2); #7990=CIRCLE('',#32360,0.55); #7991=CIRCLE('',#32361,0.2); #7992=CIRCLE('',#32362,0.8); #7993=CIRCLE('',#32363,0.8); #7994=CIRCLE('',#32364,0.2); #7995=CIRCLE('',#32367,0.2); #7996=CIRCLE('',#32381,0.2); #7997=CIRCLE('',#32382,0.2); #7998=CIRCLE('',#32384,3.75); #7999=CIRCLE('',#32385,4.3745); #8000=CIRCLE('',#32387,0.8); #8001=CIRCLE('',#32388,0.8); #8002=CIRCLE('',#32390,6.25); #8003=CIRCLE('',#32391,2.); #8004=CIRCLE('',#32392,2.); #8005=CIRCLE('',#32393,1.275); #8006=CIRCLE('',#32395,1.1); #8007=CIRCLE('',#32397,0.2); #8008=CIRCLE('',#32398,1.1); #8009=CIRCLE('',#32399,0.2); #8010=CIRCLE('',#32401,1.); #8011=CIRCLE('',#32402,0.5); #8012=CIRCLE('',#32404,0.5); #8013=CIRCLE('',#32405,1.); #8014=CIRCLE('',#32407,1.); #8015=CIRCLE('',#32408,0.5); #8016=CIRCLE('',#32410,2.); #8017=CIRCLE('',#32411,6.25); #8018=CIRCLE('',#32412,2.); #8019=CIRCLE('',#32413,1.275); #8020=CIRCLE('',#32415,1.275); #8021=CIRCLE('',#32417,1.275); #8022=CIRCLE('',#32419,2.1); #8023=CIRCLE('',#32420,2.1); #8024=CIRCLE('',#32422,1.); #8025=CIRCLE('',#32423,0.5); #8026=CIRCLE('',#32425,1.); #8027=CIRCLE('',#32426,0.5); #8028=CIRCLE('',#32428,2.1); #8029=CIRCLE('',#32429,2.1); #8030=CIRCLE('',#32431,1.); #8031=CIRCLE('',#32432,0.5); #8032=CIRCLE('',#32434,1.); #8033=CIRCLE('',#32435,0.5); #8034=CIRCLE('',#32437,1.1); #8035=CIRCLE('',#32438,1.1); #8036=CIRCLE('',#32439,1.1); #8037=CIRCLE('',#32440,1.1); #8038=CIRCLE('',#32441,1.1); #8039=CIRCLE('',#32442,1.1); #8040=CIRCLE('',#32444,1.1); #8041=CIRCLE('',#32445,1.1); #8042=CIRCLE('',#32447,1.1); #8043=CIRCLE('',#32448,1.1); #8044=CIRCLE('',#32473,4.4745); #8045=CIRCLE('',#32474,2.); #8046=CIRCLE('',#32475,6.25); #8047=CIRCLE('',#32476,2.); #8048=CIRCLE('',#32477,2.); #8049=CIRCLE('',#32478,6.25); #8050=CIRCLE('',#32479,2.); #8051=CIRCLE('',#32482,4.4745); #8052=CIRCLE('',#32489,0.55); #8053=CIRCLE('',#32491,0.2); #8054=CIRCLE('',#32492,0.2); #8055=CIRCLE('',#32493,0.8); #8056=CIRCLE('',#32494,0.8); #8057=CIRCLE('',#32495,0.8); #8058=CIRCLE('',#32496,0.2); #8059=CIRCLE('',#32504,0.8); #8060=CIRCLE('',#32506,0.8); #8061=CIRCLE('',#32510,0.2); #8062=CIRCLE('',#32511,0.2); #8063=CIRCLE('',#32512,0.8); #8064=CIRCLE('',#32513,3.75); #8065=CIRCLE('',#32514,0.8); #8066=CIRCLE('',#32515,0.2); #8067=CIRCLE('',#32542,0.2); #8068=CIRCLE('',#32543,0.2); #8069=CIRCLE('',#32544,0.2); #8070=CIRCLE('',#32545,0.2); #8071=CIRCLE('',#32966,0.04); #8072=CIRCLE('',#32967,0.04); #8073=CIRCLE('',#32971,0.04); #8074=CIRCLE('',#32972,0.04); #8075=CIRCLE('',#32976,0.04); #8076=CIRCLE('',#32977,0.04); #8077=CIRCLE('',#32981,0.04); #8078=CIRCLE('',#32982,0.04); #8079=CIRCLE('',#32986,0.04); #8080=CIRCLE('',#32987,0.04); #8081=CIRCLE('',#32991,0.04); #8082=CIRCLE('',#32992,0.04); #8083=CIRCLE('',#32996,0.04); #8084=CIRCLE('',#32997,0.04); #8085=CIRCLE('',#33001,0.04); #8086=CIRCLE('',#33002,0.04); #8087=CIRCLE('',#33006,0.04); #8088=CIRCLE('',#33007,0.04); #8089=CIRCLE('',#33011,0.04); #8090=CIRCLE('',#33012,0.04); #8091=CIRCLE('',#33016,0.04); #8092=CIRCLE('',#33017,0.04); #8093=CIRCLE('',#33021,0.04); #8094=CIRCLE('',#33022,0.04); #8095=CIRCLE('',#33026,0.04); #8096=CIRCLE('',#33027,0.04); #8097=CIRCLE('',#33031,0.04); #8098=CIRCLE('',#33032,0.04); #8099=CIRCLE('',#33036,0.04); #8100=CIRCLE('',#33037,0.04); #8101=CIRCLE('',#33041,0.04); #8102=CIRCLE('',#33042,0.04); #8103=CIRCLE('',#33087,0.35); #8104=CIRCLE('',#33088,0.35); #8105=CIRCLE('',#33093,7.); #8106=CIRCLE('',#33096,7.); #8107=CIRCLE('',#33098,0.35); #8108=CIRCLE('',#33099,0.35); #8109=CIRCLE('',#33101,0.35); #8110=CIRCLE('',#33102,0.35); #8111=CIRCLE('',#33105,7.); #8112=CIRCLE('',#33108,7.); #8113=CIRCLE('',#33110,0.35); #8114=CIRCLE('',#33111,0.35); #8115=CIRCLE('',#33113,0.35); #8116=CIRCLE('',#33114,0.35); #8117=CIRCLE('',#33117,7.); #8118=CIRCLE('',#33120,7.); #8119=CIRCLE('',#33122,0.35); #8120=CIRCLE('',#33123,0.35); #8121=CIRCLE('',#33125,0.35); #8122=CIRCLE('',#33126,0.35); #8123=CIRCLE('',#33129,7.); #8124=CIRCLE('',#33132,7.); #8125=CIRCLE('',#33134,0.35); #8126=CIRCLE('',#33135,0.35); #8127=CIRCLE('',#33137,0.35); #8128=CIRCLE('',#33138,0.35); #8129=CIRCLE('',#33141,7.); #8130=CIRCLE('',#33144,7.); #8131=CIRCLE('',#33146,0.35); #8132=CIRCLE('',#33147,0.35); #8133=CIRCLE('',#33149,0.35); #8134=CIRCLE('',#33150,0.35); #8135=CIRCLE('',#33153,7.); #8136=CIRCLE('',#33156,7.); #8137=CIRCLE('',#33158,0.35); #8138=CIRCLE('',#33159,0.35); #8139=CIRCLE('',#33161,0.35); #8140=CIRCLE('',#33162,0.35); #8141=CIRCLE('',#33165,7.); #8142=CIRCLE('',#33168,7.); #8143=CIRCLE('',#33170,0.35); #8144=CIRCLE('',#33171,0.35); #8145=CIRCLE('',#33173,0.35); #8146=CIRCLE('',#33174,0.35); #8147=CIRCLE('',#33177,7.); #8148=CIRCLE('',#33180,7.); #8149=CIRCLE('',#33182,0.35); #8150=CIRCLE('',#33183,0.35); #8151=CIRCLE('',#33185,0.35); #8152=CIRCLE('',#33186,0.35); #8153=CIRCLE('',#33189,7.); #8154=CIRCLE('',#33192,7.); #8155=CIRCLE('',#33194,0.35); #8156=CIRCLE('',#33195,0.35); #8157=CIRCLE('',#33197,0.35); #8158=CIRCLE('',#33198,0.35); #8159=CIRCLE('',#33201,7.); #8160=CIRCLE('',#33204,7.); #8161=CIRCLE('',#33206,0.35); #8162=CIRCLE('',#33207,0.35); #8163=CIRCLE('',#33209,0.35); #8164=CIRCLE('',#33210,0.35); #8165=CIRCLE('',#33213,7.); #8166=CIRCLE('',#33216,7.); #8167=CIRCLE('',#33218,0.35); #8168=CIRCLE('',#33219,0.35); #8169=CIRCLE('',#33221,0.35); #8170=CIRCLE('',#33222,0.35); #8171=CIRCLE('',#33225,7.); #8172=CIRCLE('',#33228,7.); #8173=CIRCLE('',#33230,0.35); #8174=CIRCLE('',#33231,0.35); #8175=CIRCLE('',#33233,0.35); #8176=CIRCLE('',#33234,0.35); #8177=CIRCLE('',#33237,7.); #8178=CIRCLE('',#33240,7.); #8179=CIRCLE('',#33242,0.35); #8180=CIRCLE('',#33243,0.35); #8181=CIRCLE('',#33245,0.35); #8182=CIRCLE('',#33246,0.35); #8183=CIRCLE('',#33249,7.); #8184=CIRCLE('',#33252,7.); #8185=CIRCLE('',#33254,0.35); #8186=CIRCLE('',#33255,0.35); #8187=CIRCLE('',#33257,0.35); #8188=CIRCLE('',#33258,0.35); #8189=CIRCLE('',#33261,7.); #8190=CIRCLE('',#33264,7.); #8191=CIRCLE('',#33266,0.35); #8192=CIRCLE('',#33267,0.35); #8193=CIRCLE('',#33269,0.35); #8194=CIRCLE('',#33270,0.35); #8195=CIRCLE('',#33273,7.); #8196=CIRCLE('',#33276,7.); #8197=CIRCLE('',#33282,0.35); #8198=CIRCLE('',#33283,0.35); #8199=CIRCLE('',#33493,0.1); #8200=CIRCLE('',#33494,0.1); #8201=CIRCLE('',#33495,0.1); #8202=CIRCLE('',#33506,0.35); #8203=CIRCLE('',#33507,0.35); #8204=CIRCLE('',#33509,0.35); #8205=CIRCLE('',#33510,0.35); #8206=CIRCLE('',#33511,0.35); #8207=CIRCLE('',#33515,7.); #8208=CIRCLE('',#33517,7.); #8209=CIRCLE('',#33521,7.); #8210=CIRCLE('',#33523,7.); #8211=CIRCLE('',#33527,7.); #8212=CIRCLE('',#33529,7.); #8213=CIRCLE('',#33533,7.); #8214=CIRCLE('',#33535,7.); #8215=CIRCLE('',#33539,7.); #8216=CIRCLE('',#33541,7.); #8217=CIRCLE('',#33545,7.); #8218=CIRCLE('',#33547,7.); #8219=CIRCLE('',#33551,7.); #8220=CIRCLE('',#33553,7.); #8221=CIRCLE('',#33557,7.); #8222=CIRCLE('',#33559,7.); #8223=CIRCLE('',#33563,7.); #8224=CIRCLE('',#33565,7.); #8225=CIRCLE('',#33569,7.); #8226=CIRCLE('',#33571,7.); #8227=CIRCLE('',#33575,7.); #8228=CIRCLE('',#33577,7.); #8229=CIRCLE('',#33581,7.); #8230=CIRCLE('',#33583,7.); #8231=CIRCLE('',#33587,7.); #8232=CIRCLE('',#33589,7.); #8233=CIRCLE('',#33593,7.); #8234=CIRCLE('',#33595,7.); #8235=CIRCLE('',#33599,7.); #8236=CIRCLE('',#33601,7.); #8237=CIRCLE('',#33605,7.); #8238=CIRCLE('',#33607,7.); #8239=CIRCLE('',#33609,0.35); #8240=CIRCLE('',#33610,0.35); #8241=CIRCLE('',#33613,0.35); #8242=CIRCLE('',#33615,0.35); #8243=CIRCLE('',#33617,0.35); #8244=CIRCLE('',#33791,0.1); #8245=CIRCLE('',#33793,0.1); #8246=CIRCLE('',#33796,0.1); #8247=CIRCLE('',#33819,0.1); #8248=CIRCLE('',#33820,0.1); #8249=CIRCLE('',#33823,0.1); #8250=CIRCLE('',#33824,0.1); #8251=CIRCLE('',#33826,0.1); #8252=CIRCLE('',#33828,0.1); #8253=CIRCLE('',#33832,4.2713205623731); #8254=CIRCLE('',#33833,4.2713205623731); #8255=CIRCLE('',#33834,0.75); #8256=CIRCLE('',#33836,1.); #8257=CIRCLE('',#33838,1.); #8258=CIRCLE('',#33839,0.5); #8259=CIRCLE('',#33840,1.); #8260=CIRCLE('',#33841,0.5); #8261=CIRCLE('',#33843,1.175); #8262=CIRCLE('',#33844,0.340550262205689); #8263=CIRCLE('',#33845,2.79289343762691); #8264=CIRCLE('',#33846,0.340550262205688); #8265=CIRCLE('',#33848,1.); #8266=CIRCLE('',#33849,0.5); #8267=CIRCLE('',#33850,1.); #8268=CIRCLE('',#33851,0.5); #8269=CIRCLE('',#33853,0.5); #8270=CIRCLE('',#33854,1.); #8271=CIRCLE('',#33855,0.5); #8272=CIRCLE('',#33856,1.); #8273=CIRCLE('',#33858,1.175); #8274=CIRCLE('',#33860,0.5); #8275=CIRCLE('',#33861,1.); #8276=CIRCLE('',#33862,0.5); #8277=CIRCLE('',#33863,1.); #8278=CIRCLE('',#33865,1.175); #8279=CIRCLE('',#33866,0.340550262205673); #8280=CIRCLE('',#33867,2.79289343762691); #8281=CIRCLE('',#33868,0.340550262205687); #8282=CIRCLE('',#33871,1.175); #8283=CIRCLE('',#33873,0.340550262205687); #8284=CIRCLE('',#33874,2.50000021881345); #8285=CIRCLE('',#33875,0.340550262205673); #8286=CIRCLE('',#33877,0.340550262205688); #8287=CIRCLE('',#33878,2.50000021881345); #8288=CIRCLE('',#33879,0.340550262205689); #8289=CIRCLE('',#33881,0.75); #8290=CIRCLE('',#33882,4.56421378118655); #8291=CIRCLE('',#33883,4.56421378118655); #8292=CIRCLE('',#33886,3.56421378118655); #8293=CIRCLE('',#33887,2.85355360940673); #8294=CIRCLE('',#33891,3.56421378118655); #8295=CIRCLE('',#33892,2.85355360940673); #8296=CIRCLE('',#33896,3.50000021881345); #8297=CIRCLE('',#33897,4.21066039059327); #8298=CIRCLE('',#33901,3.50000021881345); #8299=CIRCLE('',#33902,4.21066039059327); #8300=CIRCLE('',#33905,0.5); #8301=CIRCLE('',#33907,0.5); #8302=CIRCLE('',#33910,0.5); #8303=CIRCLE('',#33912,0.5); #8304=CIRCLE('',#33915,0.5); #8305=CIRCLE('',#33917,0.5); #8306=CIRCLE('',#33920,0.5); #8307=CIRCLE('',#33922,0.5); #8308=CIRCLE('',#33925,1.); #8309=CIRCLE('',#33927,1.); #8310=CIRCLE('',#33930,1.); #8311=CIRCLE('',#33932,1.); #8312=CIRCLE('',#33935,1.); #8313=CIRCLE('',#33937,1.); #8314=CIRCLE('',#33940,1.); #8315=CIRCLE('',#33942,1.); #8316=CIRCLE('',#33951,1.); #8317=CIRCLE('',#33953,0.5); #8318=CIRCLE('',#33954,1.); #8319=CIRCLE('',#33956,0.5); #8320=CIRCLE('',#33957,1.); #8321=LINE('',#41324,#11542); #8322=LINE('',#41327,#11543); #8323=LINE('',#41329,#11544); #8324=LINE('',#41331,#11545); #8325=LINE('',#41333,#11546); #8326=LINE('',#41337,#11547); #8327=LINE('',#41341,#11548); #8328=LINE('',#41343,#11549); #8329=LINE('',#41345,#11550); #8330=LINE('',#41347,#11551); #8331=LINE('',#41349,#11552); #8332=LINE('',#41351,#11553); #8333=LINE('',#41353,#11554); #8334=LINE('',#41355,#11555); #8335=LINE('',#41357,#11556); #8336=LINE('',#41359,#11557); #8337=LINE('',#41361,#11558); #8338=LINE('',#41363,#11559); #8339=LINE('',#41365,#11560); #8340=LINE('',#41367,#11561); #8341=LINE('',#41369,#11562); #8342=LINE('',#41371,#11563); #8343=LINE('',#41373,#11564); #8344=LINE('',#41375,#11565); #8345=LINE('',#41377,#11566); #8346=LINE('',#41379,#11567); #8347=LINE('',#41381,#11568); #8348=LINE('',#41383,#11569); #8349=LINE('',#41385,#11570); #8350=LINE('',#41387,#11571); #8351=LINE('',#41684,#11572); #8352=LINE('',#41695,#11573); #8353=LINE('',#41705,#11574); #8354=LINE('',#41708,#11575); #8355=LINE('',#41714,#11576); #8356=LINE('',#41723,#11577); #8357=LINE('',#41727,#11578); #8358=LINE('',#41731,#11579); #8359=LINE('',#41738,#11580); #8360=LINE('',#41739,#11581); #8361=LINE('',#41746,#11582); #8362=LINE('',#41747,#11583); #8363=LINE('',#41752,#11584); #8364=LINE('',#41753,#11585); #8365=LINE('',#41755,#11586); #8366=LINE('',#41759,#11587); #8367=LINE('',#41771,#11588); #8368=LINE('',#41777,#11589); #8369=LINE('',#41781,#11590); #8370=LINE('',#41782,#11591); #8371=LINE('',#41788,#11592); #8372=LINE('',#41796,#11593); #8373=LINE('',#41800,#11594); #8374=LINE('',#41805,#11595); #8375=LINE('',#41808,#11596); #8376=LINE('',#41812,#11597); #8377=LINE('',#41814,#11598); #8378=LINE('',#41818,#11599); #8379=LINE('',#41821,#11600); #8380=LINE('',#41823,#11601); #8381=LINE('',#41825,#11602); #8382=LINE('',#41827,#11603); #8383=LINE('',#41829,#11604); #8384=LINE('',#41831,#11605); #8385=LINE('',#41835,#11606); #8386=LINE('',#41839,#11607); #8387=LINE('',#41841,#11608); #8388=LINE('',#41848,#11609); #8389=LINE('',#41852,#11610); #8390=LINE('',#41856,#11611); #8391=LINE('',#41862,#11612); #8392=LINE('',#41864,#11613); #8393=LINE('',#41866,#11614); #8394=LINE('',#41868,#11615); #8395=LINE('',#41869,#11616); #8396=LINE('',#41875,#11617); #8397=LINE('',#41878,#11618); #8398=LINE('',#41880,#11619); #8399=LINE('',#41882,#11620); #8400=LINE('',#41884,#11621); #8401=LINE('',#41888,#11622); #8402=LINE('',#41890,#11623); #8403=LINE('',#41892,#11624); #8404=LINE('',#41896,#11625); #8405=LINE('',#41898,#11626); #8406=LINE('',#41900,#11627); #8407=LINE('',#41902,#11628); #8408=LINE('',#41906,#11629); #8409=LINE('',#41910,#11630); #8410=LINE('',#41912,#11631); #8411=LINE('',#41914,#11632); #8412=LINE('',#41916,#11633); #8413=LINE('',#41920,#11634); #8414=LINE('',#41924,#11635); #8415=LINE('',#41926,#11636); #8416=LINE('',#41928,#11637); #8417=LINE('',#41930,#11638); #8418=LINE('',#41933,#11639); #8419=LINE('',#41937,#11640); #8420=LINE('',#41939,#11641); #8421=LINE('',#41941,#11642); #8422=LINE('',#41943,#11643); #8423=LINE('',#41947,#11644); #8424=LINE('',#41950,#11645); #8425=LINE('',#41952,#11646); #8426=LINE('',#41959,#11647); #8427=LINE('',#41960,#11648); #8428=LINE('',#41973,#11649); #8429=LINE('',#41977,#11650); #8430=LINE('',#41982,#11651); #8431=LINE('',#41984,#11652); #8432=LINE('',#41986,#11653); #8433=LINE('',#41989,#11654); #8434=LINE('',#41991,#11655); #8435=LINE('',#41994,#11656); #8436=LINE('',#41995,#11657); #8437=LINE('',#41997,#11658); #8438=LINE('',#41999,#11659); #8439=LINE('',#42003,#11660); #8440=LINE('',#42006,#11661); #8441=LINE('',#42011,#11662); #8442=LINE('',#42015,#11663); #8443=LINE('',#42020,#11664); #8444=LINE('',#42022,#11665); #8445=LINE('',#42024,#11666); #8446=LINE('',#42027,#11667); #8447=LINE('',#42029,#11668); #8448=LINE('',#42032,#11669); #8449=LINE('',#42036,#11670); #8450=LINE('',#42039,#11671); #8451=LINE('',#42048,#11672); #8452=LINE('',#42049,#11673); #8453=LINE('',#42054,#11674); #8454=LINE('',#42056,#11675); #8455=LINE('',#42057,#11676); #8456=LINE('',#42062,#11677); #8457=LINE('',#42064,#11678); #8458=LINE('',#42065,#11679); #8459=LINE('',#42067,#11680); #8460=LINE('',#42068,#11681); #8461=LINE('',#42070,#11682); #8462=LINE('',#42071,#11683); #8463=LINE('',#42073,#11684); #8464=LINE('',#42074,#11685); #8465=LINE('',#42134,#11686); #8466=LINE('',#42136,#11687); #8467=LINE('',#42140,#11688); #8468=LINE('',#42142,#11689); #8469=LINE('',#42144,#11690); #8470=LINE('',#42151,#11691); #8471=LINE('',#42157,#11692); #8472=LINE('',#42159,#11693); #8473=LINE('',#42161,#11694); #8474=LINE('',#42162,#11695); #8475=LINE('',#42164,#11696); #8476=LINE('',#42166,#11697); #8477=LINE('',#42167,#11698); #8478=LINE('',#42169,#11699); #8479=LINE('',#42171,#11700); #8480=LINE('',#42173,#11701); #8481=LINE('',#42179,#11702); #8482=LINE('',#42183,#11703); #8483=LINE('',#42185,#11704); #8484=LINE('',#42190,#11705); #8485=LINE('',#42194,#11706); #8486=LINE('',#42202,#11707); #8487=LINE('',#42203,#11708); #8488=LINE('',#42207,#11709); #8489=LINE('',#42212,#11710); #8490=LINE('',#42214,#11711); #8491=LINE('',#42216,#11712); #8492=LINE('',#42218,#11713); #8493=LINE('',#42219,#11714); #8494=LINE('',#42221,#11715); #8495=LINE('',#42223,#11716); #8496=LINE('',#42225,#11717); #8497=LINE('',#42227,#11718); #8498=LINE('',#42229,#11719); #8499=LINE('',#42231,#11720); #8500=LINE('',#42237,#11721); #8501=LINE('',#42241,#11722); #8502=LINE('',#42243,#11723); #8503=LINE('',#42245,#11724); #8504=LINE('',#42247,#11725); #8505=LINE('',#42259,#11726); #8506=LINE('',#42260,#11727); #8507=LINE('',#42263,#11728); #8508=LINE('',#42269,#11729); #8509=LINE('',#42273,#11730); #8510=LINE('',#42278,#11731); #8511=LINE('',#42279,#11732); #8512=LINE('',#42281,#11733); #8513=LINE('',#42282,#11734); #8514=LINE('',#42284,#11735); #8515=LINE('',#42285,#11736); #8516=LINE('',#42287,#11737); #8517=LINE('',#42288,#11738); #8518=LINE('',#42298,#11739); #8519=LINE('',#42300,#11740); #8520=LINE('',#42302,#11741); #8521=LINE('',#42308,#11742); #8522=LINE('',#42312,#11743); #8523=LINE('',#42313,#11744); #8524=LINE('',#42319,#11745); #8525=LINE('',#42320,#11746); #8526=LINE('',#42322,#11747); #8527=LINE('',#42323,#11748); #8528=LINE('',#42329,#11749); #8529=LINE('',#42331,#11750); #8530=LINE('',#42333,#11751); #8531=LINE('',#42335,#11752); #8532=LINE('',#42337,#11753); #8533=LINE('',#42339,#11754); #8534=LINE('',#42341,#11755); #8535=LINE('',#42343,#11756); #8536=LINE('',#42345,#11757); #8537=LINE('',#42347,#11758); #8538=LINE('',#42349,#11759); #8539=LINE('',#42364,#11760); #8540=LINE('',#42377,#11761); #8541=LINE('',#42388,#11763); #8542=LINE('',#42395,#11764); #8543=LINE('',#42419,#11766); #8544=LINE('',#42579,#11771); #8545=LINE('',#42586,#11772); #8546=LINE('',#42593,#11773); #8547=LINE('',#42600,#11774); #8548=LINE('',#42607,#11775); #8549=LINE('',#42614,#11776); #8550=LINE('',#42628,#11777); #8551=LINE('',#42635,#11778); #8552=LINE('',#42683,#11779); #8553=LINE('',#42716,#11781); #8554=LINE('',#42718,#11782); #8555=LINE('',#42725,#11783); #8556=LINE('',#42735,#11785); #8557=LINE('',#42742,#11786); #8558=LINE('',#42749,#11787); #8559=LINE('',#42802,#11789); #8560=LINE('',#42809,#11790); #8561=LINE('',#42816,#11791); #8562=LINE('',#42823,#11792); #8563=LINE('',#42931,#11795); #8564=LINE('',#42938,#11796); #8565=LINE('',#42945,#11797); #8566=LINE('',#42952,#11798); #8567=LINE('',#42959,#11799); #8568=LINE('',#42980,#11800); #8569=LINE('',#43004,#11802); #8570=LINE('',#43025,#11803); #8571=LINE('',#43056,#11805); #8572=LINE('',#43063,#11806); #8573=LINE('',#43075,#11807); #8574=LINE('',#43077,#11808); #8575=LINE('',#43084,#11809); #8576=LINE('',#43091,#11810); #8577=LINE('',#43098,#11811); #8578=LINE('',#43105,#11812); #8579=LINE('',#43112,#11813); #8580=LINE('',#43119,#11814); #8581=LINE('',#43126,#11815); #8582=LINE('',#43133,#11816); #8583=LINE('',#43140,#11817); #8584=LINE('',#43174,#11818); #8585=LINE('',#43191,#11819); #8586=LINE('',#43303,#11823); #8587=LINE('',#43310,#11824); #8588=LINE('',#43317,#11825); #8589=LINE('',#43324,#11826); #8590=LINE('',#43331,#11827); #8591=LINE('',#43338,#11828); #8592=LINE('',#43345,#11829); #8593=LINE('',#43352,#11830); #8594=LINE('',#43359,#11831); #8595=LINE('',#43366,#11832); #8596=LINE('',#43380,#11833); #8597=LINE('',#43387,#11834); #8598=LINE('',#43399,#11835); #8599=LINE('',#43401,#11836); #8600=LINE('',#43408,#11837); #8601=LINE('',#43415,#11838); #8602=LINE('',#43422,#11839); #8603=LINE('',#43429,#11840); #8604=LINE('',#43436,#11841); #8605=LINE('',#43443,#11842); #8606=LINE('',#43450,#11843); #8607=LINE('',#43457,#11844); #8608=LINE('',#43464,#11845); #8609=LINE('',#43482,#11846); #8610=LINE('',#43489,#11847); #8611=LINE('',#43496,#11848); #8612=LINE('',#43503,#11849); #8613=LINE('',#43510,#11850); #8614=LINE('',#43517,#11851); #8615=LINE('',#43524,#11852); #8616=LINE('',#43531,#11853); #8617=LINE('',#43538,#11854); #8618=LINE('',#43545,#11855); #8619=LINE('',#43552,#11856); #8620=LINE('',#43559,#11857); #8621=LINE('',#43566,#11858); #8622=LINE('',#43588,#11859); #8623=LINE('',#43603,#11860); #8624=LINE('',#43616,#11862); #8625=LINE('',#43629,#11863); #8626=LINE('',#43708,#11867); #8627=LINE('',#43715,#11868); #8628=LINE('',#43722,#11869); #8629=LINE('',#43729,#11870); #8630=LINE('',#43736,#11871); #8631=LINE('',#43743,#11872); #8632=LINE('',#43750,#11873); #8633=LINE('',#43757,#11874); #8634=LINE('',#43771,#11875); #8635=LINE('',#43778,#11876); #8636=LINE('',#43790,#11877); #8637=LINE('',#43792,#11878); #8638=LINE('',#43799,#11879); #8639=LINE('',#43806,#11880); #8640=LINE('',#43813,#11881); #8641=LINE('',#43820,#11882); #8642=LINE('',#43827,#11883); #8643=LINE('',#43834,#11884); #8644=LINE('',#43841,#11885); #8645=LINE('',#43859,#11886); #8646=LINE('',#43862,#11887); #8647=LINE('',#43864,#11888); #8648=LINE('',#43866,#11889); #8649=LINE('',#43869,#11890); #8650=LINE('',#43871,#11891); #8651=LINE('',#43873,#11892); #8652=LINE('',#43875,#11893); #8653=LINE('',#43878,#11894); #8654=LINE('',#43880,#11895); #8655=LINE('',#43882,#11896); #8656=LINE('',#43884,#11897); #8657=LINE('',#43887,#11898); #8658=LINE('',#43888,#11899); #8659=LINE('',#43890,#11900); #8660=LINE('',#43892,#11901); #8661=LINE('',#43894,#11902); #8662=LINE('',#43896,#11903); #8663=LINE('',#43899,#11904); #8664=LINE('',#43900,#11905); #8665=LINE('',#43902,#11906); #8666=LINE('',#43904,#11907); #8667=LINE('',#43906,#11908); #8668=LINE('',#43908,#11909); #8669=LINE('',#43910,#11910); #8670=LINE('',#43913,#11911); #8671=LINE('',#43915,#11912); #8672=LINE('',#43917,#11913); #8673=LINE('',#43919,#11914); #8674=LINE('',#43921,#11915); #8675=LINE('',#43923,#11916); #8676=LINE('',#43925,#11917); #8677=LINE('',#43927,#11918); #8678=LINE('',#43929,#11919); #8679=LINE('',#43931,#11920); #8680=LINE('',#43933,#11921); #8681=LINE('',#43935,#11922); #8682=LINE('',#43937,#11923); #8683=LINE('',#43939,#11924); #8684=LINE('',#43941,#11925); #8685=LINE('',#43943,#11926); #8686=LINE('',#43945,#11927); #8687=LINE('',#43947,#11928); #8688=LINE('',#43949,#11929); #8689=LINE('',#43951,#11930); #8690=LINE('',#43953,#11931); #8691=LINE('',#43955,#11932); #8692=LINE('',#43957,#11933); #8693=LINE('',#43959,#11934); #8694=LINE('',#43961,#11935); #8695=LINE('',#43963,#11936); #8696=LINE('',#43965,#11937); #8697=LINE('',#43967,#11938); #8698=LINE('',#43970,#11939); #8699=LINE('',#43971,#11940); #8700=LINE('',#43973,#11941); #8701=LINE('',#43976,#11942); #8702=LINE('',#43978,#11943); #8703=LINE('',#43980,#11944); #8704=LINE('',#43982,#11945); #8705=LINE('',#43984,#11946); #8706=LINE('',#43986,#11947); #8707=LINE('',#43988,#11948); #8708=LINE('',#43990,#11949); #8709=LINE('',#43992,#11950); #8710=LINE('',#43994,#11951); #8711=LINE('',#43996,#11952); #8712=LINE('',#43998,#11953); #8713=LINE('',#44000,#11954); #8714=LINE('',#44002,#11955); #8715=LINE('',#44004,#11956); #8716=LINE('',#44006,#11957); #8717=LINE('',#44008,#11958); #8718=LINE('',#44010,#11959); #8719=LINE('',#44012,#11960); #8720=LINE('',#44014,#11961); #8721=LINE('',#44016,#11962); #8722=LINE('',#44018,#11963); #8723=LINE('',#44020,#11964); #8724=LINE('',#44022,#11965); #8725=LINE('',#44024,#11966); #8726=LINE('',#44026,#11967); #8727=LINE('',#44028,#11968); #8728=LINE('',#44030,#11969); #8729=LINE('',#44033,#11970); #8730=LINE('',#44034,#11971); #8731=LINE('',#44036,#11972); #8732=LINE('',#44039,#11973); #8733=LINE('',#44041,#11974); #8734=LINE('',#44043,#11975); #8735=LINE('',#44045,#11976); #8736=LINE('',#44047,#11977); #8737=LINE('',#44049,#11978); #8738=LINE('',#44051,#11979); #8739=LINE('',#44053,#11980); #8740=LINE('',#44055,#11981); #8741=LINE('',#44057,#11982); #8742=LINE('',#44059,#11983); #8743=LINE('',#44061,#11984); #8744=LINE('',#44063,#11985); #8745=LINE('',#44065,#11986); #8746=LINE('',#44067,#11987); #8747=LINE('',#44069,#11988); #8748=LINE('',#44071,#11989); #8749=LINE('',#44073,#11990); #8750=LINE('',#44075,#11991); #8751=LINE('',#44077,#11992); #8752=LINE('',#44079,#11993); #8753=LINE('',#44081,#11994); #8754=LINE('',#44083,#11995); #8755=LINE('',#44085,#11996); #8756=LINE('',#44087,#11997); #8757=LINE('',#44089,#11998); #8758=LINE('',#44091,#11999); #8759=LINE('',#44093,#12000); #8760=LINE('',#44096,#12001); #8761=LINE('',#44097,#12002); #8762=LINE('',#44099,#12003); #8763=LINE('',#44102,#12004); #8764=LINE('',#44104,#12005); #8765=LINE('',#44106,#12006); #8766=LINE('',#44108,#12007); #8767=LINE('',#44110,#12008); #8768=LINE('',#44112,#12009); #8769=LINE('',#44114,#12010); #8770=LINE('',#44116,#12011); #8771=LINE('',#44118,#12012); #8772=LINE('',#44120,#12013); #8773=LINE('',#44122,#12014); #8774=LINE('',#44124,#12015); #8775=LINE('',#44126,#12016); #8776=LINE('',#44128,#12017); #8777=LINE('',#44130,#12018); #8778=LINE('',#44132,#12019); #8779=LINE('',#44134,#12020); #8780=LINE('',#44136,#12021); #8781=LINE('',#44138,#12022); #8782=LINE('',#44140,#12023); #8783=LINE('',#44142,#12024); #8784=LINE('',#44144,#12025); #8785=LINE('',#44146,#12026); #8786=LINE('',#44148,#12027); #8787=LINE('',#44150,#12028); #8788=LINE('',#44152,#12029); #8789=LINE('',#44154,#12030); #8790=LINE('',#44156,#12031); #8791=LINE('',#44159,#12032); #8792=LINE('',#44160,#12033); #8793=LINE('',#44162,#12034); #8794=LINE('',#44165,#12035); #8795=LINE('',#44167,#12036); #8796=LINE('',#44169,#12037); #8797=LINE('',#44171,#12038); #8798=LINE('',#44173,#12039); #8799=LINE('',#44175,#12040); #8800=LINE('',#44177,#12041); #8801=LINE('',#44179,#12042); #8802=LINE('',#44181,#12043); #8803=LINE('',#44183,#12044); #8804=LINE('',#44185,#12045); #8805=LINE('',#44187,#12046); #8806=LINE('',#44189,#12047); #8807=LINE('',#44191,#12048); #8808=LINE('',#44193,#12049); #8809=LINE('',#44195,#12050); #8810=LINE('',#44197,#12051); #8811=LINE('',#44199,#12052); #8812=LINE('',#44201,#12053); #8813=LINE('',#44203,#12054); #8814=LINE('',#44205,#12055); #8815=LINE('',#44207,#12056); #8816=LINE('',#44209,#12057); #8817=LINE('',#44211,#12058); #8818=LINE('',#44213,#12059); #8819=LINE('',#44215,#12060); #8820=LINE('',#44217,#12061); #8821=LINE('',#44219,#12062); #8822=LINE('',#44222,#12063); #8823=LINE('',#44223,#12064); #8824=LINE('',#44225,#12065); #8825=LINE('',#44228,#12066); #8826=LINE('',#44230,#12067); #8827=LINE('',#44232,#12068); #8828=LINE('',#44234,#12069); #8829=LINE('',#44236,#12070); #8830=LINE('',#44238,#12071); #8831=LINE('',#44240,#12072); #8832=LINE('',#44242,#12073); #8833=LINE('',#44244,#12074); #8834=LINE('',#44246,#12075); #8835=LINE('',#44248,#12076); #8836=LINE('',#44250,#12077); #8837=LINE('',#44252,#12078); #8838=LINE('',#44254,#12079); #8839=LINE('',#44256,#12080); #8840=LINE('',#44258,#12081); #8841=LINE('',#44260,#12082); #8842=LINE('',#44262,#12083); #8843=LINE('',#44264,#12084); #8844=LINE('',#44266,#12085); #8845=LINE('',#44268,#12086); #8846=LINE('',#44270,#12087); #8847=LINE('',#44272,#12088); #8848=LINE('',#44274,#12089); #8849=LINE('',#44276,#12090); #8850=LINE('',#44278,#12091); #8851=LINE('',#44280,#12092); #8852=LINE('',#44282,#12093); #8853=LINE('',#44285,#12094); #8854=LINE('',#44286,#12095); #8855=LINE('',#44288,#12096); #8856=LINE('',#44291,#12097); #8857=LINE('',#44293,#12098); #8858=LINE('',#44295,#12099); #8859=LINE('',#44297,#12100); #8860=LINE('',#44299,#12101); #8861=LINE('',#44301,#12102); #8862=LINE('',#44303,#12103); #8863=LINE('',#44305,#12104); #8864=LINE('',#44307,#12105); #8865=LINE('',#44309,#12106); #8866=LINE('',#44311,#12107); #8867=LINE('',#44313,#12108); #8868=LINE('',#44315,#12109); #8869=LINE('',#44317,#12110); #8870=LINE('',#44319,#12111); #8871=LINE('',#44321,#12112); #8872=LINE('',#44323,#12113); #8873=LINE('',#44325,#12114); #8874=LINE('',#44327,#12115); #8875=LINE('',#44329,#12116); #8876=LINE('',#44331,#12117); #8877=LINE('',#44333,#12118); #8878=LINE('',#44335,#12119); #8879=LINE('',#44337,#12120); #8880=LINE('',#44339,#12121); #8881=LINE('',#44341,#12122); #8882=LINE('',#44343,#12123); #8883=LINE('',#44345,#12124); #8884=LINE('',#44348,#12125); #8885=LINE('',#44349,#12126); #8886=LINE('',#44351,#12127); #8887=LINE('',#44354,#12128); #8888=LINE('',#44356,#12129); #8889=LINE('',#44358,#12130); #8890=LINE('',#44360,#12131); #8891=LINE('',#44362,#12132); #8892=LINE('',#44364,#12133); #8893=LINE('',#44366,#12134); #8894=LINE('',#44368,#12135); #8895=LINE('',#44370,#12136); #8896=LINE('',#44372,#12137); #8897=LINE('',#44374,#12138); #8898=LINE('',#44376,#12139); #8899=LINE('',#44378,#12140); #8900=LINE('',#44380,#12141); #8901=LINE('',#44382,#12142); #8902=LINE('',#44384,#12143); #8903=LINE('',#44386,#12144); #8904=LINE('',#44388,#12145); #8905=LINE('',#44390,#12146); #8906=LINE('',#44392,#12147); #8907=LINE('',#44394,#12148); #8908=LINE('',#44396,#12149); #8909=LINE('',#44398,#12150); #8910=LINE('',#44400,#12151); #8911=LINE('',#44402,#12152); #8912=LINE('',#44404,#12153); #8913=LINE('',#44406,#12154); #8914=LINE('',#44408,#12155); #8915=LINE('',#44411,#12156); #8916=LINE('',#44412,#12157); #8917=LINE('',#44414,#12158); #8918=LINE('',#44417,#12159); #8919=LINE('',#44419,#12160); #8920=LINE('',#44421,#12161); #8921=LINE('',#44423,#12162); #8922=LINE('',#44425,#12163); #8923=LINE('',#44427,#12164); #8924=LINE('',#44429,#12165); #8925=LINE('',#44431,#12166); #8926=LINE('',#44433,#12167); #8927=LINE('',#44435,#12168); #8928=LINE('',#44437,#12169); #8929=LINE('',#44439,#12170); #8930=LINE('',#44441,#12171); #8931=LINE('',#44443,#12172); #8932=LINE('',#44445,#12173); #8933=LINE('',#44447,#12174); #8934=LINE('',#44449,#12175); #8935=LINE('',#44451,#12176); #8936=LINE('',#44453,#12177); #8937=LINE('',#44455,#12178); #8938=LINE('',#44457,#12179); #8939=LINE('',#44459,#12180); #8940=LINE('',#44461,#12181); #8941=LINE('',#44463,#12182); #8942=LINE('',#44465,#12183); #8943=LINE('',#44467,#12184); #8944=LINE('',#44469,#12185); #8945=LINE('',#44471,#12186); #8946=LINE('',#44474,#12187); #8947=LINE('',#44475,#12188); #8948=LINE('',#44477,#12189); #8949=LINE('',#44480,#12190); #8950=LINE('',#44482,#12191); #8951=LINE('',#44484,#12192); #8952=LINE('',#44486,#12193); #8953=LINE('',#44488,#12194); #8954=LINE('',#44490,#12195); #8955=LINE('',#44492,#12196); #8956=LINE('',#44494,#12197); #8957=LINE('',#44496,#12198); #8958=LINE('',#44498,#12199); #8959=LINE('',#44500,#12200); #8960=LINE('',#44502,#12201); #8961=LINE('',#44504,#12202); #8962=LINE('',#44506,#12203); #8963=LINE('',#44508,#12204); #8964=LINE('',#44510,#12205); #8965=LINE('',#44512,#12206); #8966=LINE('',#44514,#12207); #8967=LINE('',#44516,#12208); #8968=LINE('',#44518,#12209); #8969=LINE('',#44520,#12210); #8970=LINE('',#44522,#12211); #8971=LINE('',#44524,#12212); #8972=LINE('',#44526,#12213); #8973=LINE('',#44528,#12214); #8974=LINE('',#44530,#12215); #8975=LINE('',#44532,#12216); #8976=LINE('',#44534,#12217); #8977=LINE('',#44537,#12218); #8978=LINE('',#44538,#12219); #8979=LINE('',#44540,#12220); #8980=LINE('',#44543,#12221); #8981=LINE('',#44545,#12222); #8982=LINE('',#44547,#12223); #8983=LINE('',#44549,#12224); #8984=LINE('',#44551,#12225); #8985=LINE('',#44553,#12226); #8986=LINE('',#44555,#12227); #8987=LINE('',#44557,#12228); #8988=LINE('',#44559,#12229); #8989=LINE('',#44561,#12230); #8990=LINE('',#44563,#12231); #8991=LINE('',#44565,#12232); #8992=LINE('',#44567,#12233); #8993=LINE('',#44569,#12234); #8994=LINE('',#44571,#12235); #8995=LINE('',#44573,#12236); #8996=LINE('',#44575,#12237); #8997=LINE('',#44577,#12238); #8998=LINE('',#44579,#12239); #8999=LINE('',#44581,#12240); #9000=LINE('',#44583,#12241); #9001=LINE('',#44585,#12242); #9002=LINE('',#44587,#12243); #9003=LINE('',#44589,#12244); #9004=LINE('',#44591,#12245); #9005=LINE('',#44593,#12246); #9006=LINE('',#44595,#12247); #9007=LINE('',#44597,#12248); #9008=LINE('',#44600,#12249); #9009=LINE('',#44601,#12250); #9010=LINE('',#44603,#12251); #9011=LINE('',#44606,#12252); #9012=LINE('',#44608,#12253); #9013=LINE('',#44610,#12254); #9014=LINE('',#44612,#12255); #9015=LINE('',#44614,#12256); #9016=LINE('',#44616,#12257); #9017=LINE('',#44618,#12258); #9018=LINE('',#44620,#12259); #9019=LINE('',#44622,#12260); #9020=LINE('',#44624,#12261); #9021=LINE('',#44626,#12262); #9022=LINE('',#44628,#12263); #9023=LINE('',#44630,#12264); #9024=LINE('',#44632,#12265); #9025=LINE('',#44634,#12266); #9026=LINE('',#44636,#12267); #9027=LINE('',#44638,#12268); #9028=LINE('',#44640,#12269); #9029=LINE('',#44642,#12270); #9030=LINE('',#44644,#12271); #9031=LINE('',#44646,#12272); #9032=LINE('',#44648,#12273); #9033=LINE('',#44650,#12274); #9034=LINE('',#44652,#12275); #9035=LINE('',#44654,#12276); #9036=LINE('',#44656,#12277); #9037=LINE('',#44658,#12278); #9038=LINE('',#44660,#12279); #9039=LINE('',#44663,#12280); #9040=LINE('',#44664,#12281); #9041=LINE('',#44666,#12282); #9042=LINE('',#44669,#12283); #9043=LINE('',#44671,#12284); #9044=LINE('',#44673,#12285); #9045=LINE('',#44675,#12286); #9046=LINE('',#44677,#12287); #9047=LINE('',#44679,#12288); #9048=LINE('',#44681,#12289); #9049=LINE('',#44683,#12290); #9050=LINE('',#44685,#12291); #9051=LINE('',#44687,#12292); #9052=LINE('',#44689,#12293); #9053=LINE('',#44691,#12294); #9054=LINE('',#44693,#12295); #9055=LINE('',#44695,#12296); #9056=LINE('',#44697,#12297); #9057=LINE('',#44699,#12298); #9058=LINE('',#44701,#12299); #9059=LINE('',#44703,#12300); #9060=LINE('',#44705,#12301); #9061=LINE('',#44707,#12302); #9062=LINE('',#44709,#12303); #9063=LINE('',#44711,#12304); #9064=LINE('',#44713,#12305); #9065=LINE('',#44715,#12306); #9066=LINE('',#44717,#12307); #9067=LINE('',#44719,#12308); #9068=LINE('',#44721,#12309); #9069=LINE('',#44723,#12310); #9070=LINE('',#44726,#12311); #9071=LINE('',#44727,#12312); #9072=LINE('',#44729,#12313); #9073=LINE('',#44732,#12314); #9074=LINE('',#44734,#12315); #9075=LINE('',#44736,#12316); #9076=LINE('',#44738,#12317); #9077=LINE('',#44740,#12318); #9078=LINE('',#44742,#12319); #9079=LINE('',#44744,#12320); #9080=LINE('',#44746,#12321); #9081=LINE('',#44748,#12322); #9082=LINE('',#44750,#12323); #9083=LINE('',#44752,#12324); #9084=LINE('',#44754,#12325); #9085=LINE('',#44756,#12326); #9086=LINE('',#44758,#12327); #9087=LINE('',#44760,#12328); #9088=LINE('',#44762,#12329); #9089=LINE('',#44764,#12330); #9090=LINE('',#44766,#12331); #9091=LINE('',#44768,#12332); #9092=LINE('',#44770,#12333); #9093=LINE('',#44772,#12334); #9094=LINE('',#44774,#12335); #9095=LINE('',#44776,#12336); #9096=LINE('',#44778,#12337); #9097=LINE('',#44780,#12338); #9098=LINE('',#44782,#12339); #9099=LINE('',#44784,#12340); #9100=LINE('',#44786,#12341); #9101=LINE('',#44789,#12342); #9102=LINE('',#44790,#12343); #9103=LINE('',#44792,#12344); #9104=LINE('',#44795,#12345); #9105=LINE('',#44797,#12346); #9106=LINE('',#44799,#12347); #9107=LINE('',#44801,#12348); #9108=LINE('',#44803,#12349); #9109=LINE('',#44805,#12350); #9110=LINE('',#44807,#12351); #9111=LINE('',#44809,#12352); #9112=LINE('',#44811,#12353); #9113=LINE('',#44813,#12354); #9114=LINE('',#44815,#12355); #9115=LINE('',#44817,#12356); #9116=LINE('',#44819,#12357); #9117=LINE('',#44821,#12358); #9118=LINE('',#44823,#12359); #9119=LINE('',#44825,#12360); #9120=LINE('',#44827,#12361); #9121=LINE('',#44829,#12362); #9122=LINE('',#44831,#12363); #9123=LINE('',#44833,#12364); #9124=LINE('',#44835,#12365); #9125=LINE('',#44837,#12366); #9126=LINE('',#44839,#12367); #9127=LINE('',#44841,#12368); #9128=LINE('',#44843,#12369); #9129=LINE('',#44845,#12370); #9130=LINE('',#44847,#12371); #9131=LINE('',#44849,#12372); #9132=LINE('',#44852,#12373); #9133=LINE('',#44853,#12374); #9134=LINE('',#44855,#12375); #9135=LINE('',#44858,#12376); #9136=LINE('',#44860,#12377); #9137=LINE('',#44862,#12378); #9138=LINE('',#44864,#12379); #9139=LINE('',#44866,#12380); #9140=LINE('',#44868,#12381); #9141=LINE('',#44870,#12382); #9142=LINE('',#44872,#12383); #9143=LINE('',#44874,#12384); #9144=LINE('',#44876,#12385); #9145=LINE('',#44878,#12386); #9146=LINE('',#44880,#12387); #9147=LINE('',#44882,#12388); #9148=LINE('',#44884,#12389); #9149=LINE('',#44886,#12390); #9150=LINE('',#44888,#12391); #9151=LINE('',#44890,#12392); #9152=LINE('',#44892,#12393); #9153=LINE('',#44894,#12394); #9154=LINE('',#44896,#12395); #9155=LINE('',#44898,#12396); #9156=LINE('',#44900,#12397); #9157=LINE('',#44902,#12398); #9158=LINE('',#44904,#12399); #9159=LINE('',#44906,#12400); #9160=LINE('',#44908,#12401); #9161=LINE('',#44910,#12402); #9162=LINE('',#44912,#12403); #9163=LINE('',#44915,#12404); #9164=LINE('',#44916,#12405); #9165=LINE('',#44918,#12406); #9166=LINE('',#44920,#12407); #9167=LINE('',#44922,#12408); #9168=LINE('',#44924,#12409); #9169=LINE('',#44927,#12410); #9170=LINE('',#44929,#12411); #9171=LINE('',#44931,#12412); #9172=LINE('',#44933,#12413); #9173=LINE('',#44935,#12414); #9174=LINE('',#44937,#12415); #9175=LINE('',#44939,#12416); #9176=LINE('',#44942,#12417); #9177=LINE('',#44943,#12418); #9178=LINE('',#44945,#12419); #9179=LINE('',#44948,#12420); #9180=LINE('',#44950,#12421); #9181=LINE('',#44952,#12422); #9182=LINE('',#44954,#12423); #9183=LINE('',#44956,#12424); #9184=LINE('',#44958,#12425); #9185=LINE('',#44960,#12426); #9186=LINE('',#44962,#12427); #9187=LINE('',#44964,#12428); #9188=LINE('',#44966,#12429); #9189=LINE('',#44968,#12430); #9190=LINE('',#44970,#12431); #9191=LINE('',#44972,#12432); #9192=LINE('',#44974,#12433); #9193=LINE('',#44976,#12434); #9194=LINE('',#44978,#12435); #9195=LINE('',#44980,#12436); #9196=LINE('',#44982,#12437); #9197=LINE('',#44984,#12438); #9198=LINE('',#44986,#12439); #9199=LINE('',#44988,#12440); #9200=LINE('',#44990,#12441); #9201=LINE('',#44992,#12442); #9202=LINE('',#44994,#12443); #9203=LINE('',#44996,#12444); #9204=LINE('',#44998,#12445); #9205=LINE('',#45000,#12446); #9206=LINE('',#45002,#12447); #9207=LINE('',#45004,#12448); #9208=LINE('',#45006,#12449); #9209=LINE('',#45008,#12450); #9210=LINE('',#45010,#12451); #9211=LINE('',#45012,#12452); #9212=LINE('',#45013,#12453); #9213=LINE('',#45015,#12454); #9214=LINE('',#45017,#12455); #9215=LINE('',#45019,#12456); #9216=LINE('',#45021,#12457); #9217=LINE('',#45023,#12458); #9218=LINE('',#45025,#12459); #9219=LINE('',#45027,#12460); #9220=LINE('',#45029,#12461); #9221=LINE('',#45031,#12462); #9222=LINE('',#45033,#12463); #9223=LINE('',#45035,#12464); #9224=LINE('',#45037,#12465); #9225=LINE('',#45039,#12466); #9226=LINE('',#45041,#12467); #9227=LINE('',#45043,#12468); #9228=LINE('',#45045,#12469); #9229=LINE('',#45047,#12470); #9230=LINE('',#45049,#12471); #9231=LINE('',#45051,#12472); #9232=LINE('',#45053,#12473); #9233=LINE('',#45055,#12474); #9234=LINE('',#45057,#12475); #9235=LINE('',#45059,#12476); #9236=LINE('',#45061,#12477); #9237=LINE('',#45063,#12478); #9238=LINE('',#45065,#12479); #9239=LINE('',#45067,#12480); #9240=LINE('',#45069,#12481); #9241=LINE('',#45071,#12482); #9242=LINE('',#45073,#12483); #9243=LINE('',#45075,#12484); #9244=LINE('',#45077,#12485); #9245=LINE('',#45079,#12486); #9246=LINE('',#45081,#12487); #9247=LINE('',#45083,#12488); #9248=LINE('',#45085,#12489); #9249=LINE('',#45086,#12490); #9250=LINE('',#45088,#12491); #9251=LINE('',#45090,#12492); #9252=LINE('',#45092,#12493); #9253=LINE('',#45094,#12494); #9254=LINE('',#45095,#12495); #9255=LINE('',#45097,#12496); #9256=LINE('',#45099,#12497); #9257=LINE('',#45102,#12498); #9258=LINE('',#45104,#12499); #9259=LINE('',#45106,#12500); #9260=LINE('',#45108,#12501); #9261=LINE('',#45110,#12502); #9262=LINE('',#45112,#12503); #9263=LINE('',#45114,#12504); #9264=LINE('',#45117,#12505); #9265=LINE('',#45119,#12506); #9266=LINE('',#45121,#12507); #9267=LINE('',#45123,#12508); #9268=LINE('',#45125,#12509); #9269=LINE('',#45127,#12510); #9270=LINE('',#45129,#12511); #9271=LINE('',#45132,#12512); #9272=LINE('',#45134,#12513); #9273=LINE('',#45136,#12514); #9274=LINE('',#45138,#12515); #9275=LINE('',#45140,#12516); #9276=LINE('',#45142,#12517); #9277=LINE('',#45144,#12518); #9278=LINE('',#45147,#12519); #9279=LINE('',#45149,#12520); #9280=LINE('',#45151,#12521); #9281=LINE('',#45153,#12522); #9282=LINE('',#45155,#12523); #9283=LINE('',#45157,#12524); #9284=LINE('',#45159,#12525); #9285=LINE('',#45162,#12526); #9286=LINE('',#45164,#12527); #9287=LINE('',#45166,#12528); #9288=LINE('',#45168,#12529); #9289=LINE('',#45170,#12530); #9290=LINE('',#45172,#12531); #9291=LINE('',#45174,#12532); #9292=LINE('',#45177,#12533); #9293=LINE('',#45179,#12534); #9294=LINE('',#45181,#12535); #9295=LINE('',#45183,#12536); #9296=LINE('',#45185,#12537); #9297=LINE('',#45187,#12538); #9298=LINE('',#45189,#12539); #9299=LINE('',#45192,#12540); #9300=LINE('',#45194,#12541); #9301=LINE('',#45196,#12542); #9302=LINE('',#45198,#12543); #9303=LINE('',#45200,#12544); #9304=LINE('',#45202,#12545); #9305=LINE('',#45204,#12546); #9306=LINE('',#45207,#12547); #9307=LINE('',#45209,#12548); #9308=LINE('',#45211,#12549); #9309=LINE('',#45213,#12550); #9310=LINE('',#45215,#12551); #9311=LINE('',#45217,#12552); #9312=LINE('',#45219,#12553); #9313=LINE('',#45222,#12554); #9314=LINE('',#45224,#12555); #9315=LINE('',#45226,#12556); #9316=LINE('',#45228,#12557); #9317=LINE('',#45230,#12558); #9318=LINE('',#45232,#12559); #9319=LINE('',#45234,#12560); #9320=LINE('',#45237,#12561); #9321=LINE('',#45239,#12562); #9322=LINE('',#45241,#12563); #9323=LINE('',#45243,#12564); #9324=LINE('',#45245,#12565); #9325=LINE('',#45247,#12566); #9326=LINE('',#45249,#12567); #9327=LINE('',#45252,#12568); #9328=LINE('',#45254,#12569); #9329=LINE('',#45256,#12570); #9330=LINE('',#45258,#12571); #9331=LINE('',#45260,#12572); #9332=LINE('',#45262,#12573); #9333=LINE('',#45264,#12574); #9334=LINE('',#45267,#12575); #9335=LINE('',#45269,#12576); #9336=LINE('',#45271,#12577); #9337=LINE('',#45273,#12578); #9338=LINE('',#45275,#12579); #9339=LINE('',#45277,#12580); #9340=LINE('',#45279,#12581); #9341=LINE('',#45282,#12582); #9342=LINE('',#45284,#12583); #9343=LINE('',#45286,#12584); #9344=LINE('',#45288,#12585); #9345=LINE('',#45290,#12586); #9346=LINE('',#45292,#12587); #9347=LINE('',#45294,#12588); #9348=LINE('',#45297,#12589); #9349=LINE('',#45299,#12590); #9350=LINE('',#45301,#12591); #9351=LINE('',#45303,#12592); #9352=LINE('',#45305,#12593); #9353=LINE('',#45307,#12594); #9354=LINE('',#45309,#12595); #9355=LINE('',#45312,#12596); #9356=LINE('',#45314,#12597); #9357=LINE('',#45316,#12598); #9358=LINE('',#45318,#12599); #9359=LINE('',#45320,#12600); #9360=LINE('',#45322,#12601); #9361=LINE('',#45324,#12602); #9362=LINE('',#45327,#12603); #9363=LINE('',#45329,#12604); #9364=LINE('',#45331,#12605); #9365=LINE('',#45333,#12606); #9366=LINE('',#45335,#12607); #9367=LINE('',#45337,#12608); #9368=LINE('',#45339,#12609); #9369=LINE('',#45341,#12610); #9370=LINE('',#45343,#12611); #9371=LINE('',#45345,#12612); #9372=LINE('',#45347,#12613); #9373=LINE('',#45349,#12614); #9374=LINE('',#45351,#12615); #9375=LINE('',#45353,#12616); #9376=LINE('',#45355,#12617); #9377=LINE('',#45357,#12618); #9378=LINE('',#45359,#12619); #9379=LINE('',#45361,#12620); #9380=LINE('',#45363,#12621); #9381=LINE('',#45365,#12622); #9382=LINE('',#45367,#12623); #9383=LINE('',#45369,#12624); #9384=LINE('',#45371,#12625); #9385=LINE('',#45373,#12626); #9386=LINE('',#45375,#12627); #9387=LINE('',#45377,#12628); #9388=LINE('',#45379,#12629); #9389=LINE('',#45381,#12630); #9390=LINE('',#45383,#12631); #9391=LINE('',#45385,#12632); #9392=LINE('',#45387,#12633); #9393=LINE('',#45389,#12634); #9394=LINE('',#45391,#12635); #9395=LINE('',#45392,#12636); #9396=LINE('',#45394,#12637); #9397=LINE('',#45396,#12638); #9398=LINE('',#45398,#12639); #9399=LINE('',#45400,#12640); #9400=LINE('',#45402,#12641); #9401=LINE('',#45404,#12642); #9402=LINE('',#45406,#12643); #9403=LINE('',#45408,#12644); #9404=LINE('',#45410,#12645); #9405=LINE('',#45412,#12646); #9406=LINE('',#45414,#12647); #9407=LINE('',#45416,#12648); #9408=LINE('',#45418,#12649); #9409=LINE('',#45420,#12650); #9410=LINE('',#45422,#12651); #9411=LINE('',#45423,#12652); #9412=LINE('',#45425,#12653); #9413=LINE('',#45427,#12654); #9414=LINE('',#45429,#12655); #9415=LINE('',#45431,#12656); #9416=LINE('',#45433,#12657); #9417=LINE('',#45435,#12658); #9418=LINE('',#45437,#12659); #9419=LINE('',#45439,#12660); #9420=LINE('',#45441,#12661); #9421=LINE('',#45443,#12662); #9422=LINE('',#45445,#12663); #9423=LINE('',#45447,#12664); #9424=LINE('',#45449,#12665); #9425=LINE('',#45451,#12666); #9426=LINE('',#45453,#12667); #9427=LINE('',#45454,#12668); #9428=LINE('',#45456,#12669); #9429=LINE('',#45458,#12670); #9430=LINE('',#45460,#12671); #9431=LINE('',#45462,#12672); #9432=LINE('',#45464,#12673); #9433=LINE('',#45466,#12674); #9434=LINE('',#45468,#12675); #9435=LINE('',#45470,#12676); #9436=LINE('',#45472,#12677); #9437=LINE('',#45474,#12678); #9438=LINE('',#45476,#12679); #9439=LINE('',#45478,#12680); #9440=LINE('',#45480,#12681); #9441=LINE('',#45482,#12682); #9442=LINE('',#45484,#12683); #9443=LINE('',#45485,#12684); #9444=LINE('',#45487,#12685); #9445=LINE('',#45489,#12686); #9446=LINE('',#45491,#12687); #9447=LINE('',#45493,#12688); #9448=LINE('',#45495,#12689); #9449=LINE('',#45497,#12690); #9450=LINE('',#45499,#12691); #9451=LINE('',#45501,#12692); #9452=LINE('',#45503,#12693); #9453=LINE('',#45505,#12694); #9454=LINE('',#45507,#12695); #9455=LINE('',#45509,#12696); #9456=LINE('',#45511,#12697); #9457=LINE('',#45513,#12698); #9458=LINE('',#45515,#12699); #9459=LINE('',#45516,#12700); #9460=LINE('',#45518,#12701); #9461=LINE('',#45520,#12702); #9462=LINE('',#45522,#12703); #9463=LINE('',#45524,#12704); #9464=LINE('',#45526,#12705); #9465=LINE('',#45528,#12706); #9466=LINE('',#45530,#12707); #9467=LINE('',#45532,#12708); #9468=LINE('',#45534,#12709); #9469=LINE('',#45536,#12710); #9470=LINE('',#45538,#12711); #9471=LINE('',#45540,#12712); #9472=LINE('',#45542,#12713); #9473=LINE('',#45544,#12714); #9474=LINE('',#45546,#12715); #9475=LINE('',#45547,#12716); #9476=LINE('',#45549,#12717); #9477=LINE('',#45551,#12718); #9478=LINE('',#45553,#12719); #9479=LINE('',#45555,#12720); #9480=LINE('',#45557,#12721); #9481=LINE('',#45559,#12722); #9482=LINE('',#45561,#12723); #9483=LINE('',#45563,#12724); #9484=LINE('',#45565,#12725); #9485=LINE('',#45567,#12726); #9486=LINE('',#45569,#12727); #9487=LINE('',#45571,#12728); #9488=LINE('',#45573,#12729); #9489=LINE('',#45575,#12730); #9490=LINE('',#45577,#12731); #9491=LINE('',#45578,#12732); #9492=LINE('',#45580,#12733); #9493=LINE('',#45582,#12734); #9494=LINE('',#45584,#12735); #9495=LINE('',#45586,#12736); #9496=LINE('',#45588,#12737); #9497=LINE('',#45590,#12738); #9498=LINE('',#45592,#12739); #9499=LINE('',#45594,#12740); #9500=LINE('',#45596,#12741); #9501=LINE('',#45598,#12742); #9502=LINE('',#45600,#12743); #9503=LINE('',#45602,#12744); #9504=LINE('',#45604,#12745); #9505=LINE('',#45606,#12746); #9506=LINE('',#45608,#12747); #9507=LINE('',#45610,#12748); #9508=LINE('',#45612,#12749); #9509=LINE('',#45614,#12750); #9510=LINE('',#45616,#12751); #9511=LINE('',#45618,#12752); #9512=LINE('',#45620,#12753); #9513=LINE('',#45622,#12754); #9514=LINE('',#45624,#12755); #9515=LINE('',#45626,#12756); #9516=LINE('',#45628,#12757); #9517=LINE('',#45630,#12758); #9518=LINE('',#45632,#12759); #9519=LINE('',#45633,#12760); #9520=LINE('',#45635,#12761); #9521=LINE('',#45637,#12762); #9522=LINE('',#45639,#12763); #9523=LINE('',#45641,#12764); #9524=LINE('',#45643,#12765); #9525=LINE('',#45645,#12766); #9526=LINE('',#45647,#12767); #9527=LINE('',#45649,#12768); #9528=LINE('',#45651,#12769); #9529=LINE('',#45653,#12770); #9530=LINE('',#45655,#12771); #9531=LINE('',#45657,#12772); #9532=LINE('',#45659,#12773); #9533=LINE('',#45661,#12774); #9534=LINE('',#45663,#12775); #9535=LINE('',#45664,#12776); #9536=LINE('',#45666,#12777); #9537=LINE('',#45668,#12778); #9538=LINE('',#45670,#12779); #9539=LINE('',#45672,#12780); #9540=LINE('',#45674,#12781); #9541=LINE('',#45676,#12782); #9542=LINE('',#45678,#12783); #9543=LINE('',#45680,#12784); #9544=LINE('',#45682,#12785); #9545=LINE('',#45684,#12786); #9546=LINE('',#45686,#12787); #9547=LINE('',#45688,#12788); #9548=LINE('',#45690,#12789); #9549=LINE('',#45692,#12790); #9550=LINE('',#45694,#12791); #9551=LINE('',#45695,#12792); #9552=LINE('',#45697,#12793); #9553=LINE('',#45699,#12794); #9554=LINE('',#45701,#12795); #9555=LINE('',#45703,#12796); #9556=LINE('',#45705,#12797); #9557=LINE('',#45707,#12798); #9558=LINE('',#45709,#12799); #9559=LINE('',#45711,#12800); #9560=LINE('',#45713,#12801); #9561=LINE('',#45715,#12802); #9562=LINE('',#45717,#12803); #9563=LINE('',#45719,#12804); #9564=LINE('',#45721,#12805); #9565=LINE('',#45723,#12806); #9566=LINE('',#45725,#12807); #9567=LINE('',#45726,#12808); #9568=LINE('',#45728,#12809); #9569=LINE('',#45730,#12810); #9570=LINE('',#45732,#12811); #9571=LINE('',#45734,#12812); #9572=LINE('',#45736,#12813); #9573=LINE('',#45738,#12814); #9574=LINE('',#45740,#12815); #9575=LINE('',#45742,#12816); #9576=LINE('',#45744,#12817); #9577=LINE('',#45746,#12818); #9578=LINE('',#45748,#12819); #9579=LINE('',#45750,#12820); #9580=LINE('',#45752,#12821); #9581=LINE('',#45754,#12822); #9582=LINE('',#45756,#12823); #9583=LINE('',#45757,#12824); #9584=LINE('',#45759,#12825); #9585=LINE('',#45761,#12826); #9586=LINE('',#45763,#12827); #9587=LINE('',#45765,#12828); #9588=LINE('',#45767,#12829); #9589=LINE('',#45769,#12830); #9590=LINE('',#45771,#12831); #9591=LINE('',#45773,#12832); #9592=LINE('',#45775,#12833); #9593=LINE('',#45777,#12834); #9594=LINE('',#45779,#12835); #9595=LINE('',#45781,#12836); #9596=LINE('',#45783,#12837); #9597=LINE('',#45785,#12838); #9598=LINE('',#45787,#12839); #9599=LINE('',#45788,#12840); #9600=LINE('',#45790,#12841); #9601=LINE('',#45792,#12842); #9602=LINE('',#45794,#12843); #9603=LINE('',#45796,#12844); #9604=LINE('',#45798,#12845); #9605=LINE('',#45800,#12846); #9606=LINE('',#45802,#12847); #9607=LINE('',#45804,#12848); #9608=LINE('',#45806,#12849); #9609=LINE('',#45808,#12850); #9610=LINE('',#45810,#12851); #9611=LINE('',#45812,#12852); #9612=LINE('',#45814,#12853); #9613=LINE('',#45816,#12854); #9614=LINE('',#45818,#12855); #9615=LINE('',#45819,#12856); #9616=LINE('',#45821,#12857); #9617=LINE('',#45822,#12858); #9618=LINE('',#45824,#12859); #9619=LINE('',#45826,#12860); #9620=LINE('',#45828,#12861); #9621=LINE('',#45831,#12862); #9622=LINE('',#45833,#12863); #9623=LINE('',#45835,#12864); #9624=LINE('',#45839,#12865); #9625=LINE('',#45843,#12866); #9626=LINE('',#45845,#12867); #9627=LINE('',#45847,#12868); #9628=LINE('',#45849,#12869); #9629=LINE('',#45852,#12870); #9630=LINE('',#45854,#12871); #9631=LINE('',#45856,#12872); #9632=LINE('',#45860,#12873); #9633=LINE('',#45864,#12874); #9634=LINE('',#45866,#12875); #9635=LINE('',#45868,#12876); #9636=LINE('',#45870,#12877); #9637=LINE('',#45873,#12878); #9638=LINE('',#45875,#12879); #9639=LINE('',#45877,#12880); #9640=LINE('',#45881,#12881); #9641=LINE('',#45885,#12882); #9642=LINE('',#45887,#12883); #9643=LINE('',#45889,#12884); #9644=LINE('',#45891,#12885); #9645=LINE('',#45894,#12886); #9646=LINE('',#45896,#12887); #9647=LINE('',#45898,#12888); #9648=LINE('',#45902,#12889); #9649=LINE('',#45906,#12890); #9650=LINE('',#45908,#12891); #9651=LINE('',#45910,#12892); #9652=LINE('',#45912,#12893); #9653=LINE('',#45915,#12894); #9654=LINE('',#45917,#12895); #9655=LINE('',#45919,#12896); #9656=LINE('',#45923,#12897); #9657=LINE('',#45927,#12898); #9658=LINE('',#45929,#12899); #9659=LINE('',#45931,#12900); #9660=LINE('',#45933,#12901); #9661=LINE('',#45936,#12902); #9662=LINE('',#45938,#12903); #9663=LINE('',#45940,#12904); #9664=LINE('',#45944,#12905); #9665=LINE('',#45948,#12906); #9666=LINE('',#45950,#12907); #9667=LINE('',#45952,#12908); #9668=LINE('',#45954,#12909); #9669=LINE('',#45957,#12910); #9670=LINE('',#45959,#12911); #9671=LINE('',#45961,#12912); #9672=LINE('',#45965,#12913); #9673=LINE('',#45969,#12914); #9674=LINE('',#45971,#12915); #9675=LINE('',#45973,#12916); #9676=LINE('',#45975,#12917); #9677=LINE('',#45978,#12918); #9678=LINE('',#45980,#12919); #9679=LINE('',#45982,#12920); #9680=LINE('',#45986,#12921); #9681=LINE('',#45990,#12922); #9682=LINE('',#45992,#12923); #9683=LINE('',#45994,#12924); #9684=LINE('',#45996,#12925); #9685=LINE('',#45999,#12926); #9686=LINE('',#46001,#12927); #9687=LINE('',#46003,#12928); #9688=LINE('',#46007,#12929); #9689=LINE('',#46011,#12930); #9690=LINE('',#46013,#12931); #9691=LINE('',#46015,#12932); #9692=LINE('',#46017,#12933); #9693=LINE('',#46020,#12934); #9694=LINE('',#46022,#12935); #9695=LINE('',#46024,#12936); #9696=LINE('',#46028,#12937); #9697=LINE('',#46032,#12938); #9698=LINE('',#46034,#12939); #9699=LINE('',#46036,#12940); #9700=LINE('',#46038,#12941); #9701=LINE('',#46041,#12942); #9702=LINE('',#46043,#12943); #9703=LINE('',#46045,#12944); #9704=LINE('',#46049,#12945); #9705=LINE('',#46053,#12946); #9706=LINE('',#46055,#12947); #9707=LINE('',#46057,#12948); #9708=LINE('',#46059,#12949); #9709=LINE('',#46062,#12950); #9710=LINE('',#46064,#12951); #9711=LINE('',#46066,#12952); #9712=LINE('',#46070,#12953); #9713=LINE('',#46074,#12954); #9714=LINE('',#46076,#12955); #9715=LINE('',#46078,#12956); #9716=LINE('',#46080,#12957); #9717=LINE('',#46083,#12958); #9718=LINE('',#46085,#12959); #9719=LINE('',#46087,#12960); #9720=LINE('',#46091,#12961); #9721=LINE('',#46095,#12962); #9722=LINE('',#46097,#12963); #9723=LINE('',#46099,#12964); #9724=LINE('',#46101,#12965); #9725=LINE('',#46104,#12966); #9726=LINE('',#46106,#12967); #9727=LINE('',#46108,#12968); #9728=LINE('',#46112,#12969); #9729=LINE('',#46116,#12970); #9730=LINE('',#46118,#12971); #9731=LINE('',#46120,#12972); #9732=LINE('',#46122,#12973); #9733=LINE('',#46125,#12974); #9734=LINE('',#46127,#12975); #9735=LINE('',#46129,#12976); #9736=LINE('',#46133,#12977); #9737=LINE('',#46137,#12978); #9738=LINE('',#46139,#12979); #9739=LINE('',#46141,#12980); #9740=LINE('',#46143,#12981); #9741=LINE('',#46146,#12982); #9742=LINE('',#46148,#12983); #9743=LINE('',#46150,#12984); #9744=LINE('',#46154,#12985); #9745=LINE('',#46158,#12986); #9746=LINE('',#46160,#12987); #9747=LINE('',#46162,#12988); #9748=LINE('',#46164,#12989); #9749=LINE('',#46166,#12990); #9750=LINE('',#46168,#12991); #9751=LINE('',#46170,#12992); #9752=LINE('',#46172,#12993); #9753=LINE('',#46174,#12994); #9754=LINE('',#46176,#12995); #9755=LINE('',#46178,#12996); #9756=LINE('',#46180,#12997); #9757=LINE('',#46182,#12998); #9758=LINE('',#46184,#12999); #9759=LINE('',#46186,#13000); #9760=LINE('',#46188,#13001); #9761=LINE('',#46190,#13002); #9762=LINE('',#46192,#13003); #9763=LINE('',#46194,#13004); #9764=LINE('',#46196,#13005); #9765=LINE('',#46198,#13006); #9766=LINE('',#46200,#13007); #9767=LINE('',#46202,#13008); #9768=LINE('',#46204,#13009); #9769=LINE('',#46206,#13010); #9770=LINE('',#46208,#13011); #9771=LINE('',#46210,#13012); #9772=LINE('',#46212,#13013); #9773=LINE('',#46214,#13014); #9774=LINE('',#46216,#13015); #9775=LINE('',#46218,#13016); #9776=LINE('',#46220,#13017); #9777=LINE('',#46222,#13018); #9778=LINE('',#46224,#13019); #9779=LINE('',#46226,#13020); #9780=LINE('',#46228,#13021); #9781=LINE('',#46230,#13022); #9782=LINE('',#46232,#13023); #9783=LINE('',#46234,#13024); #9784=LINE('',#46236,#13025); #9785=LINE('',#46238,#13026); #9786=LINE('',#46240,#13027); #9787=LINE('',#46242,#13028); #9788=LINE('',#46244,#13029); #9789=LINE('',#46246,#13030); #9790=LINE('',#46248,#13031); #9791=LINE('',#46250,#13032); #9792=LINE('',#46252,#13033); #9793=LINE('',#46254,#13034); #9794=LINE('',#46256,#13035); #9795=LINE('',#46258,#13036); #9796=LINE('',#46260,#13037); #9797=LINE('',#46262,#13038); #9798=LINE('',#46264,#13039); #9799=LINE('',#46266,#13040); #9800=LINE('',#46268,#13041); #9801=LINE('',#46270,#13042); #9802=LINE('',#46272,#13043); #9803=LINE('',#46274,#13044); #9804=LINE('',#46276,#13045); #9805=LINE('',#46278,#13046); #9806=LINE('',#46280,#13047); #9807=LINE('',#46282,#13048); #9808=LINE('',#46284,#13049); #9809=LINE('',#46286,#13050); #9810=LINE('',#46288,#13051); #9811=LINE('',#46290,#13052); #9812=LINE('',#46292,#13053); #9813=LINE('',#46294,#13054); #9814=LINE('',#46296,#13055); #9815=LINE('',#46298,#13056); #9816=LINE('',#46300,#13057); #9817=LINE('',#46302,#13058); #9818=LINE('',#46304,#13059); #9819=LINE('',#46306,#13060); #9820=LINE('',#46308,#13061); #9821=LINE('',#46310,#13062); #9822=LINE('',#46312,#13063); #9823=LINE('',#46314,#13064); #9824=LINE('',#46316,#13065); #9825=LINE('',#46318,#13066); #9826=LINE('',#46320,#13067); #9827=LINE('',#46322,#13068); #9828=LINE('',#46324,#13069); #9829=LINE('',#46326,#13070); #9830=LINE('',#46328,#13071); #9831=LINE('',#46330,#13072); #9832=LINE('',#46332,#13073); #9833=LINE('',#46334,#13074); #9834=LINE('',#46336,#13075); #9835=LINE('',#46338,#13076); #9836=LINE('',#46340,#13077); #9837=LINE('',#46342,#13078); #9838=LINE('',#46344,#13079); #9839=LINE('',#46346,#13080); #9840=LINE('',#46348,#13081); #9841=LINE('',#46350,#13082); #9842=LINE('',#46352,#13083); #9843=LINE('',#46354,#13084); #9844=LINE('',#46356,#13085); #9845=LINE('',#46359,#13086); #9846=LINE('',#46361,#13087); #9847=LINE('',#46363,#13088); #9848=LINE('',#46365,#13089); #9849=LINE('',#46367,#13090); #9850=LINE('',#46369,#13091); #9851=LINE('',#46371,#13092); #9852=LINE('',#46373,#13093); #9853=LINE('',#46375,#13094); #9854=LINE('',#46377,#13095); #9855=LINE('',#46379,#13096); #9856=LINE('',#46381,#13097); #9857=LINE('',#46383,#13098); #9858=LINE('',#46385,#13099); #9859=LINE('',#46387,#13100); #9860=LINE('',#46389,#13101); #9861=LINE('',#46391,#13102); #9862=LINE('',#46393,#13103); #9863=LINE('',#46395,#13104); #9864=LINE('',#46397,#13105); #9865=LINE('',#46399,#13106); #9866=LINE('',#46401,#13107); #9867=LINE('',#46403,#13108); #9868=LINE('',#46405,#13109); #9869=LINE('',#46407,#13110); #9870=LINE('',#46410,#13111); #9871=LINE('',#46412,#13112); #9872=LINE('',#46413,#13113); #9873=LINE('',#46415,#13114); #9874=LINE('',#46417,#13115); #9875=LINE('',#46419,#13116); #9876=LINE('',#46421,#13117); #9877=LINE('',#46427,#13118); #9878=LINE('',#46429,#13119); #9879=LINE('',#46431,#13120); #9880=LINE('',#46433,#13121); #9881=LINE('',#46435,#13122); #9882=LINE('',#46437,#13123); #9883=LINE('',#46439,#13124); #9884=LINE('',#46441,#13125); #9885=LINE('',#46443,#13126); #9886=LINE('',#46445,#13127); #9887=LINE('',#46447,#13128); #9888=LINE('',#46451,#13129); #9889=LINE('',#46453,#13130); #9890=LINE('',#46455,#13131); #9891=LINE('',#46459,#13132); #9892=LINE('',#46464,#13133); #9893=LINE('',#46468,#13134); #9894=LINE('',#46472,#13135); #9895=LINE('',#46476,#13136); #9896=LINE('',#46478,#13137); #9897=LINE('',#46479,#13138); #9898=LINE('',#46483,#13139); #9899=LINE('',#46485,#13140); #9900=LINE('',#46487,#13141); #9901=LINE('',#46491,#13142); #9902=LINE('',#46496,#13143); #9903=LINE('',#46500,#13144); #9904=LINE('',#46504,#13145); #9905=LINE('',#46508,#13146); #9906=LINE('',#46510,#13147); #9907=LINE('',#46511,#13148); #9908=LINE('',#46515,#13149); #9909=LINE('',#46517,#13150); #9910=LINE('',#46519,#13151); #9911=LINE('',#46523,#13152); #9912=LINE('',#46528,#13153); #9913=LINE('',#46532,#13154); #9914=LINE('',#46536,#13155); #9915=LINE('',#46540,#13156); #9916=LINE('',#46542,#13157); #9917=LINE('',#46543,#13158); #9918=LINE('',#46547,#13159); #9919=LINE('',#46549,#13160); #9920=LINE('',#46551,#13161); #9921=LINE('',#46555,#13162); #9922=LINE('',#46560,#13163); #9923=LINE('',#46564,#13164); #9924=LINE('',#46568,#13165); #9925=LINE('',#46572,#13166); #9926=LINE('',#46574,#13167); #9927=LINE('',#46575,#13168); #9928=LINE('',#46579,#13169); #9929=LINE('',#46581,#13170); #9930=LINE('',#46583,#13171); #9931=LINE('',#46587,#13172); #9932=LINE('',#46592,#13173); #9933=LINE('',#46596,#13174); #9934=LINE('',#46600,#13175); #9935=LINE('',#46604,#13176); #9936=LINE('',#46606,#13177); #9937=LINE('',#46607,#13178); #9938=LINE('',#46611,#13179); #9939=LINE('',#46613,#13180); #9940=LINE('',#46615,#13181); #9941=LINE('',#46619,#13182); #9942=LINE('',#46624,#13183); #9943=LINE('',#46628,#13184); #9944=LINE('',#46632,#13185); #9945=LINE('',#46636,#13186); #9946=LINE('',#46638,#13187); #9947=LINE('',#46639,#13188); #9948=LINE('',#46643,#13189); #9949=LINE('',#46645,#13190); #9950=LINE('',#46647,#13191); #9951=LINE('',#46651,#13192); #9952=LINE('',#46656,#13193); #9953=LINE('',#46660,#13194); #9954=LINE('',#46664,#13195); #9955=LINE('',#46668,#13196); #9956=LINE('',#46670,#13197); #9957=LINE('',#46671,#13198); #9958=LINE('',#46675,#13199); #9959=LINE('',#46677,#13200); #9960=LINE('',#46679,#13201); #9961=LINE('',#46683,#13202); #9962=LINE('',#46685,#13203); #9963=LINE('',#46690,#13204); #9964=LINE('',#46696,#13205); #9965=LINE('',#46700,#13206); #9966=LINE('',#46702,#13207); #9967=LINE('',#46703,#13208); #9968=LINE('',#46707,#13209); #9969=LINE('',#46709,#13210); #9970=LINE('',#46711,#13211); #9971=LINE('',#46715,#13212); #9972=LINE('',#46720,#13213); #9973=LINE('',#46724,#13214); #9974=LINE('',#46728,#13215); #9975=LINE('',#46732,#13216); #9976=LINE('',#46734,#13217); #9977=LINE('',#46735,#13218); #9978=LINE('',#46739,#13219); #9979=LINE('',#46741,#13220); #9980=LINE('',#46743,#13221); #9981=LINE('',#46747,#13222); #9982=LINE('',#46752,#13223); #9983=LINE('',#46756,#13224); #9984=LINE('',#46760,#13225); #9985=LINE('',#46764,#13226); #9986=LINE('',#46766,#13227); #9987=LINE('',#46767,#13228); #9988=LINE('',#46771,#13229); #9989=LINE('',#46773,#13230); #9990=LINE('',#46775,#13231); #9991=LINE('',#46779,#13232); #9992=LINE('',#46784,#13233); #9993=LINE('',#46788,#13234); #9994=LINE('',#46792,#13235); #9995=LINE('',#46796,#13236); #9996=LINE('',#46798,#13237); #9997=LINE('',#46799,#13238); #9998=LINE('',#46803,#13239); #9999=LINE('',#46805,#13240); #10000=LINE('',#46807,#13241); #10001=LINE('',#46811,#13242); #10002=LINE('',#46816,#13243); #10003=LINE('',#46820,#13244); #10004=LINE('',#46824,#13245); #10005=LINE('',#46828,#13246); #10006=LINE('',#46830,#13247); #10007=LINE('',#46831,#13248); #10008=LINE('',#46835,#13249); #10009=LINE('',#46837,#13250); #10010=LINE('',#46839,#13251); #10011=LINE('',#46843,#13252); #10012=LINE('',#46848,#13253); #10013=LINE('',#46852,#13254); #10014=LINE('',#46856,#13255); #10015=LINE('',#46860,#13256); #10016=LINE('',#46862,#13257); #10017=LINE('',#46863,#13258); #10018=LINE('',#46867,#13259); #10019=LINE('',#46869,#13260); #10020=LINE('',#46871,#13261); #10021=LINE('',#46875,#13262); #10022=LINE('',#46880,#13263); #10023=LINE('',#46884,#13264); #10024=LINE('',#46888,#13265); #10025=LINE('',#46892,#13266); #10026=LINE('',#46894,#13267); #10027=LINE('',#46895,#13268); #10028=LINE('',#46899,#13269); #10029=LINE('',#46901,#13270); #10030=LINE('',#46903,#13271); #10031=LINE('',#46907,#13272); #10032=LINE('',#46912,#13273); #10033=LINE('',#46916,#13274); #10034=LINE('',#46920,#13275); #10035=LINE('',#46924,#13276); #10036=LINE('',#46926,#13277); #10037=LINE('',#46927,#13278); #10038=LINE('',#46931,#13279); #10039=LINE('',#46933,#13280); #10040=LINE('',#46935,#13281); #10041=LINE('',#46939,#13282); #10042=LINE('',#46941,#13283); #10043=LINE('',#46943,#13284); #10044=LINE('',#46945,#13285); #10045=LINE('',#46946,#13286); #10046=LINE('',#46948,#13287); #10047=LINE('',#46951,#13288); #10048=LINE('',#46952,#13289); #10049=LINE('',#46954,#13290); #10050=LINE('',#46957,#13291); #10051=LINE('',#46958,#13292); #10052=LINE('',#46960,#13293); #10053=LINE('',#46962,#13294); #10054=LINE('',#46964,#13295); #10055=LINE('',#46970,#13296); #10056=LINE('',#46973,#13297); #10057=LINE('',#46975,#13298); #10058=LINE('',#46977,#13299); #10059=LINE('',#46979,#13300); #10060=LINE('',#46981,#13301); #10061=LINE('',#46983,#13302); #10062=LINE('',#46985,#13303); #10063=LINE('',#46987,#13304); #10064=LINE('',#46989,#13305); #10065=LINE('',#46991,#13306); #10066=LINE('',#46993,#13307); #10067=LINE('',#46995,#13308); #10068=LINE('',#46997,#13309); #10069=LINE('',#46999,#13310); #10070=LINE('',#47001,#13311); #10071=LINE('',#47003,#13312); #10072=LINE('',#47005,#13313); #10073=LINE('',#47007,#13314); #10074=LINE('',#47009,#13315); #10075=LINE('',#47011,#13316); #10076=LINE('',#47013,#13317); #10077=LINE('',#47015,#13318); #10078=LINE('',#47017,#13319); #10079=LINE('',#47019,#13320); #10080=LINE('',#47021,#13321); #10081=LINE('',#47024,#13322); #10082=LINE('',#47026,#13323); #10083=LINE('',#47028,#13324); #10084=LINE('',#47030,#13325); #10085=LINE('',#47031,#13326); #10086=LINE('',#47033,#13327); #10087=LINE('',#47035,#13328); #10088=LINE('',#47038,#13329); #10089=LINE('',#47040,#13330); #10090=LINE('',#47042,#13331); #10091=LINE('',#47044,#13332); #10092=LINE('',#47046,#13333); #10093=LINE('',#47048,#13334); #10094=LINE('',#47050,#13335); #10095=LINE('',#47052,#13336); #10096=LINE('',#47054,#13337); #10097=LINE('',#47056,#13338); #10098=LINE('',#47058,#13339); #10099=LINE('',#47061,#13340); #10100=LINE('',#47063,#13341); #10101=LINE('',#47065,#13342); #10102=LINE('',#47067,#13343); #10103=LINE('',#47069,#13344); #10104=LINE('',#47071,#13345); #10105=LINE('',#47073,#13346); #10106=LINE('',#47075,#13347); #10107=LINE('',#47077,#13348); #10108=LINE('',#47079,#13349); #10109=LINE('',#47081,#13350); #10110=LINE('',#47084,#13351); #10111=LINE('',#47086,#13352); #10112=LINE('',#47088,#13353); #10113=LINE('',#47090,#13354); #10114=LINE('',#47092,#13355); #10115=LINE('',#47094,#13356); #10116=LINE('',#47096,#13357); #10117=LINE('',#47098,#13358); #10118=LINE('',#47100,#13359); #10119=LINE('',#47102,#13360); #10120=LINE('',#47104,#13361); #10121=LINE('',#47107,#13362); #10122=LINE('',#47109,#13363); #10123=LINE('',#47111,#13364); #10124=LINE('',#47113,#13365); #10125=LINE('',#47115,#13366); #10126=LINE('',#47117,#13367); #10127=LINE('',#47119,#13368); #10128=LINE('',#47121,#13369); #10129=LINE('',#47123,#13370); #10130=LINE('',#47125,#13371); #10131=LINE('',#47127,#13372); #10132=LINE('',#47130,#13373); #10133=LINE('',#47132,#13374); #10134=LINE('',#47134,#13375); #10135=LINE('',#47136,#13376); #10136=LINE('',#47138,#13377); #10137=LINE('',#47140,#13378); #10138=LINE('',#47142,#13379); #10139=LINE('',#47144,#13380); #10140=LINE('',#47146,#13381); #10141=LINE('',#47148,#13382); #10142=LINE('',#47150,#13383); #10143=LINE('',#47153,#13384); #10144=LINE('',#47155,#13385); #10145=LINE('',#47157,#13386); #10146=LINE('',#47159,#13387); #10147=LINE('',#47161,#13388); #10148=LINE('',#47163,#13389); #10149=LINE('',#47165,#13390); #10150=LINE('',#47167,#13391); #10151=LINE('',#47169,#13392); #10152=LINE('',#47171,#13393); #10153=LINE('',#47173,#13394); #10154=LINE('',#47176,#13395); #10155=LINE('',#47178,#13396); #10156=LINE('',#47180,#13397); #10157=LINE('',#47182,#13398); #10158=LINE('',#47184,#13399); #10159=LINE('',#47186,#13400); #10160=LINE('',#47188,#13401); #10161=LINE('',#47190,#13402); #10162=LINE('',#47192,#13403); #10163=LINE('',#47194,#13404); #10164=LINE('',#47196,#13405); #10165=LINE('',#47199,#13406); #10166=LINE('',#47201,#13407); #10167=LINE('',#47203,#13408); #10168=LINE('',#47205,#13409); #10169=LINE('',#47207,#13410); #10170=LINE('',#47209,#13411); #10171=LINE('',#47211,#13412); #10172=LINE('',#47213,#13413); #10173=LINE('',#47215,#13414); #10174=LINE('',#47217,#13415); #10175=LINE('',#47219,#13416); #10176=LINE('',#47222,#13417); #10177=LINE('',#47224,#13418); #10178=LINE('',#47226,#13419); #10179=LINE('',#47228,#13420); #10180=LINE('',#47230,#13421); #10181=LINE('',#47232,#13422); #10182=LINE('',#47234,#13423); #10183=LINE('',#47236,#13424); #10184=LINE('',#47238,#13425); #10185=LINE('',#47240,#13426); #10186=LINE('',#47242,#13427); #10187=LINE('',#47245,#13428); #10188=LINE('',#47247,#13429); #10189=LINE('',#47249,#13430); #10190=LINE('',#47251,#13431); #10191=LINE('',#47253,#13432); #10192=LINE('',#47255,#13433); #10193=LINE('',#47257,#13434); #10194=LINE('',#47259,#13435); #10195=LINE('',#47261,#13436); #10196=LINE('',#47263,#13437); #10197=LINE('',#47265,#13438); #10198=LINE('',#47268,#13439); #10199=LINE('',#47270,#13440); #10200=LINE('',#47272,#13441); #10201=LINE('',#47274,#13442); #10202=LINE('',#47276,#13443); #10203=LINE('',#47278,#13444); #10204=LINE('',#47280,#13445); #10205=LINE('',#47282,#13446); #10206=LINE('',#47284,#13447); #10207=LINE('',#47286,#13448); #10208=LINE('',#47288,#13449); #10209=LINE('',#47291,#13450); #10210=LINE('',#47293,#13451); #10211=LINE('',#47295,#13452); #10212=LINE('',#47297,#13453); #10213=LINE('',#47299,#13454); #10214=LINE('',#47301,#13455); #10215=LINE('',#47303,#13456); #10216=LINE('',#47305,#13457); #10217=LINE('',#47307,#13458); #10218=LINE('',#47309,#13459); #10219=LINE('',#47311,#13460); #10220=LINE('',#47314,#13461); #10221=LINE('',#47316,#13462); #10222=LINE('',#47318,#13463); #10223=LINE('',#47320,#13464); #10224=LINE('',#47322,#13465); #10225=LINE('',#47324,#13466); #10226=LINE('',#47326,#13467); #10227=LINE('',#47328,#13468); #10228=LINE('',#47330,#13469); #10229=LINE('',#47332,#13470); #10230=LINE('',#47334,#13471); #10231=LINE('',#47337,#13472); #10232=LINE('',#47339,#13473); #10233=LINE('',#47341,#13474); #10234=LINE('',#47343,#13475); #10235=LINE('',#47345,#13476); #10236=LINE('',#47347,#13477); #10237=LINE('',#47349,#13478); #10238=LINE('',#47351,#13479); #10239=LINE('',#47353,#13480); #10240=LINE('',#47355,#13481); #10241=LINE('',#47357,#13482); #10242=LINE('',#47360,#13483); #10243=LINE('',#47362,#13484); #10244=LINE('',#47364,#13485); #10245=LINE('',#47366,#13486); #10246=LINE('',#47368,#13487); #10247=LINE('',#47370,#13488); #10248=LINE('',#47372,#13489); #10249=LINE('',#47374,#13490); #10250=LINE('',#47376,#13491); #10251=LINE('',#47378,#13492); #10252=LINE('',#47380,#13493); #10253=LINE('',#47383,#13494); #10254=LINE('',#47385,#13495); #10255=LINE('',#47387,#13496); #10256=LINE('',#47389,#13497); #10257=LINE('',#47391,#13498); #10258=LINE('',#47393,#13499); #10259=LINE('',#47395,#13500); #10260=LINE('',#47397,#13501); #10261=LINE('',#47399,#13502); #10262=LINE('',#47401,#13503); #10263=LINE('',#47403,#13504); #10264=LINE('',#47405,#13505); #10265=LINE('',#47407,#13506); #10266=LINE('',#47409,#13507); #10267=LINE('',#47411,#13508); #10268=LINE('',#47413,#13509); #10269=LINE('',#47415,#13510); #10270=LINE('',#47417,#13511); #10271=LINE('',#47419,#13512); #10272=LINE('',#47421,#13513); #10273=LINE('',#47423,#13514); #10274=LINE('',#47425,#13515); #10275=LINE('',#47427,#13516); #10276=LINE('',#47429,#13517); #10277=LINE('',#47431,#13518); #10278=LINE('',#47433,#13519); #10279=LINE('',#47435,#13520); #10280=LINE('',#47437,#13521); #10281=LINE('',#47439,#13522); #10282=LINE('',#47441,#13523); #10283=LINE('',#47443,#13524); #10284=LINE('',#47445,#13525); #10285=LINE('',#47447,#13526); #10286=LINE('',#47449,#13527); #10287=LINE('',#47451,#13528); #10288=LINE('',#47453,#13529); #10289=LINE('',#47455,#13530); #10290=LINE('',#47457,#13531); #10291=LINE('',#47459,#13532); #10292=LINE('',#47461,#13533); #10293=LINE('',#47463,#13534); #10294=LINE('',#47465,#13535); #10295=LINE('',#47467,#13536); #10296=LINE('',#47469,#13537); #10297=LINE('',#47471,#13538); #10298=LINE('',#47473,#13539); #10299=LINE('',#47475,#13540); #10300=LINE('',#47477,#13541); #10301=LINE('',#47479,#13542); #10302=LINE('',#47481,#13543); #10303=LINE('',#47483,#13544); #10304=LINE('',#47485,#13545); #10305=LINE('',#47487,#13546); #10306=LINE('',#47489,#13547); #10307=LINE('',#47491,#13548); #10308=LINE('',#47493,#13549); #10309=LINE('',#47495,#13550); #10310=LINE('',#47497,#13551); #10311=LINE('',#47499,#13552); #10312=LINE('',#47501,#13553); #10313=LINE('',#47503,#13554); #10314=LINE('',#47505,#13555); #10315=LINE('',#47507,#13556); #10316=LINE('',#47509,#13557); #10317=LINE('',#47511,#13558); #10318=LINE('',#47513,#13559); #10319=LINE('',#47515,#13560); #10320=LINE('',#47517,#13561); #10321=LINE('',#47519,#13562); #10322=LINE('',#47521,#13563); #10323=LINE('',#47523,#13564); #10324=LINE('',#47525,#13565); #10325=LINE('',#47527,#13566); #10326=LINE('',#47529,#13567); #10327=LINE('',#47531,#13568); #10328=LINE('',#47533,#13569); #10329=LINE('',#47536,#13570); #10330=LINE('',#47537,#13571); #10331=LINE('',#47539,#13572); #10332=LINE('',#47541,#13573); #10333=LINE('',#47543,#13574); #10334=LINE('',#47546,#13575); #10335=LINE('',#47548,#13576); #10336=LINE('',#47550,#13577); #10337=LINE('',#47551,#13578); #10338=LINE('',#47553,#13579); #10339=LINE('',#47555,#13580); #10340=LINE('',#47557,#13581); #10341=LINE('',#47559,#13582); #10342=LINE('',#47561,#13583); #10343=LINE('',#47563,#13584); #10344=LINE('',#47565,#13585); #10345=LINE('',#47567,#13586); #10346=LINE('',#47569,#13587); #10347=LINE('',#47571,#13588); #10348=LINE('',#47573,#13589); #10349=LINE('',#47575,#13590); #10350=LINE('',#47577,#13591); #10351=LINE('',#47579,#13592); #10352=LINE('',#47581,#13593); #10353=LINE('',#47583,#13594); #10354=LINE('',#47585,#13595); #10355=LINE('',#47587,#13596); #10356=LINE('',#47589,#13597); #10357=LINE('',#47591,#13598); #10358=LINE('',#47593,#13599); #10359=LINE('',#47595,#13600); #10360=LINE('',#47597,#13601); #10361=LINE('',#47599,#13602); #10362=LINE('',#47601,#13603); #10363=LINE('',#47603,#13604); #10364=LINE('',#47605,#13605); #10365=LINE('',#47607,#13606); #10366=LINE('',#47609,#13607); #10367=LINE('',#47611,#13608); #10368=LINE('',#47613,#13609); #10369=LINE('',#47615,#13610); #10370=LINE('',#47617,#13611); #10371=LINE('',#47619,#13612); #10372=LINE('',#47621,#13613); #10373=LINE('',#47623,#13614); #10374=LINE('',#47625,#13615); #10375=LINE('',#47627,#13616); #10376=LINE('',#47629,#13617); #10377=LINE('',#47631,#13618); #10378=LINE('',#47633,#13619); #10379=LINE('',#47635,#13620); #10380=LINE('',#47637,#13621); #10381=LINE('',#47639,#13622); #10382=LINE('',#47641,#13623); #10383=LINE('',#47643,#13624); #10384=LINE('',#47645,#13625); #10385=LINE('',#47647,#13626); #10386=LINE('',#47649,#13627); #10387=LINE('',#47651,#13628); #10388=LINE('',#47653,#13629); #10389=LINE('',#47655,#13630); #10390=LINE('',#47657,#13631); #10391=LINE('',#47659,#13632); #10392=LINE('',#47661,#13633); #10393=LINE('',#47663,#13634); #10394=LINE('',#47665,#13635); #10395=LINE('',#47667,#13636); #10396=LINE('',#47669,#13637); #10397=LINE('',#47671,#13638); #10398=LINE('',#47673,#13639); #10399=LINE('',#47675,#13640); #10400=LINE('',#47677,#13641); #10401=LINE('',#47679,#13642); #10402=LINE('',#47681,#13643); #10403=LINE('',#47683,#13644); #10404=LINE('',#47685,#13645); #10405=LINE('',#47688,#13646); #10406=LINE('',#47690,#13647); #10407=LINE('',#47692,#13648); #10408=LINE('',#47694,#13649); #10409=LINE('',#47696,#13650); #10410=LINE('',#47698,#13651); #10411=LINE('',#47700,#13652); #10412=LINE('',#47702,#13653); #10413=LINE('',#47704,#13654); #10414=LINE('',#47706,#13655); #10415=LINE('',#47708,#13656); #10416=LINE('',#47710,#13657); #10417=LINE('',#47712,#13658); #10418=LINE('',#47715,#13659); #10419=LINE('',#47717,#13660); #10420=LINE('',#47719,#13661); #10421=LINE('',#47721,#13662); #10422=LINE('',#47723,#13663); #10423=LINE('',#47725,#13664); #10424=LINE('',#47727,#13665); #10425=LINE('',#47729,#13666); #10426=LINE('',#47731,#13667); #10427=LINE('',#47733,#13668); #10428=LINE('',#47735,#13669); #10429=LINE('',#47737,#13670); #10430=LINE('',#47739,#13671); #10431=LINE('',#47742,#13672); #10432=LINE('',#47744,#13673); #10433=LINE('',#47746,#13674); #10434=LINE('',#47748,#13675); #10435=LINE('',#47750,#13676); #10436=LINE('',#47752,#13677); #10437=LINE('',#47754,#13678); #10438=LINE('',#47756,#13679); #10439=LINE('',#47758,#13680); #10440=LINE('',#47760,#13681); #10441=LINE('',#47762,#13682); #10442=LINE('',#47764,#13683); #10443=LINE('',#47766,#13684); #10444=LINE('',#47769,#13685); #10445=LINE('',#47771,#13686); #10446=LINE('',#47773,#13687); #10447=LINE('',#47775,#13688); #10448=LINE('',#47777,#13689); #10449=LINE('',#47779,#13690); #10450=LINE('',#47781,#13691); #10451=LINE('',#47783,#13692); #10452=LINE('',#47785,#13693); #10453=LINE('',#47787,#13694); #10454=LINE('',#47789,#13695); #10455=LINE('',#47791,#13696); #10456=LINE('',#47793,#13697); #10457=LINE('',#47796,#13698); #10458=LINE('',#47798,#13699); #10459=LINE('',#47800,#13700); #10460=LINE('',#47802,#13701); #10461=LINE('',#47804,#13702); #10462=LINE('',#47806,#13703); #10463=LINE('',#47808,#13704); #10464=LINE('',#47810,#13705); #10465=LINE('',#47812,#13706); #10466=LINE('',#47814,#13707); #10467=LINE('',#47816,#13708); #10468=LINE('',#47818,#13709); #10469=LINE('',#47820,#13710); #10470=LINE('',#47823,#13711); #10471=LINE('',#47825,#13712); #10472=LINE('',#47827,#13713); #10473=LINE('',#47829,#13714); #10474=LINE('',#47831,#13715); #10475=LINE('',#47833,#13716); #10476=LINE('',#47835,#13717); #10477=LINE('',#47837,#13718); #10478=LINE('',#47839,#13719); #10479=LINE('',#47841,#13720); #10480=LINE('',#47843,#13721); #10481=LINE('',#47845,#13722); #10482=LINE('',#47847,#13723); #10483=LINE('',#47850,#13724); #10484=LINE('',#47852,#13725); #10485=LINE('',#47854,#13726); #10486=LINE('',#47856,#13727); #10487=LINE('',#47858,#13728); #10488=LINE('',#47860,#13729); #10489=LINE('',#47862,#13730); #10490=LINE('',#47864,#13731); #10491=LINE('',#47866,#13732); #10492=LINE('',#47868,#13733); #10493=LINE('',#47870,#13734); #10494=LINE('',#47872,#13735); #10495=LINE('',#47874,#13736); #10496=LINE('',#47877,#13737); #10497=LINE('',#47879,#13738); #10498=LINE('',#47881,#13739); #10499=LINE('',#47883,#13740); #10500=LINE('',#47885,#13741); #10501=LINE('',#47887,#13742); #10502=LINE('',#47889,#13743); #10503=LINE('',#47891,#13744); #10504=LINE('',#47893,#13745); #10505=LINE('',#47895,#13746); #10506=LINE('',#47897,#13747); #10507=LINE('',#47899,#13748); #10508=LINE('',#47901,#13749); #10509=LINE('',#47904,#13750); #10510=LINE('',#47906,#13751); #10511=LINE('',#47908,#13752); #10512=LINE('',#47910,#13753); #10513=LINE('',#47913,#13754); #10514=LINE('',#47915,#13755); #10515=LINE('',#47917,#13756); #10516=LINE('',#47919,#13757); #10517=LINE('',#47921,#13758); #10518=LINE('',#47923,#13759); #10519=LINE('',#47925,#13760); #10520=LINE('',#47927,#13761); #10521=LINE('',#47929,#13762); #10522=LINE('',#47931,#13763); #10523=LINE('',#47933,#13764); #10524=LINE('',#47935,#13765); #10525=LINE('',#47937,#13766); #10526=LINE('',#47940,#13767); #10527=LINE('',#47942,#13768); #10528=LINE('',#47944,#13769); #10529=LINE('',#47946,#13770); #10530=LINE('',#47948,#13771); #10531=LINE('',#47950,#13772); #10532=LINE('',#47952,#13773); #10533=LINE('',#47954,#13774); #10534=LINE('',#47956,#13775); #10535=LINE('',#47958,#13776); #10536=LINE('',#47960,#13777); #10537=LINE('',#47962,#13778); #10538=LINE('',#47964,#13779); #10539=LINE('',#47967,#13780); #10540=LINE('',#47969,#13781); #10541=LINE('',#47971,#13782); #10542=LINE('',#47973,#13783); #10543=LINE('',#47975,#13784); #10544=LINE('',#47977,#13785); #10545=LINE('',#47979,#13786); #10546=LINE('',#47981,#13787); #10547=LINE('',#47983,#13788); #10548=LINE('',#47985,#13789); #10549=LINE('',#47987,#13790); #10550=LINE('',#47989,#13791); #10551=LINE('',#47991,#13792); #10552=LINE('',#47994,#13793); #10553=LINE('',#47996,#13794); #10554=LINE('',#47998,#13795); #10555=LINE('',#48000,#13796); #10556=LINE('',#48002,#13797); #10557=LINE('',#48004,#13798); #10558=LINE('',#48006,#13799); #10559=LINE('',#48008,#13800); #10560=LINE('',#48010,#13801); #10561=LINE('',#48012,#13802); #10562=LINE('',#48014,#13803); #10563=LINE('',#48016,#13804); #10564=LINE('',#48018,#13805); #10565=LINE('',#48021,#13806); #10566=LINE('',#48023,#13807); #10567=LINE('',#48025,#13808); #10568=LINE('',#48027,#13809); #10569=LINE('',#48029,#13810); #10570=LINE('',#48031,#13811); #10571=LINE('',#48033,#13812); #10572=LINE('',#48035,#13813); #10573=LINE('',#48037,#13814); #10574=LINE('',#48039,#13815); #10575=LINE('',#48041,#13816); #10576=LINE('',#48043,#13817); #10577=LINE('',#48045,#13818); #10578=LINE('',#48048,#13819); #10579=LINE('',#48050,#13820); #10580=LINE('',#48052,#13821); #10581=LINE('',#48054,#13822); #10582=LINE('',#48056,#13823); #10583=LINE('',#48058,#13824); #10584=LINE('',#48060,#13825); #10585=LINE('',#48062,#13826); #10586=LINE('',#48064,#13827); #10587=LINE('',#48066,#13828); #10588=LINE('',#48068,#13829); #10589=LINE('',#48070,#13830); #10590=LINE('',#48072,#13831); #10591=LINE('',#48075,#13832); #10592=LINE('',#48077,#13833); #10593=LINE('',#48079,#13834); #10594=LINE('',#48081,#13835); #10595=LINE('',#48083,#13836); #10596=LINE('',#48085,#13837); #10597=LINE('',#48087,#13838); #10598=LINE('',#48089,#13839); #10599=LINE('',#48091,#13840); #10600=LINE('',#48093,#13841); #10601=LINE('',#48095,#13842); #10602=LINE('',#48097,#13843); #10603=LINE('',#48099,#13844); #10604=LINE('',#48102,#13845); #10605=LINE('',#48104,#13846); #10606=LINE('',#48106,#13847); #10607=LINE('',#48108,#13848); #10608=LINE('',#48110,#13849); #10609=LINE('',#48112,#13850); #10610=LINE('',#48114,#13851); #10611=LINE('',#48116,#13852); #10612=LINE('',#48118,#13853); #10613=LINE('',#48120,#13854); #10614=LINE('',#48122,#13855); #10615=LINE('',#48124,#13856); #10616=LINE('',#48126,#13857); #10617=LINE('',#48128,#13858); #10618=LINE('',#48129,#13859); #10619=LINE('',#48131,#13860); #10620=LINE('',#48132,#13861); #10621=LINE('',#48134,#13862); #10622=LINE('',#48135,#13863); #10623=LINE('',#48137,#13864); #10624=LINE('',#48138,#13865); #10625=LINE('',#48140,#13866); #10626=LINE('',#48141,#13867); #10627=LINE('',#48143,#13868); #10628=LINE('',#48144,#13869); #10629=LINE('',#48146,#13870); #10630=LINE('',#48147,#13871); #10631=LINE('',#48149,#13872); #10632=LINE('',#48150,#13873); #10633=LINE('',#48152,#13874); #10634=LINE('',#48153,#13875); #10635=LINE('',#48155,#13876); #10636=LINE('',#48156,#13877); #10637=LINE('',#48158,#13878); #10638=LINE('',#48159,#13879); #10639=LINE('',#48161,#13880); #10640=LINE('',#48162,#13881); #10641=LINE('',#48164,#13882); #10642=LINE('',#48165,#13883); #10643=LINE('',#48167,#13884); #10644=LINE('',#48168,#13885); #10645=LINE('',#48170,#13886); #10646=LINE('',#48171,#13887); #10647=LINE('',#48173,#13888); #10648=LINE('',#48174,#13889); #10649=LINE('',#48175,#13890); #10650=LINE('',#48177,#13891); #10651=LINE('',#48178,#13892); #10652=LINE('',#48180,#13893); #10653=LINE('',#48181,#13894); #10654=LINE('',#48183,#13895); #10655=LINE('',#48184,#13896); #10656=LINE('',#48186,#13897); #10657=LINE('',#48187,#13898); #10658=LINE('',#48189,#13899); #10659=LINE('',#48190,#13900); #10660=LINE('',#48192,#13901); #10661=LINE('',#48193,#13902); #10662=LINE('',#48195,#13903); #10663=LINE('',#48196,#13904); #10664=LINE('',#48198,#13905); #10665=LINE('',#48199,#13906); #10666=LINE('',#48201,#13907); #10667=LINE('',#48202,#13908); #10668=LINE('',#48204,#13909); #10669=LINE('',#48205,#13910); #10670=LINE('',#48207,#13911); #10671=LINE('',#48208,#13912); #10672=LINE('',#48210,#13913); #10673=LINE('',#48211,#13914); #10674=LINE('',#48213,#13915); #10675=LINE('',#48214,#13916); #10676=LINE('',#48216,#13917); #10677=LINE('',#48217,#13918); #10678=LINE('',#48219,#13919); #10679=LINE('',#48220,#13920); #10680=LINE('',#48222,#13921); #10681=LINE('',#48224,#13922); #10682=LINE('',#48226,#13923); #10683=LINE('',#48228,#13924); #10684=LINE('',#48229,#13925); #10685=LINE('',#48231,#13926); #10686=LINE('',#48232,#13927); #10687=LINE('',#48234,#13928); #10688=LINE('',#48235,#13929); #10689=LINE('',#48237,#13930); #10690=LINE('',#48238,#13931); #10691=LINE('',#48240,#13932); #10692=LINE('',#48241,#13933); #10693=LINE('',#48243,#13934); #10694=LINE('',#48244,#13935); #10695=LINE('',#48246,#13936); #10696=LINE('',#48247,#13937); #10697=LINE('',#48249,#13938); #10698=LINE('',#48250,#13939); #10699=LINE('',#48252,#13940); #10700=LINE('',#48253,#13941); #10701=LINE('',#48255,#13942); #10702=LINE('',#48256,#13943); #10703=LINE('',#48258,#13944); #10704=LINE('',#48259,#13945); #10705=LINE('',#48261,#13946); #10706=LINE('',#48262,#13947); #10707=LINE('',#48264,#13948); #10708=LINE('',#48265,#13949); #10709=LINE('',#48267,#13950); #10710=LINE('',#48268,#13951); #10711=LINE('',#48270,#13952); #10712=LINE('',#48271,#13953); #10713=LINE('',#48273,#13954); #10714=LINE('',#48274,#13955); #10715=LINE('',#48275,#13956); #10716=LINE('',#48277,#13957); #10717=LINE('',#48278,#13958); #10718=LINE('',#48280,#13959); #10719=LINE('',#48281,#13960); #10720=LINE('',#48283,#13961); #10721=LINE('',#48284,#13962); #10722=LINE('',#48286,#13963); #10723=LINE('',#48287,#13964); #10724=LINE('',#48289,#13965); #10725=LINE('',#48290,#13966); #10726=LINE('',#48292,#13967); #10727=LINE('',#48293,#13968); #10728=LINE('',#48295,#13969); #10729=LINE('',#48296,#13970); #10730=LINE('',#48298,#13971); #10731=LINE('',#48299,#13972); #10732=LINE('',#48301,#13973); #10733=LINE('',#48302,#13974); #10734=LINE('',#48304,#13975); #10735=LINE('',#48305,#13976); #10736=LINE('',#48307,#13977); #10737=LINE('',#48308,#13978); #10738=LINE('',#48310,#13979); #10739=LINE('',#48311,#13980); #10740=LINE('',#48313,#13981); #10741=LINE('',#48314,#13982); #10742=LINE('',#48316,#13983); #10743=LINE('',#48317,#13984); #10744=LINE('',#48319,#13985); #10745=LINE('',#48320,#13986); #10746=LINE('',#48322,#13987); #10747=LINE('',#48324,#13988); #10748=LINE('',#48326,#13989); #10749=LINE('',#48328,#13990); #10750=LINE('',#48333,#13991); #10751=LINE('',#48339,#13992); #10752=LINE('',#48341,#13993); #10753=LINE('',#48343,#13994); #10754=LINE('',#48345,#13995); #10755=LINE('',#48347,#13996); #10756=LINE('',#48349,#13997); #10757=LINE('',#48351,#13998); #10758=LINE('',#48353,#13999); #10759=LINE('',#48355,#14000); #10760=LINE('',#48357,#14001); #10761=LINE('',#48359,#14002); #10762=LINE('',#48361,#14003); #10763=LINE('',#48363,#14004); #10764=LINE('',#48365,#14005); #10765=LINE('',#48367,#14006); #10766=LINE('',#48369,#14007); #10767=LINE('',#48371,#14008); #10768=LINE('',#48373,#14009); #10769=LINE('',#48375,#14010); #10770=LINE('',#48377,#14011); #10771=LINE('',#48379,#14012); #10772=LINE('',#48381,#14013); #10773=LINE('',#48383,#14014); #10774=LINE('',#48385,#14015); #10775=LINE('',#48387,#14016); #10776=LINE('',#48391,#14017); #10777=LINE('',#48397,#14018); #10778=LINE('',#48402,#14019); #10779=LINE('',#48404,#14020); #10780=LINE('',#48406,#14021); #10781=LINE('',#48408,#14022); #10782=LINE('',#48410,#14023); #10783=LINE('',#48412,#14024); #10784=LINE('',#48416,#14025); #10785=LINE('',#48420,#14026); #10786=LINE('',#48422,#14027); #10787=LINE('',#48424,#14028); #10788=LINE('',#48426,#14029); #10789=LINE('',#48428,#14030); #10790=LINE('',#48432,#14031); #10791=LINE('',#48436,#14032); #10792=LINE('',#48438,#14033); #10793=LINE('',#48440,#14034); #10794=LINE('',#48442,#14035); #10795=LINE('',#48444,#14036); #10796=LINE('',#48448,#14037); #10797=LINE('',#48452,#14038); #10798=LINE('',#48454,#14039); #10799=LINE('',#48456,#14040); #10800=LINE('',#48458,#14041); #10801=LINE('',#48460,#14042); #10802=LINE('',#48464,#14043); #10803=LINE('',#48468,#14044); #10804=LINE('',#48470,#14045); #10805=LINE('',#48472,#14046); #10806=LINE('',#48474,#14047); #10807=LINE('',#48476,#14048); #10808=LINE('',#48480,#14049); #10809=LINE('',#48484,#14050); #10810=LINE('',#48486,#14051); #10811=LINE('',#48488,#14052); #10812=LINE('',#48490,#14053); #10813=LINE('',#48492,#14054); #10814=LINE('',#48496,#14055); #10815=LINE('',#48500,#14056); #10816=LINE('',#48502,#14057); #10817=LINE('',#48504,#14058); #10818=LINE('',#48506,#14059); #10819=LINE('',#48508,#14060); #10820=LINE('',#48512,#14061); #10821=LINE('',#48516,#14062); #10822=LINE('',#48518,#14063); #10823=LINE('',#48520,#14064); #10824=LINE('',#48522,#14065); #10825=LINE('',#48524,#14066); #10826=LINE('',#48528,#14067); #10827=LINE('',#48532,#14068); #10828=LINE('',#48534,#14069); #10829=LINE('',#48536,#14070); #10830=LINE('',#48538,#14071); #10831=LINE('',#48540,#14072); #10832=LINE('',#48544,#14073); #10833=LINE('',#48548,#14074); #10834=LINE('',#48550,#14075); #10835=LINE('',#48552,#14076); #10836=LINE('',#48554,#14077); #10837=LINE('',#48556,#14078); #10838=LINE('',#48560,#14079); #10839=LINE('',#48564,#14080); #10840=LINE('',#48566,#14081); #10841=LINE('',#48568,#14082); #10842=LINE('',#48570,#14083); #10843=LINE('',#48572,#14084); #10844=LINE('',#48576,#14085); #10845=LINE('',#48580,#14086); #10846=LINE('',#48582,#14087); #10847=LINE('',#48584,#14088); #10848=LINE('',#48586,#14089); #10849=LINE('',#48588,#14090); #10850=LINE('',#48592,#14091); #10851=LINE('',#48596,#14092); #10852=LINE('',#48598,#14093); #10853=LINE('',#48600,#14094); #10854=LINE('',#48602,#14095); #10855=LINE('',#48604,#14096); #10856=LINE('',#48608,#14097); #10857=LINE('',#48612,#14098); #10858=LINE('',#48614,#14099); #10859=LINE('',#48616,#14100); #10860=LINE('',#48618,#14101); #10861=LINE('',#48620,#14102); #10862=LINE('',#48624,#14103); #10863=LINE('',#48628,#14104); #10864=LINE('',#48630,#14105); #10865=LINE('',#48632,#14106); #10866=LINE('',#48634,#14107); #10867=LINE('',#48636,#14108); #10868=LINE('',#48640,#14109); #10869=LINE('',#48644,#14110); #10870=LINE('',#48646,#14111); #10871=LINE('',#48648,#14112); #10872=LINE('',#48650,#14113); #10873=LINE('',#48652,#14114); #10874=LINE('',#48656,#14115); #10875=LINE('',#48664,#14116); #10876=LINE('',#48666,#14117); #10877=LINE('',#48668,#14118); #10878=LINE('',#48670,#14119); #10879=LINE('',#48672,#14120); #10880=LINE('',#48675,#14121); #10881=LINE('',#48677,#14122); #10882=LINE('',#48679,#14123); #10883=LINE('',#48683,#14124); #10884=LINE('',#48687,#14125); #10885=LINE('',#48690,#14126); #10886=LINE('',#48691,#14127); #10887=LINE('',#48693,#14128); #10888=LINE('',#48695,#14129); #10889=LINE('',#48697,#14130); #10890=LINE('',#48699,#14131); #10891=LINE('',#48701,#14132); #10892=LINE('',#48703,#14133); #10893=LINE('',#48705,#14134); #10894=LINE('',#48707,#14135); #10895=LINE('',#48709,#14136); #10896=LINE('',#48711,#14137); #10897=LINE('',#48714,#14138); #10898=LINE('',#48715,#14139); #10899=LINE('',#48717,#14140); #10900=LINE('',#48719,#14141); #10901=LINE('',#48721,#14142); #10902=LINE('',#48723,#14143); #10903=LINE('',#48725,#14144); #10904=LINE('',#48727,#14145); #10905=LINE('',#48729,#14146); #10906=LINE('',#48731,#14147); #10907=LINE('',#48733,#14148); #10908=LINE('',#48735,#14149); #10909=LINE('',#48737,#14150); #10910=LINE('',#48739,#14151); #10911=LINE('',#48741,#14152); #10912=LINE('',#48743,#14153); #10913=LINE('',#48745,#14154); #10914=LINE('',#48747,#14155); #10915=LINE('',#48749,#14156); #10916=LINE('',#48751,#14157); #10917=LINE('',#48753,#14158); #10918=LINE('',#48755,#14159); #10919=LINE('',#48757,#14160); #10920=LINE('',#48759,#14161); #10921=LINE('',#48761,#14162); #10922=LINE('',#48763,#14163); #10923=LINE('',#48765,#14164); #10924=LINE('',#48767,#14165); #10925=LINE('',#48769,#14166); #10926=LINE('',#48771,#14167); #10927=LINE('',#48773,#14168); #10928=LINE('',#48775,#14169); #10929=LINE('',#48777,#14170); #10930=LINE('',#48779,#14171); #10931=LINE('',#48781,#14172); #10932=LINE('',#48783,#14173); #10933=LINE('',#48785,#14174); #10934=LINE('',#48787,#14175); #10935=LINE('',#48789,#14176); #10936=LINE('',#48791,#14177); #10937=LINE('',#48793,#14178); #10938=LINE('',#48795,#14179); #10939=LINE('',#48797,#14180); #10940=LINE('',#48799,#14181); #10941=LINE('',#48801,#14182); #10942=LINE('',#48803,#14183); #10943=LINE('',#48805,#14184); #10944=LINE('',#48807,#14185); #10945=LINE('',#48809,#14186); #10946=LINE('',#48811,#14187); #10947=LINE('',#48813,#14188); #10948=LINE('',#48815,#14189); #10949=LINE('',#48817,#14190); #10950=LINE('',#48819,#14191); #10951=LINE('',#48821,#14192); #10952=LINE('',#48823,#14193); #10953=LINE('',#48825,#14194); #10954=LINE('',#48827,#14195); #10955=LINE('',#48829,#14196); #10956=LINE('',#48831,#14197); #10957=LINE('',#48833,#14198); #10958=LINE('',#48835,#14199); #10959=LINE('',#48837,#14200); #10960=LINE('',#48839,#14201); #10961=LINE('',#48841,#14202); #10962=LINE('',#48843,#14203); #10963=LINE('',#48845,#14204); #10964=LINE('',#48847,#14205); #10965=LINE('',#48849,#14206); #10966=LINE('',#48851,#14207); #10967=LINE('',#48853,#14208); #10968=LINE('',#48855,#14209); #10969=LINE('',#48857,#14210); #10970=LINE('',#48859,#14211); #10971=LINE('',#48861,#14212); #10972=LINE('',#48863,#14213); #10973=LINE('',#48865,#14214); #10974=LINE('',#48867,#14215); #10975=LINE('',#48869,#14216); #10976=LINE('',#48871,#14217); #10977=LINE('',#48873,#14218); #10978=LINE('',#48875,#14219); #10979=LINE('',#48877,#14220); #10980=LINE('',#48879,#14221); #10981=LINE('',#48881,#14222); #10982=LINE('',#48883,#14223); #10983=LINE('',#48885,#14224); #10984=LINE('',#48887,#14225); #10985=LINE('',#48889,#14226); #10986=LINE('',#48891,#14227); #10987=LINE('',#48893,#14228); #10988=LINE('',#48895,#14229); #10989=LINE('',#48897,#14230); #10990=LINE('',#48899,#14231); #10991=LINE('',#48901,#14232); #10992=LINE('',#48903,#14233); #10993=LINE('',#48905,#14234); #10994=LINE('',#48907,#14235); #10995=LINE('',#48909,#14236); #10996=LINE('',#48911,#14237); #10997=LINE('',#48913,#14238); #10998=LINE('',#48915,#14239); #10999=LINE('',#48917,#14240); #11000=LINE('',#48919,#14241); #11001=LINE('',#48921,#14242); #11002=LINE('',#48923,#14243); #11003=LINE('',#48925,#14244); #11004=LINE('',#48927,#14245); #11005=LINE('',#48929,#14246); #11006=LINE('',#48931,#14247); #11007=LINE('',#48933,#14248); #11008=LINE('',#48935,#14249); #11009=LINE('',#48937,#14250); #11010=LINE('',#48939,#14251); #11011=LINE('',#48941,#14252); #11012=LINE('',#48943,#14253); #11013=LINE('',#48945,#14254); #11014=LINE('',#48947,#14255); #11015=LINE('',#48949,#14256); #11016=LINE('',#48951,#14257); #11017=LINE('',#48953,#14258); #11018=LINE('',#48955,#14259); #11019=LINE('',#48957,#14260); #11020=LINE('',#48959,#14261); #11021=LINE('',#48961,#14262); #11022=LINE('',#48963,#14263); #11023=LINE('',#48965,#14264); #11024=LINE('',#48967,#14265); #11025=LINE('',#48969,#14266); #11026=LINE('',#48971,#14267); #11027=LINE('',#48973,#14268); #11028=LINE('',#48975,#14269); #11029=LINE('',#48977,#14270); #11030=LINE('',#48979,#14271); #11031=LINE('',#48981,#14272); #11032=LINE('',#48983,#14273); #11033=LINE('',#48985,#14274); #11034=LINE('',#48987,#14275); #11035=LINE('',#48989,#14276); #11036=LINE('',#48991,#14277); #11037=LINE('',#48993,#14278); #11038=LINE('',#48994,#14279); #11039=LINE('',#48996,#14280); #11040=LINE('',#48998,#14281); #11041=LINE('',#48999,#14282); #11042=LINE('',#49001,#14283); #11043=LINE('',#49003,#14284); #11044=LINE('',#49005,#14285); #11045=LINE('',#49007,#14286); #11046=LINE('',#49009,#14287); #11047=LINE('',#49011,#14288); #11048=LINE('',#49013,#14289); #11049=LINE('',#49015,#14290); #11050=LINE('',#49017,#14291); #11051=LINE('',#49019,#14292); #11052=LINE('',#49021,#14293); #11053=LINE('',#49023,#14294); #11054=LINE('',#49025,#14295); #11055=LINE('',#49027,#14296); #11056=LINE('',#49029,#14297); #11057=LINE('',#49031,#14298); #11058=LINE('',#49033,#14299); #11059=LINE('',#49035,#14300); #11060=LINE('',#49037,#14301); #11061=LINE('',#49039,#14302); #11062=LINE('',#49041,#14303); #11063=LINE('',#49043,#14304); #11064=LINE('',#49045,#14305); #11065=LINE('',#49047,#14306); #11066=LINE('',#49049,#14307); #11067=LINE('',#49051,#14308); #11068=LINE('',#49053,#14309); #11069=LINE('',#49055,#14310); #11070=LINE('',#49057,#14311); #11071=LINE('',#49059,#14312); #11072=LINE('',#49061,#14313); #11073=LINE('',#49063,#14314); #11074=LINE('',#49065,#14315); #11075=LINE('',#49067,#14316); #11076=LINE('',#49069,#14317); #11077=LINE('',#49071,#14318); #11078=LINE('',#49073,#14319); #11079=LINE('',#49075,#14320); #11080=LINE('',#49077,#14321); #11081=LINE('',#49079,#14322); #11082=LINE('',#49081,#14323); #11083=LINE('',#49083,#14324); #11084=LINE('',#49085,#14325); #11085=LINE('',#49087,#14326); #11086=LINE('',#49089,#14327); #11087=LINE('',#49091,#14328); #11088=LINE('',#49093,#14329); #11089=LINE('',#49095,#14330); #11090=LINE('',#49097,#14331); #11091=LINE('',#49099,#14332); #11092=LINE('',#49101,#14333); #11093=LINE('',#49103,#14334); #11094=LINE('',#49105,#14335); #11095=LINE('',#49107,#14336); #11096=LINE('',#49109,#14337); #11097=LINE('',#49111,#14338); #11098=LINE('',#49113,#14339); #11099=LINE('',#49115,#14340); #11100=LINE('',#49117,#14341); #11101=LINE('',#49119,#14342); #11102=LINE('',#49121,#14343); #11103=LINE('',#49123,#14344); #11104=LINE('',#49125,#14345); #11105=LINE('',#49127,#14346); #11106=LINE('',#49129,#14347); #11107=LINE('',#49131,#14348); #11108=LINE('',#49133,#14349); #11109=LINE('',#49135,#14350); #11110=LINE('',#49137,#14351); #11111=LINE('',#49139,#14352); #11112=LINE('',#49141,#14353); #11113=LINE('',#49143,#14354); #11114=LINE('',#49145,#14355); #11115=LINE('',#49147,#14356); #11116=LINE('',#49149,#14357); #11117=LINE('',#49151,#14358); #11118=LINE('',#49153,#14359); #11119=LINE('',#49155,#14360); #11120=LINE('',#49157,#14361); #11121=LINE('',#49159,#14362); #11122=LINE('',#49161,#14363); #11123=LINE('',#49163,#14364); #11124=LINE('',#49165,#14365); #11125=LINE('',#49167,#14366); #11126=LINE('',#49169,#14367); #11127=LINE('',#49171,#14368); #11128=LINE('',#49173,#14369); #11129=LINE('',#49175,#14370); #11130=LINE('',#49177,#14371); #11131=LINE('',#49179,#14372); #11132=LINE('',#49181,#14373); #11133=LINE('',#49183,#14374); #11134=LINE('',#49185,#14375); #11135=LINE('',#49187,#14376); #11136=LINE('',#49189,#14377); #11137=LINE('',#49191,#14378); #11138=LINE('',#49193,#14379); #11139=LINE('',#49195,#14380); #11140=LINE('',#49197,#14381); #11141=LINE('',#49199,#14382); #11142=LINE('',#49201,#14383); #11143=LINE('',#49203,#14384); #11144=LINE('',#49205,#14385); #11145=LINE('',#49207,#14386); #11146=LINE('',#49209,#14387); #11147=LINE('',#49211,#14388); #11148=LINE('',#49213,#14389); #11149=LINE('',#49215,#14390); #11150=LINE('',#49217,#14391); #11151=LINE('',#49219,#14392); #11152=LINE('',#49221,#14393); #11153=LINE('',#49223,#14394); #11154=LINE('',#49225,#14395); #11155=LINE('',#49227,#14396); #11156=LINE('',#49229,#14397); #11157=LINE('',#49231,#14398); #11158=LINE('',#49233,#14399); #11159=LINE('',#49235,#14400); #11160=LINE('',#49237,#14401); #11161=LINE('',#49239,#14402); #11162=LINE('',#49241,#14403); #11163=LINE('',#49243,#14404); #11164=LINE('',#49245,#14405); #11165=LINE('',#49247,#14406); #11166=LINE('',#49249,#14407); #11167=LINE('',#49251,#14408); #11168=LINE('',#49253,#14409); #11169=LINE('',#49255,#14410); #11170=LINE('',#49257,#14411); #11171=LINE('',#49259,#14412); #11172=LINE('',#49261,#14413); #11173=LINE('',#49263,#14414); #11174=LINE('',#49265,#14415); #11175=LINE('',#49267,#14416); #11176=LINE('',#49269,#14417); #11177=LINE('',#49271,#14418); #11178=LINE('',#49273,#14419); #11179=LINE('',#49275,#14420); #11180=LINE('',#49277,#14421); #11181=LINE('',#49279,#14422); #11182=LINE('',#49281,#14423); #11183=LINE('',#49283,#14424); #11184=LINE('',#49285,#14425); #11185=LINE('',#49287,#14426); #11186=LINE('',#49289,#14427); #11187=LINE('',#49291,#14428); #11188=LINE('',#49293,#14429); #11189=LINE('',#49295,#14430); #11190=LINE('',#49297,#14431); #11191=LINE('',#49299,#14432); #11192=LINE('',#49301,#14433); #11193=LINE('',#49303,#14434); #11194=LINE('',#49305,#14435); #11195=LINE('',#49307,#14436); #11196=LINE('',#49309,#14437); #11197=LINE('',#49311,#14438); #11198=LINE('',#49313,#14439); #11199=LINE('',#49315,#14440); #11200=LINE('',#49317,#14441); #11201=LINE('',#49319,#14442); #11202=LINE('',#49321,#14443); #11203=LINE('',#49323,#14444); #11204=LINE('',#49325,#14445); #11205=LINE('',#49327,#14446); #11206=LINE('',#49329,#14447); #11207=LINE('',#49331,#14448); #11208=LINE('',#49333,#14449); #11209=LINE('',#49335,#14450); #11210=LINE('',#49337,#14451); #11211=LINE('',#49339,#14452); #11212=LINE('',#49341,#14453); #11213=LINE('',#49343,#14454); #11214=LINE('',#49349,#14455); #11215=LINE('',#49351,#14456); #11216=LINE('',#49353,#14457); #11217=LINE('',#49357,#14458); #11218=LINE('',#49359,#14459); #11219=LINE('',#49361,#14460); #11220=LINE('',#49363,#14461); #11221=LINE('',#49365,#14462); #11222=LINE('',#49367,#14463); #11223=LINE('',#49370,#14464); #11224=LINE('',#49371,#14465); #11225=LINE('',#49373,#14466); #11226=LINE('',#49375,#14467); #11227=LINE('',#49377,#14468); #11228=LINE('',#49379,#14469); #11229=LINE('',#49381,#14470); #11230=LINE('',#49383,#14471); #11231=LINE('',#49385,#14472); #11232=LINE('',#49387,#14473); #11233=LINE('',#49389,#14474); #11234=LINE('',#49391,#14475); #11235=LINE('',#49393,#14476); #11236=LINE('',#49395,#14477); #11237=LINE('',#49397,#14478); #11238=LINE('',#49399,#14479); #11239=LINE('',#49401,#14480); #11240=LINE('',#49403,#14481); #11241=LINE('',#49405,#14482); #11242=LINE('',#49407,#14483); #11243=LINE('',#49409,#14484); #11244=LINE('',#49411,#14485); #11245=LINE('',#49413,#14486); #11246=LINE('',#49415,#14487); #11247=LINE('',#49417,#14488); #11248=LINE('',#49419,#14489); #11249=LINE('',#49421,#14490); #11250=LINE('',#49423,#14491); #11251=LINE('',#49425,#14492); #11252=LINE('',#49427,#14493); #11253=LINE('',#49429,#14494); #11254=LINE('',#49431,#14495); #11255=LINE('',#49432,#14496); #11256=LINE('',#49434,#14497); #11257=LINE('',#49436,#14498); #11258=LINE('',#49438,#14499); #11259=LINE('',#49440,#14500); #11260=LINE('',#49443,#14501); #11261=LINE('',#49445,#14502); #11262=LINE('',#49447,#14503); #11263=LINE('',#49453,#14504); #11264=LINE('',#49455,#14505); #11265=LINE('',#49457,#14506); #11266=LINE('',#49459,#14507); #11267=LINE('',#49465,#14508); #11268=LINE('',#49767,#14509); #11269=LINE('',#49770,#14510); #11270=LINE('',#49772,#14511); #11271=LINE('',#49774,#14512); #11272=LINE('',#49776,#14513); #11273=LINE('',#49778,#14514); #11274=LINE('',#49780,#14515); #11275=LINE('',#49784,#14516); #11276=LINE('',#49786,#14517); #11277=LINE('',#49788,#14518); #11278=LINE('',#49790,#14519); #11279=LINE('',#49792,#14520); #11280=LINE('',#49796,#14521); #11281=LINE('',#49798,#14522); #11282=LINE('',#50470,#14523); #11283=LINE('',#50472,#14524); #11284=LINE('',#50476,#14525); #11285=LINE('',#50480,#14526); #11286=LINE('',#50482,#14527); #11287=LINE('',#50484,#14528); #11288=LINE('',#50488,#14529); #11289=LINE('',#50492,#14530); #11290=LINE('',#50499,#14531); #11291=LINE('',#50501,#14532); #11292=LINE('',#50505,#14533); #11293=LINE('',#50507,#14534); #11294=LINE('',#50511,#14535); #11295=LINE('',#50514,#14536); #11296=LINE('',#50517,#14537); #11297=LINE('',#50521,#14538); #11298=LINE('',#50523,#14539); #11299=LINE('',#50526,#14540); #11300=LINE('',#50530,#14541); #11301=LINE('',#50532,#14542); #11302=LINE('',#50536,#14543); #11303=LINE('',#50539,#14544); #11304=LINE('',#50541,#14545); #11305=LINE('',#50545,#14546); #11306=LINE('',#50549,#14547); #11307=LINE('',#50551,#14548); #11308=LINE('',#50555,#14549); #11309=LINE('',#50557,#14550); #11310=LINE('',#50561,#14551); #11311=LINE('',#50565,#14552); #11312=LINE('',#50567,#14553); #11313=LINE('',#50569,#14554); #11314=LINE('',#50573,#14555); #11315=LINE('',#50581,#14556); #11316=LINE('',#50585,#14557); #11317=LINE('',#50588,#14558); #11318=LINE('',#50590,#14559); #11319=LINE('',#50592,#14560); #11320=LINE('',#50594,#14561); #11321=LINE('',#50596,#14562); #11322=LINE('',#50601,#14563); #11323=LINE('',#50605,#14564); #11324=LINE('',#50609,#14565); #11325=LINE('',#50613,#14566); #11326=LINE('',#50618,#14567); #11327=LINE('',#50621,#14568); #11328=LINE('',#50622,#14569); #11329=LINE('',#50626,#14570); #11330=LINE('',#50627,#14571); #11331=LINE('',#50628,#14572); #11332=LINE('',#50632,#14573); #11333=LINE('',#50633,#14574); #11334=LINE('',#50634,#14575); #11335=LINE('',#50636,#14576); #11336=LINE('',#50638,#14577); #11337=LINE('',#50640,#14578); #11338=LINE('',#50642,#14579); #11339=LINE('',#50646,#14580); #11340=LINE('',#50650,#14581); #11341=LINE('',#50651,#14582); #11342=LINE('',#50653,#14583); #11343=LINE('',#50656,#14584); #11344=LINE('',#50657,#14585); #11345=LINE('',#50659,#14586); #11346=LINE('',#50665,#14587); #11347=LINE('',#50666,#14588); #11348=LINE('',#50668,#14589); #11349=LINE('',#50670,#14590); #11350=LINE('',#50672,#14591); #11351=LINE('',#50676,#14592); #11352=LINE('',#50680,#14593); #11353=LINE('',#50681,#14594); #11354=LINE('',#50683,#14595); #11355=LINE('',#50686,#14596); #11356=LINE('',#50687,#14597); #11357=LINE('',#50689,#14598); #11358=LINE('',#50695,#14599); #11359=LINE('',#50696,#14600); #11360=LINE('',#50750,#14601); #11361=LINE('',#50752,#14602); #11362=LINE('',#50754,#14603); #11363=LINE('',#50758,#14604); #11364=LINE('',#50760,#14605); #11365=LINE('',#50762,#14606); #11366=LINE('',#50766,#14607); #11367=LINE('',#50767,#14608); #11368=LINE('',#50769,#14609); #11369=LINE('',#50771,#14610); #11370=LINE('',#50776,#14611); #11371=LINE('',#50783,#14612); #11372=LINE('',#50790,#14613); #11373=LINE('',#50797,#14614); #11374=LINE('',#50805,#14615); #11375=LINE('',#50812,#14616); #11376=LINE('',#50819,#14617); #11377=LINE('',#50826,#14618); #11378=LINE('',#50834,#14619); #11379=LINE('',#50841,#14620); #11380=LINE('',#50848,#14621); #11381=LINE('',#50855,#14622); #11382=LINE('',#50863,#14623); #11383=LINE('',#50870,#14624); #11384=LINE('',#50877,#14625); #11385=LINE('',#50884,#14626); #11386=LINE('',#50892,#14627); #11387=LINE('',#50899,#14628); #11388=LINE('',#50906,#14629); #11389=LINE('',#50913,#14630); #11390=LINE('',#50921,#14631); #11391=LINE('',#50928,#14632); #11392=LINE('',#50935,#14633); #11393=LINE('',#50942,#14634); #11394=LINE('',#50950,#14635); #11395=LINE('',#50957,#14636); #11396=LINE('',#50964,#14637); #11397=LINE('',#50971,#14638); #11398=LINE('',#50979,#14639); #11399=LINE('',#50986,#14640); #11400=LINE('',#50993,#14641); #11401=LINE('',#51000,#14642); #11402=LINE('',#51008,#14643); #11403=LINE('',#51015,#14644); #11404=LINE('',#51022,#14645); #11405=LINE('',#51029,#14646); #11406=LINE('',#51037,#14647); #11407=LINE('',#51044,#14648); #11408=LINE('',#51046,#14649); #11409=LINE('',#51053,#14650); #11410=LINE('',#51066,#14651); #11411=LINE('',#51073,#14652); #11412=LINE('',#51080,#14653); #11413=LINE('',#51087,#14654); #11414=LINE('',#51095,#14655); #11415=LINE('',#51102,#14656); #11416=LINE('',#51109,#14657); #11417=LINE('',#51116,#14658); #11418=LINE('',#51124,#14659); #11419=LINE('',#51131,#14660); #11420=LINE('',#51138,#14661); #11421=LINE('',#51145,#14662); #11422=LINE('',#51153,#14663); #11423=LINE('',#51160,#14664); #11424=LINE('',#51167,#14665); #11425=LINE('',#51174,#14666); #11426=LINE('',#51182,#14667); #11427=LINE('',#51189,#14668); #11428=LINE('',#51196,#14669); #11429=LINE('',#51203,#14670); #11430=LINE('',#51230,#14671); #11431=LINE('',#51237,#14672); #11432=LINE('',#51244,#14673); #11433=LINE('',#51251,#14674); #11434=LINE('',#51259,#14675); #11435=LINE('',#51266,#14676); #11436=LINE('',#51273,#14677); #11437=LINE('',#51280,#14678); #11438=LINE('',#51288,#14679); #11439=LINE('',#51295,#14680); #11440=LINE('',#51302,#14681); #11441=LINE('',#51309,#14682); #11442=LINE('',#51317,#14683); #11443=LINE('',#51324,#14684); #11444=LINE('',#51331,#14685); #11445=LINE('',#51338,#14686); #11446=LINE('',#51346,#14687); #11447=LINE('',#51353,#14688); #11448=LINE('',#51355,#14689); #11449=LINE('',#51362,#14690); #11450=LINE('',#51369,#14691); #11451=LINE('',#51376,#14692); #11452=LINE('',#51406,#14694); #11453=LINE('',#51413,#14695); #11454=LINE('',#51420,#14696); #11455=LINE('',#51454,#14698); #11456=LINE('',#51461,#14699); #11457=LINE('',#51468,#14700); #11458=LINE('',#51478,#14702); #11459=LINE('',#51485,#14703); #11460=LINE('',#51487,#14704); #11461=LINE('',#51494,#14705); #11462=LINE('',#51501,#14706); #11463=LINE('',#51508,#14707); #11464=LINE('',#51515,#14708); #11465=LINE('',#51568,#14711); #11466=LINE('',#51575,#14712); #11467=LINE('',#51577,#14713); #11468=LINE('',#51584,#14714); #11469=LINE('',#51591,#14715); #11470=LINE('',#51617,#14717); #11471=LINE('',#51627,#14719); #11472=LINE('',#51634,#14720); #11473=LINE('',#51666,#14722); #11474=LINE('',#51673,#14723); #11475=LINE('',#51675,#14724); #11476=LINE('',#51682,#14725); #11477=LINE('',#51696,#14727); #11478=LINE('',#51710,#14729); #11479=LINE('',#51717,#14730); #11480=LINE('',#51751,#14732); #11481=LINE('',#51758,#14733); #11482=LINE('',#51786,#14735); #11483=LINE('',#51793,#14736); #11484=LINE('',#51795,#14737); #11485=LINE('',#51802,#14738); #11486=LINE('',#51815,#14739); #11487=LINE('',#51822,#14740); #11488=LINE('',#51824,#14741); #11489=LINE('',#51831,#14742); #11490=LINE('',#51873,#14744); #11491=LINE('',#51917,#14746); #11492=LINE('',#51924,#14747); #11493=LINE('',#51926,#14748); #11494=LINE('',#51933,#14749); #11495=LINE('',#51940,#14750); #11496=LINE('',#51947,#14751); #11497=LINE('',#51954,#14752); #11498=LINE('',#51961,#14753); #11499=LINE('',#51968,#14754); #11500=LINE('',#51975,#14755); #11501=LINE('',#51982,#14756); #11502=LINE('',#51989,#14757); #11503=LINE('',#52002,#14758); #11504=LINE('',#52009,#14759); #11505=LINE('',#52011,#14760); #11506=LINE('',#52041,#14762); #11507=LINE('',#52051,#14764); #11508=LINE('',#52077,#14766); #11509=LINE('',#52084,#14767); #11510=LINE('',#52091,#14768); #11511=LINE('',#52098,#14769); #11512=LINE('',#52105,#14770); #11513=LINE('',#52112,#14771); #11514=LINE('',#52119,#14772); #11515=LINE('',#52135,#14774); #11516=LINE('',#52146,#14775); #11517=LINE('',#52155,#14777); #11518=LINE('',#52165,#14779); #11519=LINE('',#52182,#14780); #11520=LINE('',#52230,#14784); #11521=LINE('',#52237,#14785); #11522=LINE('',#52244,#14786); #11523=LINE('',#52251,#14787); #11524=LINE('',#52265,#14788); #11525=LINE('',#52272,#14789); #11526=LINE('',#52279,#14790); #11527=LINE('',#52293,#14791); #11528=LINE('',#52314,#14793); #11529=LINE('',#52328,#14795); #11530=LINE('',#52335,#14796); #11531=LINE('',#52337,#14797); #11532=LINE('',#52344,#14798); #11533=LINE('',#52351,#14799); #11534=LINE('',#52358,#14800); #11535=LINE('',#52365,#14801); #11536=LINE('',#52375,#14803); #11537=LINE('',#52393,#14805); #11538=LINE('',#52419,#14807); #11539=LINE('',#52421,#14808); #11540=LINE('',#52422,#14809); #11541=LINE('',#52425,#14810); #11542=VECTOR('',#34143,1.); #11543=VECTOR('',#34144,1.); #11544=VECTOR('',#34145,1.); #11545=VECTOR('',#34146,1.); #11546=VECTOR('',#34147,1.); #11547=VECTOR('',#34150,1.); #11548=VECTOR('',#34153,1.); #11549=VECTOR('',#34154,1.); #11550=VECTOR('',#34155,1.); #11551=VECTOR('',#34156,1.); #11552=VECTOR('',#34157,1.); #11553=VECTOR('',#34158,1.); #11554=VECTOR('',#34159,1.); #11555=VECTOR('',#34160,1.); #11556=VECTOR('',#34161,1.); #11557=VECTOR('',#34162,1.); #11558=VECTOR('',#34163,1.); #11559=VECTOR('',#34164,1.); #11560=VECTOR('',#34165,1.); #11561=VECTOR('',#34166,1.); #11562=VECTOR('',#34167,1.); #11563=VECTOR('',#34168,1.); #11564=VECTOR('',#34169,1.); #11565=VECTOR('',#34170,1.); #11566=VECTOR('',#34171,1.); #11567=VECTOR('',#34172,1.); #11568=VECTOR('',#34173,1.); #11569=VECTOR('',#34174,1.); #11570=VECTOR('',#34175,1.); #11571=VECTOR('',#34176,1.); #11572=VECTOR('',#34179,1.); #11573=VECTOR('',#34188,1.); #11574=VECTOR('',#34199,1.); #11575=VECTOR('',#34200,1.); #11576=VECTOR('',#34205,1.); #11577=VECTOR('',#34214,1.); #11578=VECTOR('',#34217,1.); #11579=VECTOR('',#34220,1.); #11580=VECTOR('',#34227,1.); #11581=VECTOR('',#34228,1.); #11582=VECTOR('',#34235,1.); #11583=VECTOR('',#34236,1.); #11584=VECTOR('',#34241,1.); #11585=VECTOR('',#34242,1.); #11586=VECTOR('',#34245,1.); #11587=VECTOR('',#34248,1.); #11588=VECTOR('',#34259,1.); #11589=VECTOR('',#34264,1.); #11590=VECTOR('',#34267,1.); #11591=VECTOR('',#34268,1.); #11592=VECTOR('',#34273,1.); #11593=VECTOR('',#34282,1.); #11594=VECTOR('',#34287,1.); #11595=VECTOR('',#34294,1.); #11596=VECTOR('',#34299,1.); #11597=VECTOR('',#34304,1.); #11598=VECTOR('',#34307,1.); #11599=VECTOR('',#34312,1.); #11600=VECTOR('',#34313,1.); #11601=VECTOR('',#34314,1.); #11602=VECTOR('',#34315,1.); #11603=VECTOR('',#34318,1.); #11604=VECTOR('',#34319,1.); #11605=VECTOR('',#34320,1.); #11606=VECTOR('',#34325,1.); #11607=VECTOR('',#34328,1.); #11608=VECTOR('',#34329,1.); #11609=VECTOR('',#34338,1.); #11610=VECTOR('',#34341,1.); #11611=VECTOR('',#34344,1.); #11612=VECTOR('',#34351,1.); #11613=VECTOR('',#34352,1.); #11614=VECTOR('',#34353,1.); #11615=VECTOR('',#34354,1.); #11616=VECTOR('',#34355,1.); #11617=VECTOR('',#34362,1.); #11618=VECTOR('',#34363,1.); #11619=VECTOR('',#34364,1.); #11620=VECTOR('',#34365,1.); #11621=VECTOR('',#34366,1.); #11622=VECTOR('',#34369,1.); #11623=VECTOR('',#34370,1.); #11624=VECTOR('',#34373,1.); #11625=VECTOR('',#34376,1.); #11626=VECTOR('',#34377,1.); #11627=VECTOR('',#34378,1.); #11628=VECTOR('',#34379,1.); #11629=VECTOR('',#34382,1.); #11630=VECTOR('',#34387,1.); #11631=VECTOR('',#34388,1.); #11632=VECTOR('',#34389,1.); #11633=VECTOR('',#34390,1.); #11634=VECTOR('',#34393,1.); #11635=VECTOR('',#34398,1.); #11636=VECTOR('',#34399,1.); #11637=VECTOR('',#34400,1.); #11638=VECTOR('',#34401,1.); #11639=VECTOR('',#34404,1.); #11640=VECTOR('',#34407,1.); #11641=VECTOR('',#34408,1.); #11642=VECTOR('',#34411,1.); #11643=VECTOR('',#34412,1.); #11644=VECTOR('',#34415,1.); #11645=VECTOR('',#34418,1.); #11646=VECTOR('',#34421,1.); #11647=VECTOR('',#34428,1.); #11648=VECTOR('',#34429,1.); #11649=VECTOR('',#34444,1.); #11650=VECTOR('',#34447,1.); #11651=VECTOR('',#34452,1.); #11652=VECTOR('',#34453,1.); #11653=VECTOR('',#34454,1.); #11654=VECTOR('',#34457,1.); #11655=VECTOR('',#34460,1.); #11656=VECTOR('',#34461,1.); #11657=VECTOR('',#34462,1.); #11658=VECTOR('',#34463,1.); #11659=VECTOR('',#34464,1.); #11660=VECTOR('',#34467,1.); #11661=VECTOR('',#34470,1.); #11662=VECTOR('',#34475,1.); #11663=VECTOR('',#34478,1.); #11664=VECTOR('',#34483,1.); #11665=VECTOR('',#34484,1.); #11666=VECTOR('',#34485,1.); #11667=VECTOR('',#34488,1.); #11668=VECTOR('',#34491,1.); #11669=VECTOR('',#34492,1.); #11670=VECTOR('',#34495,1.); #11671=VECTOR('',#34498,1.); #11672=VECTOR('',#34515,1.); #11673=VECTOR('',#34516,1.); #11674=VECTOR('',#34521,1.); #11675=VECTOR('',#34524,1.); #11676=VECTOR('',#34525,1.); #11677=VECTOR('',#34530,1.); #11678=VECTOR('',#34533,1.); #11679=VECTOR('',#34534,1.); #11680=VECTOR('',#34537,1.); #11681=VECTOR('',#34538,1.); #11682=VECTOR('',#34541,1.); #11683=VECTOR('',#34542,1.); #11684=VECTOR('',#34545,1.); #11685=VECTOR('',#34546,1.); #11686=VECTOR('',#34585,1.); #11687=VECTOR('',#34586,1.); #11688=VECTOR('',#34591,1.); #11689=VECTOR('',#34592,1.); #11690=VECTOR('',#34593,1.); #11691=VECTOR('',#34600,1.); #11692=VECTOR('',#34607,1.); #11693=VECTOR('',#34608,1.); #11694=VECTOR('',#34609,1.); #11695=VECTOR('',#34610,1.); #11696=VECTOR('',#34611,1.); #11697=VECTOR('',#34612,1.); #11698=VECTOR('',#34613,1.); #11699=VECTOR('',#34614,1.); #11700=VECTOR('',#34615,1.); #11701=VECTOR('',#34618,1.); #11702=VECTOR('',#34627,1.); #11703=VECTOR('',#34632,1.); #11704=VECTOR('',#34635,1.); #11705=VECTOR('',#34638,1.); #11706=VECTOR('',#34643,1.); #11707=VECTOR('',#34650,1.); #11708=VECTOR('',#34651,1.); #11709=VECTOR('',#34654,1.); #11710=VECTOR('',#34659,1.); #11711=VECTOR('',#34660,1.); #11712=VECTOR('',#34661,1.); #11713=VECTOR('',#34664,1.); #11714=VECTOR('',#34665,1.); #11715=VECTOR('',#34668,1.); #11716=VECTOR('',#34671,1.); #11717=VECTOR('',#34672,1.); #11718=VECTOR('',#34675,1.); #11719=VECTOR('',#34676,1.); #11720=VECTOR('',#34677,1.); #11721=VECTOR('',#34686,1.); #11722=VECTOR('',#34693,1.); #11723=VECTOR('',#34696,1.); #11724=VECTOR('',#34697,1.); #11725=VECTOR('',#34698,1.); #11726=VECTOR('',#34715,1.); #11727=VECTOR('',#34716,1.); #11728=VECTOR('',#34721,1.); #11729=VECTOR('',#34730,1.); #11730=VECTOR('',#34735,1.); #11731=VECTOR('',#34744,1.); #11732=VECTOR('',#34745,1.); #11733=VECTOR('',#34748,1.); #11734=VECTOR('',#34749,1.); #11735=VECTOR('',#34752,1.); #11736=VECTOR('',#34753,1.); #11737=VECTOR('',#34756,1.); #11738=VECTOR('',#34757,1.); #11739=VECTOR('',#34776,1.); #11740=VECTOR('',#34777,1.); #11741=VECTOR('',#34778,1.); #11742=VECTOR('',#34787,1.); #11743=VECTOR('',#34792,1.); #11744=VECTOR('',#34793,1.); #11745=VECTOR('',#34804,1.); #11746=VECTOR('',#34805,1.); #11747=VECTOR('',#34808,1.); #11748=VECTOR('',#34809,1.); #11749=VECTOR('',#34820,1.); #11750=VECTOR('',#34823,1.); #11751=VECTOR('',#34826,1.); #11752=VECTOR('',#34829,1.); #11753=VECTOR('',#34830,1.); #11754=VECTOR('',#34831,1.); #11755=VECTOR('',#34834,1.); #11756=VECTOR('',#34835,1.); #11757=VECTOR('',#34838,1.); #11758=VECTOR('',#34839,1.); #11759=VECTOR('',#34842,1.); #11760=VECTOR('',#34847,1.); #11761=VECTOR('',#34848,1.); #11762=VECTOR('',#34849,1.); #11763=VECTOR('',#34850,1.); #11764=VECTOR('',#34851,1.); #11765=VECTOR('',#34852,1.); #11766=VECTOR('',#34853,1.); #11767=VECTOR('',#34854,1.); #11768=VECTOR('',#34855,1.); #11769=VECTOR('',#34856,1.); #11770=VECTOR('',#34857,1.); #11771=VECTOR('',#34864,1.); #11772=VECTOR('',#34865,1.); #11773=VECTOR('',#34868,1.); #11774=VECTOR('',#34871,1.); #11775=VECTOR('',#34874,1.); #11776=VECTOR('',#34877,1.); #11777=VECTOR('',#34884,1.); #11778=VECTOR('',#34885,1.); #11779=VECTOR('',#34888,1.); #11780=VECTOR('',#34889,1.); #11781=VECTOR('',#34890,1.); #11782=VECTOR('',#34893,1.); #11783=VECTOR('',#34894,1.); #11784=VECTOR('',#34895,1.); #11785=VECTOR('',#34896,1.); #11786=VECTOR('',#34899,1.); #11787=VECTOR('',#34902,1.); #11788=VECTOR('',#34905,1.); #11789=VECTOR('',#34912,1.); #11790=VECTOR('',#34913,1.); #11791=VECTOR('',#34916,1.); #11792=VECTOR('',#34919,1.); #11793=VECTOR('',#34922,1.); #11794=VECTOR('',#34923,1.); #11795=VECTOR('',#34928,1.); #11796=VECTOR('',#34929,1.); #11797=VECTOR('',#34932,1.); #11798=VECTOR('',#34935,1.); #11799=VECTOR('',#34938,1.); #11800=VECTOR('',#34941,1.); #11801=VECTOR('',#34942,1.); #11802=VECTOR('',#34943,1.); #11803=VECTOR('',#34946,1.); #11804=VECTOR('',#34947,1.); #11805=VECTOR('',#34952,1.); #11806=VECTOR('',#34953,1.); #11807=VECTOR('',#34956,1.); #11808=VECTOR('',#34959,1.); #11809=VECTOR('',#34960,1.); #11810=VECTOR('',#34963,1.); #11811=VECTOR('',#34966,1.); #11812=VECTOR('',#34969,1.); #11813=VECTOR('',#34972,1.); #11814=VECTOR('',#34975,1.); #11815=VECTOR('',#34978,1.); #11816=VECTOR('',#34981,1.); #11817=VECTOR('',#34984,1.); #11818=VECTOR('',#34995,1.); #11819=VECTOR('',#34996,1.); #11820=VECTOR('',#34997,1.); #11821=VECTOR('',#34998,1.); #11822=VECTOR('',#34999,1.); #11823=VECTOR('',#35004,1.); #11824=VECTOR('',#35005,1.); #11825=VECTOR('',#35008,1.); #11826=VECTOR('',#35011,1.); #11827=VECTOR('',#35014,1.); #11828=VECTOR('',#35017,1.); #11829=VECTOR('',#35020,1.); #11830=VECTOR('',#35023,1.); #11831=VECTOR('',#35026,1.); #11832=VECTOR('',#35029,1.); #11833=VECTOR('',#35036,1.); #11834=VECTOR('',#35037,1.); #11835=VECTOR('',#35040,1.); #11836=VECTOR('',#35043,1.); #11837=VECTOR('',#35044,1.); #11838=VECTOR('',#35047,1.); #11839=VECTOR('',#35050,1.); #11840=VECTOR('',#35053,1.); #11841=VECTOR('',#35056,1.); #11842=VECTOR('',#35059,1.); #11843=VECTOR('',#35062,1.); #11844=VECTOR('',#35065,1.); #11845=VECTOR('',#35068,1.); #11846=VECTOR('',#35079,1.); #11847=VECTOR('',#35080,1.); #11848=VECTOR('',#35083,1.); #11849=VECTOR('',#35086,1.); #11850=VECTOR('',#35089,1.); #11851=VECTOR('',#35092,1.); #11852=VECTOR('',#35095,1.); #11853=VECTOR('',#35098,1.); #11854=VECTOR('',#35101,1.); #11855=VECTOR('',#35104,1.); #11856=VECTOR('',#35107,1.); #11857=VECTOR('',#35110,1.); #11858=VECTOR('',#35113,1.); #11859=VECTOR('',#35120,1.); #11860=VECTOR('',#35121,1.); #11861=VECTOR('',#35122,1.); #11862=VECTOR('',#35123,1.); #11863=VECTOR('',#35124,1.); #11864=VECTOR('',#35125,1.); #11865=VECTOR('',#35126,1.); #11866=VECTOR('',#35127,1.); #11867=VECTOR('',#35132,1.); #11868=VECTOR('',#35133,1.); #11869=VECTOR('',#35136,1.); #11870=VECTOR('',#35139,1.); #11871=VECTOR('',#35142,1.); #11872=VECTOR('',#35145,1.); #11873=VECTOR('',#35148,1.); #11874=VECTOR('',#35151,1.); #11875=VECTOR('',#35158,1.); #11876=VECTOR('',#35159,1.); #11877=VECTOR('',#35162,1.); #11878=VECTOR('',#35165,1.); #11879=VECTOR('',#35166,1.); #11880=VECTOR('',#35169,1.); #11881=VECTOR('',#35172,1.); #11882=VECTOR('',#35175,1.); #11883=VECTOR('',#35178,1.); #11884=VECTOR('',#35181,1.); #11885=VECTOR('',#35184,1.); #11886=VECTOR('',#35195,1.); #11887=VECTOR('',#35196,1.); #11888=VECTOR('',#35197,1.); #11889=VECTOR('',#35200,1.); #11890=VECTOR('',#35201,1.); #11891=VECTOR('',#35202,1.); #11892=VECTOR('',#35203,1.); #11893=VECTOR('',#35206,1.); #11894=VECTOR('',#35207,1.); #11895=VECTOR('',#35208,1.); #11896=VECTOR('',#35209,1.); #11897=VECTOR('',#35212,1.); #11898=VECTOR('',#35213,1.); #11899=VECTOR('',#35214,1.); #11900=VECTOR('',#35217,1.); #11901=VECTOR('',#35218,1.); #11902=VECTOR('',#35221,1.); #11903=VECTOR('',#35224,1.); #11904=VECTOR('',#35225,1.); #11905=VECTOR('',#35226,1.); #11906=VECTOR('',#35229,1.); #11907=VECTOR('',#35230,1.); #11908=VECTOR('',#35231,1.); #11909=VECTOR('',#35234,1.); #11910=VECTOR('',#35237,1.); #11911=VECTOR('',#35238,1.); #11912=VECTOR('',#35239,1.); #11913=VECTOR('',#35240,1.); #11914=VECTOR('',#35243,1.); #11915=VECTOR('',#35244,1.); #11916=VECTOR('',#35245,1.); #11917=VECTOR('',#35248,1.); #11918=VECTOR('',#35249,1.); #11919=VECTOR('',#35250,1.); #11920=VECTOR('',#35253,1.); #11921=VECTOR('',#35254,1.); #11922=VECTOR('',#35255,1.); #11923=VECTOR('',#35258,1.); #11924=VECTOR('',#35259,1.); #11925=VECTOR('',#35260,1.); #11926=VECTOR('',#35263,1.); #11927=VECTOR('',#35264,1.); #11928=VECTOR('',#35265,1.); #11929=VECTOR('',#35268,1.); #11930=VECTOR('',#35269,1.); #11931=VECTOR('',#35270,1.); #11932=VECTOR('',#35273,1.); #11933=VECTOR('',#35274,1.); #11934=VECTOR('',#35275,1.); #11935=VECTOR('',#35278,1.); #11936=VECTOR('',#35279,1.); #11937=VECTOR('',#35280,1.); #11938=VECTOR('',#35283,1.); #11939=VECTOR('',#35284,1.); #11940=VECTOR('',#35285,1.); #11941=VECTOR('',#35288,1.); #11942=VECTOR('',#35289,1.); #11943=VECTOR('',#35290,1.); #11944=VECTOR('',#35291,1.); #11945=VECTOR('',#35294,1.); #11946=VECTOR('',#35295,1.); #11947=VECTOR('',#35296,1.); #11948=VECTOR('',#35299,1.); #11949=VECTOR('',#35300,1.); #11950=VECTOR('',#35301,1.); #11951=VECTOR('',#35304,1.); #11952=VECTOR('',#35305,1.); #11953=VECTOR('',#35306,1.); #11954=VECTOR('',#35309,1.); #11955=VECTOR('',#35310,1.); #11956=VECTOR('',#35311,1.); #11957=VECTOR('',#35314,1.); #11958=VECTOR('',#35315,1.); #11959=VECTOR('',#35316,1.); #11960=VECTOR('',#35319,1.); #11961=VECTOR('',#35320,1.); #11962=VECTOR('',#35321,1.); #11963=VECTOR('',#35324,1.); #11964=VECTOR('',#35325,1.); #11965=VECTOR('',#35326,1.); #11966=VECTOR('',#35329,1.); #11967=VECTOR('',#35330,1.); #11968=VECTOR('',#35331,1.); #11969=VECTOR('',#35334,1.); #11970=VECTOR('',#35335,1.); #11971=VECTOR('',#35336,1.); #11972=VECTOR('',#35339,1.); #11973=VECTOR('',#35340,1.); #11974=VECTOR('',#35341,1.); #11975=VECTOR('',#35342,1.); #11976=VECTOR('',#35345,1.); #11977=VECTOR('',#35346,1.); #11978=VECTOR('',#35347,1.); #11979=VECTOR('',#35350,1.); #11980=VECTOR('',#35351,1.); #11981=VECTOR('',#35352,1.); #11982=VECTOR('',#35355,1.); #11983=VECTOR('',#35356,1.); #11984=VECTOR('',#35357,1.); #11985=VECTOR('',#35360,1.); #11986=VECTOR('',#35361,1.); #11987=VECTOR('',#35362,1.); #11988=VECTOR('',#35365,1.); #11989=VECTOR('',#35366,1.); #11990=VECTOR('',#35367,1.); #11991=VECTOR('',#35370,1.); #11992=VECTOR('',#35371,1.); #11993=VECTOR('',#35372,1.); #11994=VECTOR('',#35375,1.); #11995=VECTOR('',#35376,1.); #11996=VECTOR('',#35377,1.); #11997=VECTOR('',#35380,1.); #11998=VECTOR('',#35381,1.); #11999=VECTOR('',#35382,1.); #12000=VECTOR('',#35385,1.); #12001=VECTOR('',#35386,1.); #12002=VECTOR('',#35387,1.); #12003=VECTOR('',#35390,1.); #12004=VECTOR('',#35391,1.); #12005=VECTOR('',#35392,1.); #12006=VECTOR('',#35393,1.); #12007=VECTOR('',#35396,1.); #12008=VECTOR('',#35397,1.); #12009=VECTOR('',#35398,1.); #12010=VECTOR('',#35401,1.); #12011=VECTOR('',#35402,1.); #12012=VECTOR('',#35403,1.); #12013=VECTOR('',#35406,1.); #12014=VECTOR('',#35407,1.); #12015=VECTOR('',#35408,1.); #12016=VECTOR('',#35411,1.); #12017=VECTOR('',#35412,1.); #12018=VECTOR('',#35413,1.); #12019=VECTOR('',#35416,1.); #12020=VECTOR('',#35417,1.); #12021=VECTOR('',#35418,1.); #12022=VECTOR('',#35421,1.); #12023=VECTOR('',#35422,1.); #12024=VECTOR('',#35423,1.); #12025=VECTOR('',#35426,1.); #12026=VECTOR('',#35427,1.); #12027=VECTOR('',#35428,1.); #12028=VECTOR('',#35431,1.); #12029=VECTOR('',#35432,1.); #12030=VECTOR('',#35433,1.); #12031=VECTOR('',#35436,1.); #12032=VECTOR('',#35437,1.); #12033=VECTOR('',#35438,1.); #12034=VECTOR('',#35441,1.); #12035=VECTOR('',#35442,1.); #12036=VECTOR('',#35443,1.); #12037=VECTOR('',#35444,1.); #12038=VECTOR('',#35447,1.); #12039=VECTOR('',#35448,1.); #12040=VECTOR('',#35449,1.); #12041=VECTOR('',#35452,1.); #12042=VECTOR('',#35453,1.); #12043=VECTOR('',#35454,1.); #12044=VECTOR('',#35457,1.); #12045=VECTOR('',#35458,1.); #12046=VECTOR('',#35459,1.); #12047=VECTOR('',#35462,1.); #12048=VECTOR('',#35463,1.); #12049=VECTOR('',#35464,1.); #12050=VECTOR('',#35467,1.); #12051=VECTOR('',#35468,1.); #12052=VECTOR('',#35469,1.); #12053=VECTOR('',#35472,1.); #12054=VECTOR('',#35473,1.); #12055=VECTOR('',#35474,1.); #12056=VECTOR('',#35477,1.); #12057=VECTOR('',#35478,1.); #12058=VECTOR('',#35479,1.); #12059=VECTOR('',#35482,1.); #12060=VECTOR('',#35483,1.); #12061=VECTOR('',#35484,1.); #12062=VECTOR('',#35487,1.); #12063=VECTOR('',#35488,1.); #12064=VECTOR('',#35489,1.); #12065=VECTOR('',#35492,1.); #12066=VECTOR('',#35493,1.); #12067=VECTOR('',#35494,1.); #12068=VECTOR('',#35495,1.); #12069=VECTOR('',#35498,1.); #12070=VECTOR('',#35499,1.); #12071=VECTOR('',#35500,1.); #12072=VECTOR('',#35503,1.); #12073=VECTOR('',#35504,1.); #12074=VECTOR('',#35505,1.); #12075=VECTOR('',#35508,1.); #12076=VECTOR('',#35509,1.); #12077=VECTOR('',#35510,1.); #12078=VECTOR('',#35513,1.); #12079=VECTOR('',#35514,1.); #12080=VECTOR('',#35515,1.); #12081=VECTOR('',#35518,1.); #12082=VECTOR('',#35519,1.); #12083=VECTOR('',#35520,1.); #12084=VECTOR('',#35523,1.); #12085=VECTOR('',#35524,1.); #12086=VECTOR('',#35525,1.); #12087=VECTOR('',#35528,1.); #12088=VECTOR('',#35529,1.); #12089=VECTOR('',#35530,1.); #12090=VECTOR('',#35533,1.); #12091=VECTOR('',#35534,1.); #12092=VECTOR('',#35535,1.); #12093=VECTOR('',#35538,1.); #12094=VECTOR('',#35539,1.); #12095=VECTOR('',#35540,1.); #12096=VECTOR('',#35543,1.); #12097=VECTOR('',#35544,1.); #12098=VECTOR('',#35545,1.); #12099=VECTOR('',#35546,1.); #12100=VECTOR('',#35549,1.); #12101=VECTOR('',#35550,1.); #12102=VECTOR('',#35551,1.); #12103=VECTOR('',#35554,1.); #12104=VECTOR('',#35555,1.); #12105=VECTOR('',#35556,1.); #12106=VECTOR('',#35559,1.); #12107=VECTOR('',#35560,1.); #12108=VECTOR('',#35561,1.); #12109=VECTOR('',#35564,1.); #12110=VECTOR('',#35565,1.); #12111=VECTOR('',#35566,1.); #12112=VECTOR('',#35569,1.); #12113=VECTOR('',#35570,1.); #12114=VECTOR('',#35571,1.); #12115=VECTOR('',#35574,1.); #12116=VECTOR('',#35575,1.); #12117=VECTOR('',#35576,1.); #12118=VECTOR('',#35579,1.); #12119=VECTOR('',#35580,1.); #12120=VECTOR('',#35581,1.); #12121=VECTOR('',#35584,1.); #12122=VECTOR('',#35585,1.); #12123=VECTOR('',#35586,1.); #12124=VECTOR('',#35589,1.); #12125=VECTOR('',#35590,1.); #12126=VECTOR('',#35591,1.); #12127=VECTOR('',#35594,1.); #12128=VECTOR('',#35595,1.); #12129=VECTOR('',#35596,1.); #12130=VECTOR('',#35597,1.); #12131=VECTOR('',#35600,1.); #12132=VECTOR('',#35601,1.); #12133=VECTOR('',#35602,1.); #12134=VECTOR('',#35605,1.); #12135=VECTOR('',#35606,1.); #12136=VECTOR('',#35607,1.); #12137=VECTOR('',#35610,1.); #12138=VECTOR('',#35611,1.); #12139=VECTOR('',#35612,1.); #12140=VECTOR('',#35615,1.); #12141=VECTOR('',#35616,1.); #12142=VECTOR('',#35617,1.); #12143=VECTOR('',#35620,1.); #12144=VECTOR('',#35621,1.); #12145=VECTOR('',#35622,1.); #12146=VECTOR('',#35625,1.); #12147=VECTOR('',#35626,1.); #12148=VECTOR('',#35627,1.); #12149=VECTOR('',#35630,1.); #12150=VECTOR('',#35631,1.); #12151=VECTOR('',#35632,1.); #12152=VECTOR('',#35635,1.); #12153=VECTOR('',#35636,1.); #12154=VECTOR('',#35637,1.); #12155=VECTOR('',#35640,1.); #12156=VECTOR('',#35641,1.); #12157=VECTOR('',#35642,1.); #12158=VECTOR('',#35645,1.); #12159=VECTOR('',#35646,1.); #12160=VECTOR('',#35647,1.); #12161=VECTOR('',#35648,1.); #12162=VECTOR('',#35651,1.); #12163=VECTOR('',#35652,1.); #12164=VECTOR('',#35653,1.); #12165=VECTOR('',#35656,1.); #12166=VECTOR('',#35657,1.); #12167=VECTOR('',#35658,1.); #12168=VECTOR('',#35661,1.); #12169=VECTOR('',#35662,1.); #12170=VECTOR('',#35663,1.); #12171=VECTOR('',#35666,1.); #12172=VECTOR('',#35667,1.); #12173=VECTOR('',#35668,1.); #12174=VECTOR('',#35671,1.); #12175=VECTOR('',#35672,1.); #12176=VECTOR('',#35673,1.); #12177=VECTOR('',#35676,1.); #12178=VECTOR('',#35677,1.); #12179=VECTOR('',#35678,1.); #12180=VECTOR('',#35681,1.); #12181=VECTOR('',#35682,1.); #12182=VECTOR('',#35683,1.); #12183=VECTOR('',#35686,1.); #12184=VECTOR('',#35687,1.); #12185=VECTOR('',#35688,1.); #12186=VECTOR('',#35691,1.); #12187=VECTOR('',#35692,1.); #12188=VECTOR('',#35693,1.); #12189=VECTOR('',#35696,1.); #12190=VECTOR('',#35697,1.); #12191=VECTOR('',#35698,1.); #12192=VECTOR('',#35699,1.); #12193=VECTOR('',#35702,1.); #12194=VECTOR('',#35703,1.); #12195=VECTOR('',#35704,1.); #12196=VECTOR('',#35707,1.); #12197=VECTOR('',#35708,1.); #12198=VECTOR('',#35709,1.); #12199=VECTOR('',#35712,1.); #12200=VECTOR('',#35713,1.); #12201=VECTOR('',#35714,1.); #12202=VECTOR('',#35717,1.); #12203=VECTOR('',#35718,1.); #12204=VECTOR('',#35719,1.); #12205=VECTOR('',#35722,1.); #12206=VECTOR('',#35723,1.); #12207=VECTOR('',#35724,1.); #12208=VECTOR('',#35727,1.); #12209=VECTOR('',#35728,1.); #12210=VECTOR('',#35729,1.); #12211=VECTOR('',#35732,1.); #12212=VECTOR('',#35733,1.); #12213=VECTOR('',#35734,1.); #12214=VECTOR('',#35737,1.); #12215=VECTOR('',#35738,1.); #12216=VECTOR('',#35739,1.); #12217=VECTOR('',#35742,1.); #12218=VECTOR('',#35743,1.); #12219=VECTOR('',#35744,1.); #12220=VECTOR('',#35747,1.); #12221=VECTOR('',#35748,1.); #12222=VECTOR('',#35749,1.); #12223=VECTOR('',#35750,1.); #12224=VECTOR('',#35753,1.); #12225=VECTOR('',#35754,1.); #12226=VECTOR('',#35755,1.); #12227=VECTOR('',#35758,1.); #12228=VECTOR('',#35759,1.); #12229=VECTOR('',#35760,1.); #12230=VECTOR('',#35763,1.); #12231=VECTOR('',#35764,1.); #12232=VECTOR('',#35765,1.); #12233=VECTOR('',#35768,1.); #12234=VECTOR('',#35769,1.); #12235=VECTOR('',#35770,1.); #12236=VECTOR('',#35773,1.); #12237=VECTOR('',#35774,1.); #12238=VECTOR('',#35775,1.); #12239=VECTOR('',#35778,1.); #12240=VECTOR('',#35779,1.); #12241=VECTOR('',#35780,1.); #12242=VECTOR('',#35783,1.); #12243=VECTOR('',#35784,1.); #12244=VECTOR('',#35785,1.); #12245=VECTOR('',#35788,1.); #12246=VECTOR('',#35789,1.); #12247=VECTOR('',#35790,1.); #12248=VECTOR('',#35793,1.); #12249=VECTOR('',#35794,1.); #12250=VECTOR('',#35795,1.); #12251=VECTOR('',#35798,1.); #12252=VECTOR('',#35799,1.); #12253=VECTOR('',#35800,1.); #12254=VECTOR('',#35801,1.); #12255=VECTOR('',#35804,1.); #12256=VECTOR('',#35805,1.); #12257=VECTOR('',#35806,1.); #12258=VECTOR('',#35809,1.); #12259=VECTOR('',#35810,1.); #12260=VECTOR('',#35811,1.); #12261=VECTOR('',#35814,1.); #12262=VECTOR('',#35815,1.); #12263=VECTOR('',#35816,1.); #12264=VECTOR('',#35819,1.); #12265=VECTOR('',#35820,1.); #12266=VECTOR('',#35821,1.); #12267=VECTOR('',#35824,1.); #12268=VECTOR('',#35825,1.); #12269=VECTOR('',#35826,1.); #12270=VECTOR('',#35829,1.); #12271=VECTOR('',#35830,1.); #12272=VECTOR('',#35831,1.); #12273=VECTOR('',#35834,1.); #12274=VECTOR('',#35835,1.); #12275=VECTOR('',#35836,1.); #12276=VECTOR('',#35839,1.); #12277=VECTOR('',#35840,1.); #12278=VECTOR('',#35841,1.); #12279=VECTOR('',#35844,1.); #12280=VECTOR('',#35845,1.); #12281=VECTOR('',#35846,1.); #12282=VECTOR('',#35849,1.); #12283=VECTOR('',#35850,1.); #12284=VECTOR('',#35851,1.); #12285=VECTOR('',#35852,1.); #12286=VECTOR('',#35855,1.); #12287=VECTOR('',#35856,1.); #12288=VECTOR('',#35857,1.); #12289=VECTOR('',#35860,1.); #12290=VECTOR('',#35861,1.); #12291=VECTOR('',#35862,1.); #12292=VECTOR('',#35865,1.); #12293=VECTOR('',#35866,1.); #12294=VECTOR('',#35867,1.); #12295=VECTOR('',#35870,1.); #12296=VECTOR('',#35871,1.); #12297=VECTOR('',#35872,1.); #12298=VECTOR('',#35875,1.); #12299=VECTOR('',#35876,1.); #12300=VECTOR('',#35877,1.); #12301=VECTOR('',#35880,1.); #12302=VECTOR('',#35881,1.); #12303=VECTOR('',#35882,1.); #12304=VECTOR('',#35885,1.); #12305=VECTOR('',#35886,1.); #12306=VECTOR('',#35887,1.); #12307=VECTOR('',#35890,1.); #12308=VECTOR('',#35891,1.); #12309=VECTOR('',#35892,1.); #12310=VECTOR('',#35895,1.); #12311=VECTOR('',#35896,1.); #12312=VECTOR('',#35897,1.); #12313=VECTOR('',#35900,1.); #12314=VECTOR('',#35901,1.); #12315=VECTOR('',#35902,1.); #12316=VECTOR('',#35903,1.); #12317=VECTOR('',#35906,1.); #12318=VECTOR('',#35907,1.); #12319=VECTOR('',#35908,1.); #12320=VECTOR('',#35911,1.); #12321=VECTOR('',#35912,1.); #12322=VECTOR('',#35913,1.); #12323=VECTOR('',#35916,1.); #12324=VECTOR('',#35917,1.); #12325=VECTOR('',#35918,1.); #12326=VECTOR('',#35921,1.); #12327=VECTOR('',#35922,1.); #12328=VECTOR('',#35923,1.); #12329=VECTOR('',#35926,1.); #12330=VECTOR('',#35927,1.); #12331=VECTOR('',#35928,1.); #12332=VECTOR('',#35931,1.); #12333=VECTOR('',#35932,1.); #12334=VECTOR('',#35933,1.); #12335=VECTOR('',#35936,1.); #12336=VECTOR('',#35937,1.); #12337=VECTOR('',#35938,1.); #12338=VECTOR('',#35941,1.); #12339=VECTOR('',#35942,1.); #12340=VECTOR('',#35943,1.); #12341=VECTOR('',#35946,1.); #12342=VECTOR('',#35947,1.); #12343=VECTOR('',#35948,1.); #12344=VECTOR('',#35951,1.); #12345=VECTOR('',#35952,1.); #12346=VECTOR('',#35953,1.); #12347=VECTOR('',#35954,1.); #12348=VECTOR('',#35957,1.); #12349=VECTOR('',#35958,1.); #12350=VECTOR('',#35959,1.); #12351=VECTOR('',#35962,1.); #12352=VECTOR('',#35963,1.); #12353=VECTOR('',#35964,1.); #12354=VECTOR('',#35967,1.); #12355=VECTOR('',#35968,1.); #12356=VECTOR('',#35969,1.); #12357=VECTOR('',#35972,1.); #12358=VECTOR('',#35973,1.); #12359=VECTOR('',#35974,1.); #12360=VECTOR('',#35977,1.); #12361=VECTOR('',#35978,1.); #12362=VECTOR('',#35979,1.); #12363=VECTOR('',#35982,1.); #12364=VECTOR('',#35983,1.); #12365=VECTOR('',#35984,1.); #12366=VECTOR('',#35987,1.); #12367=VECTOR('',#35988,1.); #12368=VECTOR('',#35989,1.); #12369=VECTOR('',#35992,1.); #12370=VECTOR('',#35993,1.); #12371=VECTOR('',#35994,1.); #12372=VECTOR('',#35997,1.); #12373=VECTOR('',#35998,1.); #12374=VECTOR('',#35999,1.); #12375=VECTOR('',#36002,1.); #12376=VECTOR('',#36003,1.); #12377=VECTOR('',#36004,1.); #12378=VECTOR('',#36005,1.); #12379=VECTOR('',#36008,1.); #12380=VECTOR('',#36009,1.); #12381=VECTOR('',#36010,1.); #12382=VECTOR('',#36013,1.); #12383=VECTOR('',#36014,1.); #12384=VECTOR('',#36015,1.); #12385=VECTOR('',#36018,1.); #12386=VECTOR('',#36019,1.); #12387=VECTOR('',#36020,1.); #12388=VECTOR('',#36023,1.); #12389=VECTOR('',#36024,1.); #12390=VECTOR('',#36025,1.); #12391=VECTOR('',#36028,1.); #12392=VECTOR('',#36029,1.); #12393=VECTOR('',#36030,1.); #12394=VECTOR('',#36033,1.); #12395=VECTOR('',#36034,1.); #12396=VECTOR('',#36035,1.); #12397=VECTOR('',#36038,1.); #12398=VECTOR('',#36039,1.); #12399=VECTOR('',#36040,1.); #12400=VECTOR('',#36043,1.); #12401=VECTOR('',#36044,1.); #12402=VECTOR('',#36045,1.); #12403=VECTOR('',#36048,1.); #12404=VECTOR('',#36049,1.); #12405=VECTOR('',#36050,1.); #12406=VECTOR('',#36053,1.); #12407=VECTOR('',#36054,1.); #12408=VECTOR('',#36055,1.); #12409=VECTOR('',#36058,1.); #12410=VECTOR('',#36059,1.); #12411=VECTOR('',#36060,1.); #12412=VECTOR('',#36061,1.); #12413=VECTOR('',#36064,1.); #12414=VECTOR('',#36065,1.); #12415=VECTOR('',#36066,1.); #12416=VECTOR('',#36069,1.); #12417=VECTOR('',#36070,1.); #12418=VECTOR('',#36071,1.); #12419=VECTOR('',#36074,1.); #12420=VECTOR('',#36075,1.); #12421=VECTOR('',#36076,1.); #12422=VECTOR('',#36077,1.); #12423=VECTOR('',#36078,1.); #12424=VECTOR('',#36079,1.); #12425=VECTOR('',#36080,1.); #12426=VECTOR('',#36081,1.); #12427=VECTOR('',#36082,1.); #12428=VECTOR('',#36083,1.); #12429=VECTOR('',#36084,1.); #12430=VECTOR('',#36085,1.); #12431=VECTOR('',#36086,1.); #12432=VECTOR('',#36087,1.); #12433=VECTOR('',#36088,1.); #12434=VECTOR('',#36089,1.); #12435=VECTOR('',#36090,1.); #12436=VECTOR('',#36091,1.); #12437=VECTOR('',#36092,1.); #12438=VECTOR('',#36093,1.); #12439=VECTOR('',#36094,1.); #12440=VECTOR('',#36095,1.); #12441=VECTOR('',#36096,1.); #12442=VECTOR('',#36097,1.); #12443=VECTOR('',#36098,1.); #12444=VECTOR('',#36099,1.); #12445=VECTOR('',#36100,1.); #12446=VECTOR('',#36101,1.); #12447=VECTOR('',#36102,1.); #12448=VECTOR('',#36103,1.); #12449=VECTOR('',#36104,1.); #12450=VECTOR('',#36105,1.); #12451=VECTOR('',#36106,1.); #12452=VECTOR('',#36107,1.); #12453=VECTOR('',#36108,1.); #12454=VECTOR('',#36109,1.); #12455=VECTOR('',#36110,1.); #12456=VECTOR('',#36111,1.); #12457=VECTOR('',#36112,1.); #12458=VECTOR('',#36113,1.); #12459=VECTOR('',#36114,1.); #12460=VECTOR('',#36115,1.); #12461=VECTOR('',#36116,1.); #12462=VECTOR('',#36117,1.); #12463=VECTOR('',#36118,1.); #12464=VECTOR('',#36119,1.); #12465=VECTOR('',#36120,1.); #12466=VECTOR('',#36121,1.); #12467=VECTOR('',#36122,1.); #12468=VECTOR('',#36123,1.); #12469=VECTOR('',#36124,1.); #12470=VECTOR('',#36125,1.); #12471=VECTOR('',#36126,1.); #12472=VECTOR('',#36127,1.); #12473=VECTOR('',#36128,1.); #12474=VECTOR('',#36129,1.); #12475=VECTOR('',#36130,1.); #12476=VECTOR('',#36131,1.); #12477=VECTOR('',#36132,1.); #12478=VECTOR('',#36133,1.); #12479=VECTOR('',#36134,1.); #12480=VECTOR('',#36135,1.); #12481=VECTOR('',#36136,1.); #12482=VECTOR('',#36137,1.); #12483=VECTOR('',#36138,1.); #12484=VECTOR('',#36139,1.); #12485=VECTOR('',#36142,1.); #12486=VECTOR('',#36143,1.); #12487=VECTOR('',#36146,1.); #12488=VECTOR('',#36147,1.); #12489=VECTOR('',#36148,1.); #12490=VECTOR('',#36149,1.); #12491=VECTOR('',#36150,1.); #12492=VECTOR('',#36151,1.); #12493=VECTOR('',#36154,1.); #12494=VECTOR('',#36155,1.); #12495=VECTOR('',#36156,1.); #12496=VECTOR('',#36157,1.); #12497=VECTOR('',#36160,1.); #12498=VECTOR('',#36161,1.); #12499=VECTOR('',#36162,1.); #12500=VECTOR('',#36163,1.); #12501=VECTOR('',#36166,1.); #12502=VECTOR('',#36167,1.); #12503=VECTOR('',#36168,1.); #12504=VECTOR('',#36171,1.); #12505=VECTOR('',#36172,1.); #12506=VECTOR('',#36173,1.); #12507=VECTOR('',#36174,1.); #12508=VECTOR('',#36177,1.); #12509=VECTOR('',#36178,1.); #12510=VECTOR('',#36179,1.); #12511=VECTOR('',#36182,1.); #12512=VECTOR('',#36183,1.); #12513=VECTOR('',#36184,1.); #12514=VECTOR('',#36185,1.); #12515=VECTOR('',#36188,1.); #12516=VECTOR('',#36189,1.); #12517=VECTOR('',#36190,1.); #12518=VECTOR('',#36193,1.); #12519=VECTOR('',#36194,1.); #12520=VECTOR('',#36195,1.); #12521=VECTOR('',#36196,1.); #12522=VECTOR('',#36199,1.); #12523=VECTOR('',#36200,1.); #12524=VECTOR('',#36201,1.); #12525=VECTOR('',#36204,1.); #12526=VECTOR('',#36205,1.); #12527=VECTOR('',#36206,1.); #12528=VECTOR('',#36207,1.); #12529=VECTOR('',#36210,1.); #12530=VECTOR('',#36211,1.); #12531=VECTOR('',#36212,1.); #12532=VECTOR('',#36215,1.); #12533=VECTOR('',#36216,1.); #12534=VECTOR('',#36217,1.); #12535=VECTOR('',#36218,1.); #12536=VECTOR('',#36221,1.); #12537=VECTOR('',#36222,1.); #12538=VECTOR('',#36223,1.); #12539=VECTOR('',#36226,1.); #12540=VECTOR('',#36227,1.); #12541=VECTOR('',#36228,1.); #12542=VECTOR('',#36229,1.); #12543=VECTOR('',#36232,1.); #12544=VECTOR('',#36233,1.); #12545=VECTOR('',#36234,1.); #12546=VECTOR('',#36237,1.); #12547=VECTOR('',#36238,1.); #12548=VECTOR('',#36239,1.); #12549=VECTOR('',#36240,1.); #12550=VECTOR('',#36243,1.); #12551=VECTOR('',#36244,1.); #12552=VECTOR('',#36245,1.); #12553=VECTOR('',#36248,1.); #12554=VECTOR('',#36249,1.); #12555=VECTOR('',#36250,1.); #12556=VECTOR('',#36251,1.); #12557=VECTOR('',#36254,1.); #12558=VECTOR('',#36255,1.); #12559=VECTOR('',#36256,1.); #12560=VECTOR('',#36259,1.); #12561=VECTOR('',#36260,1.); #12562=VECTOR('',#36261,1.); #12563=VECTOR('',#36262,1.); #12564=VECTOR('',#36265,1.); #12565=VECTOR('',#36266,1.); #12566=VECTOR('',#36267,1.); #12567=VECTOR('',#36270,1.); #12568=VECTOR('',#36271,1.); #12569=VECTOR('',#36272,1.); #12570=VECTOR('',#36273,1.); #12571=VECTOR('',#36276,1.); #12572=VECTOR('',#36277,1.); #12573=VECTOR('',#36278,1.); #12574=VECTOR('',#36281,1.); #12575=VECTOR('',#36282,1.); #12576=VECTOR('',#36283,1.); #12577=VECTOR('',#36284,1.); #12578=VECTOR('',#36287,1.); #12579=VECTOR('',#36288,1.); #12580=VECTOR('',#36289,1.); #12581=VECTOR('',#36292,1.); #12582=VECTOR('',#36293,1.); #12583=VECTOR('',#36294,1.); #12584=VECTOR('',#36295,1.); #12585=VECTOR('',#36298,1.); #12586=VECTOR('',#36299,1.); #12587=VECTOR('',#36300,1.); #12588=VECTOR('',#36303,1.); #12589=VECTOR('',#36304,1.); #12590=VECTOR('',#36305,1.); #12591=VECTOR('',#36306,1.); #12592=VECTOR('',#36309,1.); #12593=VECTOR('',#36310,1.); #12594=VECTOR('',#36311,1.); #12595=VECTOR('',#36314,1.); #12596=VECTOR('',#36315,1.); #12597=VECTOR('',#36316,1.); #12598=VECTOR('',#36317,1.); #12599=VECTOR('',#36320,1.); #12600=VECTOR('',#36321,1.); #12601=VECTOR('',#36322,1.); #12602=VECTOR('',#36325,1.); #12603=VECTOR('',#36326,1.); #12604=VECTOR('',#36327,1.); #12605=VECTOR('',#36328,1.); #12606=VECTOR('',#36331,1.); #12607=VECTOR('',#36332,1.); #12608=VECTOR('',#36333,1.); #12609=VECTOR('',#36336,1.); #12610=VECTOR('',#36337,1.); #12611=VECTOR('',#36338,1.); #12612=VECTOR('',#36341,1.); #12613=VECTOR('',#36342,1.); #12614=VECTOR('',#36345,1.); #12615=VECTOR('',#36346,1.); #12616=VECTOR('',#36349,1.); #12617=VECTOR('',#36350,1.); #12618=VECTOR('',#36351,1.); #12619=VECTOR('',#36352,1.); #12620=VECTOR('',#36353,1.); #12621=VECTOR('',#36356,1.); #12622=VECTOR('',#36357,1.); #12623=VECTOR('',#36358,1.); #12624=VECTOR('',#36359,1.); #12625=VECTOR('',#36362,1.); #12626=VECTOR('',#36363,1.); #12627=VECTOR('',#36364,1.); #12628=VECTOR('',#36367,1.); #12629=VECTOR('',#36368,1.); #12630=VECTOR('',#36371,1.); #12631=VECTOR('',#36372,1.); #12632=VECTOR('',#36375,1.); #12633=VECTOR('',#36376,1.); #12634=VECTOR('',#36377,1.); #12635=VECTOR('',#36378,1.); #12636=VECTOR('',#36379,1.); #12637=VECTOR('',#36382,1.); #12638=VECTOR('',#36383,1.); #12639=VECTOR('',#36384,1.); #12640=VECTOR('',#36385,1.); #12641=VECTOR('',#36388,1.); #12642=VECTOR('',#36389,1.); #12643=VECTOR('',#36390,1.); #12644=VECTOR('',#36393,1.); #12645=VECTOR('',#36394,1.); #12646=VECTOR('',#36397,1.); #12647=VECTOR('',#36398,1.); #12648=VECTOR('',#36401,1.); #12649=VECTOR('',#36402,1.); #12650=VECTOR('',#36403,1.); #12651=VECTOR('',#36404,1.); #12652=VECTOR('',#36405,1.); #12653=VECTOR('',#36408,1.); #12654=VECTOR('',#36409,1.); #12655=VECTOR('',#36410,1.); #12656=VECTOR('',#36411,1.); #12657=VECTOR('',#36414,1.); #12658=VECTOR('',#36415,1.); #12659=VECTOR('',#36416,1.); #12660=VECTOR('',#36419,1.); #12661=VECTOR('',#36420,1.); #12662=VECTOR('',#36423,1.); #12663=VECTOR('',#36424,1.); #12664=VECTOR('',#36427,1.); #12665=VECTOR('',#36428,1.); #12666=VECTOR('',#36429,1.); #12667=VECTOR('',#36430,1.); #12668=VECTOR('',#36431,1.); #12669=VECTOR('',#36434,1.); #12670=VECTOR('',#36435,1.); #12671=VECTOR('',#36436,1.); #12672=VECTOR('',#36437,1.); #12673=VECTOR('',#36440,1.); #12674=VECTOR('',#36441,1.); #12675=VECTOR('',#36442,1.); #12676=VECTOR('',#36445,1.); #12677=VECTOR('',#36446,1.); #12678=VECTOR('',#36449,1.); #12679=VECTOR('',#36450,1.); #12680=VECTOR('',#36453,1.); #12681=VECTOR('',#36454,1.); #12682=VECTOR('',#36455,1.); #12683=VECTOR('',#36456,1.); #12684=VECTOR('',#36457,1.); #12685=VECTOR('',#36460,1.); #12686=VECTOR('',#36461,1.); #12687=VECTOR('',#36462,1.); #12688=VECTOR('',#36463,1.); #12689=VECTOR('',#36466,1.); #12690=VECTOR('',#36467,1.); #12691=VECTOR('',#36468,1.); #12692=VECTOR('',#36471,1.); #12693=VECTOR('',#36472,1.); #12694=VECTOR('',#36475,1.); #12695=VECTOR('',#36476,1.); #12696=VECTOR('',#36479,1.); #12697=VECTOR('',#36480,1.); #12698=VECTOR('',#36481,1.); #12699=VECTOR('',#36482,1.); #12700=VECTOR('',#36483,1.); #12701=VECTOR('',#36486,1.); #12702=VECTOR('',#36487,1.); #12703=VECTOR('',#36488,1.); #12704=VECTOR('',#36489,1.); #12705=VECTOR('',#36492,1.); #12706=VECTOR('',#36493,1.); #12707=VECTOR('',#36494,1.); #12708=VECTOR('',#36497,1.); #12709=VECTOR('',#36498,1.); #12710=VECTOR('',#36501,1.); #12711=VECTOR('',#36502,1.); #12712=VECTOR('',#36505,1.); #12713=VECTOR('',#36506,1.); #12714=VECTOR('',#36507,1.); #12715=VECTOR('',#36508,1.); #12716=VECTOR('',#36509,1.); #12717=VECTOR('',#36512,1.); #12718=VECTOR('',#36513,1.); #12719=VECTOR('',#36514,1.); #12720=VECTOR('',#36515,1.); #12721=VECTOR('',#36518,1.); #12722=VECTOR('',#36519,1.); #12723=VECTOR('',#36520,1.); #12724=VECTOR('',#36523,1.); #12725=VECTOR('',#36524,1.); #12726=VECTOR('',#36527,1.); #12727=VECTOR('',#36528,1.); #12728=VECTOR('',#36531,1.); #12729=VECTOR('',#36532,1.); #12730=VECTOR('',#36533,1.); #12731=VECTOR('',#36534,1.); #12732=VECTOR('',#36535,1.); #12733=VECTOR('',#36538,1.); #12734=VECTOR('',#36539,1.); #12735=VECTOR('',#36540,1.); #12736=VECTOR('',#36543,1.); #12737=VECTOR('',#36544,1.); #12738=VECTOR('',#36547,1.); #12739=VECTOR('',#36548,1.); #12740=VECTOR('',#36551,1.); #12741=VECTOR('',#36552,1.); #12742=VECTOR('',#36553,1.); #12743=VECTOR('',#36554,1.); #12744=VECTOR('',#36555,1.); #12745=VECTOR('',#36558,1.); #12746=VECTOR('',#36559,1.); #12747=VECTOR('',#36560,1.); #12748=VECTOR('',#36561,1.); #12749=VECTOR('',#36564,1.); #12750=VECTOR('',#36565,1.); #12751=VECTOR('',#36566,1.); #12752=VECTOR('',#36569,1.); #12753=VECTOR('',#36570,1.); #12754=VECTOR('',#36573,1.); #12755=VECTOR('',#36574,1.); #12756=VECTOR('',#36577,1.); #12757=VECTOR('',#36578,1.); #12758=VECTOR('',#36579,1.); #12759=VECTOR('',#36580,1.); #12760=VECTOR('',#36581,1.); #12761=VECTOR('',#36584,1.); #12762=VECTOR('',#36585,1.); #12763=VECTOR('',#36586,1.); #12764=VECTOR('',#36587,1.); #12765=VECTOR('',#36590,1.); #12766=VECTOR('',#36591,1.); #12767=VECTOR('',#36592,1.); #12768=VECTOR('',#36595,1.); #12769=VECTOR('',#36596,1.); #12770=VECTOR('',#36599,1.); #12771=VECTOR('',#36600,1.); #12772=VECTOR('',#36603,1.); #12773=VECTOR('',#36604,1.); #12774=VECTOR('',#36605,1.); #12775=VECTOR('',#36606,1.); #12776=VECTOR('',#36607,1.); #12777=VECTOR('',#36610,1.); #12778=VECTOR('',#36611,1.); #12779=VECTOR('',#36612,1.); #12780=VECTOR('',#36613,1.); #12781=VECTOR('',#36616,1.); #12782=VECTOR('',#36617,1.); #12783=VECTOR('',#36618,1.); #12784=VECTOR('',#36621,1.); #12785=VECTOR('',#36622,1.); #12786=VECTOR('',#36625,1.); #12787=VECTOR('',#36626,1.); #12788=VECTOR('',#36629,1.); #12789=VECTOR('',#36630,1.); #12790=VECTOR('',#36631,1.); #12791=VECTOR('',#36632,1.); #12792=VECTOR('',#36633,1.); #12793=VECTOR('',#36636,1.); #12794=VECTOR('',#36637,1.); #12795=VECTOR('',#36638,1.); #12796=VECTOR('',#36639,1.); #12797=VECTOR('',#36642,1.); #12798=VECTOR('',#36643,1.); #12799=VECTOR('',#36644,1.); #12800=VECTOR('',#36647,1.); #12801=VECTOR('',#36648,1.); #12802=VECTOR('',#36651,1.); #12803=VECTOR('',#36652,1.); #12804=VECTOR('',#36655,1.); #12805=VECTOR('',#36656,1.); #12806=VECTOR('',#36657,1.); #12807=VECTOR('',#36658,1.); #12808=VECTOR('',#36659,1.); #12809=VECTOR('',#36662,1.); #12810=VECTOR('',#36663,1.); #12811=VECTOR('',#36664,1.); #12812=VECTOR('',#36665,1.); #12813=VECTOR('',#36668,1.); #12814=VECTOR('',#36669,1.); #12815=VECTOR('',#36670,1.); #12816=VECTOR('',#36673,1.); #12817=VECTOR('',#36674,1.); #12818=VECTOR('',#36677,1.); #12819=VECTOR('',#36678,1.); #12820=VECTOR('',#36681,1.); #12821=VECTOR('',#36682,1.); #12822=VECTOR('',#36683,1.); #12823=VECTOR('',#36684,1.); #12824=VECTOR('',#36685,1.); #12825=VECTOR('',#36688,1.); #12826=VECTOR('',#36689,1.); #12827=VECTOR('',#36690,1.); #12828=VECTOR('',#36691,1.); #12829=VECTOR('',#36694,1.); #12830=VECTOR('',#36695,1.); #12831=VECTOR('',#36696,1.); #12832=VECTOR('',#36699,1.); #12833=VECTOR('',#36700,1.); #12834=VECTOR('',#36703,1.); #12835=VECTOR('',#36704,1.); #12836=VECTOR('',#36707,1.); #12837=VECTOR('',#36708,1.); #12838=VECTOR('',#36709,1.); #12839=VECTOR('',#36710,1.); #12840=VECTOR('',#36711,1.); #12841=VECTOR('',#36714,1.); #12842=VECTOR('',#36715,1.); #12843=VECTOR('',#36716,1.); #12844=VECTOR('',#36717,1.); #12845=VECTOR('',#36720,1.); #12846=VECTOR('',#36721,1.); #12847=VECTOR('',#36722,1.); #12848=VECTOR('',#36725,1.); #12849=VECTOR('',#36726,1.); #12850=VECTOR('',#36729,1.); #12851=VECTOR('',#36730,1.); #12852=VECTOR('',#36733,1.); #12853=VECTOR('',#36734,1.); #12854=VECTOR('',#36735,1.); #12855=VECTOR('',#36736,1.); #12856=VECTOR('',#36737,1.); #12857=VECTOR('',#36740,1.); #12858=VECTOR('',#36741,1.); #12859=VECTOR('',#36742,1.); #12860=VECTOR('',#36743,1.); #12861=VECTOR('',#36746,1.); #12862=VECTOR('',#36747,1.); #12863=VECTOR('',#36748,1.); #12864=VECTOR('',#36749,1.); #12865=VECTOR('',#36754,1.); #12866=VECTOR('',#36759,1.); #12867=VECTOR('',#36760,1.); #12868=VECTOR('',#36761,1.); #12869=VECTOR('',#36764,1.); #12870=VECTOR('',#36765,1.); #12871=VECTOR('',#36766,1.); #12872=VECTOR('',#36767,1.); #12873=VECTOR('',#36772,1.); #12874=VECTOR('',#36777,1.); #12875=VECTOR('',#36778,1.); #12876=VECTOR('',#36779,1.); #12877=VECTOR('',#36782,1.); #12878=VECTOR('',#36783,1.); #12879=VECTOR('',#36784,1.); #12880=VECTOR('',#36785,1.); #12881=VECTOR('',#36790,1.); #12882=VECTOR('',#36795,1.); #12883=VECTOR('',#36796,1.); #12884=VECTOR('',#36797,1.); #12885=VECTOR('',#36800,1.); #12886=VECTOR('',#36801,1.); #12887=VECTOR('',#36802,1.); #12888=VECTOR('',#36803,1.); #12889=VECTOR('',#36808,1.); #12890=VECTOR('',#36813,1.); #12891=VECTOR('',#36814,1.); #12892=VECTOR('',#36815,1.); #12893=VECTOR('',#36818,1.); #12894=VECTOR('',#36819,1.); #12895=VECTOR('',#36820,1.); #12896=VECTOR('',#36821,1.); #12897=VECTOR('',#36826,1.); #12898=VECTOR('',#36831,1.); #12899=VECTOR('',#36832,1.); #12900=VECTOR('',#36833,1.); #12901=VECTOR('',#36836,1.); #12902=VECTOR('',#36837,1.); #12903=VECTOR('',#36838,1.); #12904=VECTOR('',#36839,1.); #12905=VECTOR('',#36844,1.); #12906=VECTOR('',#36849,1.); #12907=VECTOR('',#36850,1.); #12908=VECTOR('',#36851,1.); #12909=VECTOR('',#36854,1.); #12910=VECTOR('',#36855,1.); #12911=VECTOR('',#36856,1.); #12912=VECTOR('',#36857,1.); #12913=VECTOR('',#36862,1.); #12914=VECTOR('',#36867,1.); #12915=VECTOR('',#36868,1.); #12916=VECTOR('',#36869,1.); #12917=VECTOR('',#36872,1.); #12918=VECTOR('',#36873,1.); #12919=VECTOR('',#36874,1.); #12920=VECTOR('',#36875,1.); #12921=VECTOR('',#36880,1.); #12922=VECTOR('',#36885,1.); #12923=VECTOR('',#36886,1.); #12924=VECTOR('',#36887,1.); #12925=VECTOR('',#36890,1.); #12926=VECTOR('',#36891,1.); #12927=VECTOR('',#36892,1.); #12928=VECTOR('',#36893,1.); #12929=VECTOR('',#36898,1.); #12930=VECTOR('',#36903,1.); #12931=VECTOR('',#36904,1.); #12932=VECTOR('',#36905,1.); #12933=VECTOR('',#36908,1.); #12934=VECTOR('',#36909,1.); #12935=VECTOR('',#36910,1.); #12936=VECTOR('',#36911,1.); #12937=VECTOR('',#36916,1.); #12938=VECTOR('',#36921,1.); #12939=VECTOR('',#36922,1.); #12940=VECTOR('',#36923,1.); #12941=VECTOR('',#36926,1.); #12942=VECTOR('',#36927,1.); #12943=VECTOR('',#36928,1.); #12944=VECTOR('',#36929,1.); #12945=VECTOR('',#36934,1.); #12946=VECTOR('',#36939,1.); #12947=VECTOR('',#36940,1.); #12948=VECTOR('',#36941,1.); #12949=VECTOR('',#36944,1.); #12950=VECTOR('',#36945,1.); #12951=VECTOR('',#36946,1.); #12952=VECTOR('',#36947,1.); #12953=VECTOR('',#36952,1.); #12954=VECTOR('',#36957,1.); #12955=VECTOR('',#36958,1.); #12956=VECTOR('',#36959,1.); #12957=VECTOR('',#36962,1.); #12958=VECTOR('',#36963,1.); #12959=VECTOR('',#36964,1.); #12960=VECTOR('',#36965,1.); #12961=VECTOR('',#36970,1.); #12962=VECTOR('',#36975,1.); #12963=VECTOR('',#36976,1.); #12964=VECTOR('',#36977,1.); #12965=VECTOR('',#36980,1.); #12966=VECTOR('',#36981,1.); #12967=VECTOR('',#36982,1.); #12968=VECTOR('',#36983,1.); #12969=VECTOR('',#36988,1.); #12970=VECTOR('',#36993,1.); #12971=VECTOR('',#36994,1.); #12972=VECTOR('',#36995,1.); #12973=VECTOR('',#36998,1.); #12974=VECTOR('',#36999,1.); #12975=VECTOR('',#37000,1.); #12976=VECTOR('',#37001,1.); #12977=VECTOR('',#37006,1.); #12978=VECTOR('',#37011,1.); #12979=VECTOR('',#37012,1.); #12980=VECTOR('',#37013,1.); #12981=VECTOR('',#37016,1.); #12982=VECTOR('',#37017,1.); #12983=VECTOR('',#37018,1.); #12984=VECTOR('',#37019,1.); #12985=VECTOR('',#37024,1.); #12986=VECTOR('',#37029,1.); #12987=VECTOR('',#37030,1.); #12988=VECTOR('',#37031,1.); #12989=VECTOR('',#37034,1.); #12990=VECTOR('',#37035,1.); #12991=VECTOR('',#37036,1.); #12992=VECTOR('',#37039,1.); #12993=VECTOR('',#37040,1.); #12994=VECTOR('',#37041,1.); #12995=VECTOR('',#37044,1.); #12996=VECTOR('',#37045,1.); #12997=VECTOR('',#37046,1.); #12998=VECTOR('',#37049,1.); #12999=VECTOR('',#37050,1.); #13000=VECTOR('',#37051,1.); #13001=VECTOR('',#37054,1.); #13002=VECTOR('',#37055,1.); #13003=VECTOR('',#37056,1.); #13004=VECTOR('',#37059,1.); #13005=VECTOR('',#37060,1.); #13006=VECTOR('',#37061,1.); #13007=VECTOR('',#37064,1.); #13008=VECTOR('',#37065,1.); #13009=VECTOR('',#37066,1.); #13010=VECTOR('',#37069,1.); #13011=VECTOR('',#37070,1.); #13012=VECTOR('',#37071,1.); #13013=VECTOR('',#37074,1.); #13014=VECTOR('',#37075,1.); #13015=VECTOR('',#37076,1.); #13016=VECTOR('',#37079,1.); #13017=VECTOR('',#37080,1.); #13018=VECTOR('',#37081,1.); #13019=VECTOR('',#37084,1.); #13020=VECTOR('',#37085,1.); #13021=VECTOR('',#37086,1.); #13022=VECTOR('',#37089,1.); #13023=VECTOR('',#37090,1.); #13024=VECTOR('',#37091,1.); #13025=VECTOR('',#37094,1.); #13026=VECTOR('',#37095,1.); #13027=VECTOR('',#37096,1.); #13028=VECTOR('',#37099,1.); #13029=VECTOR('',#37100,1.); #13030=VECTOR('',#37101,1.); #13031=VECTOR('',#37104,1.); #13032=VECTOR('',#37105,1.); #13033=VECTOR('',#37106,1.); #13034=VECTOR('',#37109,1.); #13035=VECTOR('',#37110,1.); #13036=VECTOR('',#37111,1.); #13037=VECTOR('',#37114,1.); #13038=VECTOR('',#37115,1.); #13039=VECTOR('',#37116,1.); #13040=VECTOR('',#37119,1.); #13041=VECTOR('',#37120,1.); #13042=VECTOR('',#37121,1.); #13043=VECTOR('',#37124,1.); #13044=VECTOR('',#37125,1.); #13045=VECTOR('',#37126,1.); #13046=VECTOR('',#37129,1.); #13047=VECTOR('',#37130,1.); #13048=VECTOR('',#37131,1.); #13049=VECTOR('',#37134,1.); #13050=VECTOR('',#37135,1.); #13051=VECTOR('',#37136,1.); #13052=VECTOR('',#37139,1.); #13053=VECTOR('',#37140,1.); #13054=VECTOR('',#37141,1.); #13055=VECTOR('',#37144,1.); #13056=VECTOR('',#37145,1.); #13057=VECTOR('',#37146,1.); #13058=VECTOR('',#37149,1.); #13059=VECTOR('',#37150,1.); #13060=VECTOR('',#37151,1.); #13061=VECTOR('',#37154,1.); #13062=VECTOR('',#37155,1.); #13063=VECTOR('',#37156,1.); #13064=VECTOR('',#37159,1.); #13065=VECTOR('',#37160,1.); #13066=VECTOR('',#37161,1.); #13067=VECTOR('',#37164,1.); #13068=VECTOR('',#37165,1.); #13069=VECTOR('',#37166,1.); #13070=VECTOR('',#37169,1.); #13071=VECTOR('',#37170,1.); #13072=VECTOR('',#37171,1.); #13073=VECTOR('',#37174,1.); #13074=VECTOR('',#37175,1.); #13075=VECTOR('',#37176,1.); #13076=VECTOR('',#37179,1.); #13077=VECTOR('',#37180,1.); #13078=VECTOR('',#37181,1.); #13079=VECTOR('',#37184,1.); #13080=VECTOR('',#37185,1.); #13081=VECTOR('',#37186,1.); #13082=VECTOR('',#37189,1.); #13083=VECTOR('',#37190,1.); #13084=VECTOR('',#37191,1.); #13085=VECTOR('',#37194,1.); #13086=VECTOR('',#37195,1.); #13087=VECTOR('',#37196,1.); #13088=VECTOR('',#37197,1.); #13089=VECTOR('',#37200,1.); #13090=VECTOR('',#37201,1.); #13091=VECTOR('',#37202,1.); #13092=VECTOR('',#37205,1.); #13093=VECTOR('',#37206,1.); #13094=VECTOR('',#37207,1.); #13095=VECTOR('',#37210,1.); #13096=VECTOR('',#37211,1.); #13097=VECTOR('',#37212,1.); #13098=VECTOR('',#37215,1.); #13099=VECTOR('',#37216,1.); #13100=VECTOR('',#37217,1.); #13101=VECTOR('',#37220,1.); #13102=VECTOR('',#37221,1.); #13103=VECTOR('',#37222,1.); #13104=VECTOR('',#37225,1.); #13105=VECTOR('',#37226,1.); #13106=VECTOR('',#37227,1.); #13107=VECTOR('',#37230,1.); #13108=VECTOR('',#37231,1.); #13109=VECTOR('',#37232,1.); #13110=VECTOR('',#37235,1.); #13111=VECTOR('',#37236,1.); #13112=VECTOR('',#37237,1.); #13113=VECTOR('',#37238,1.); #13114=VECTOR('',#37241,1.); #13115=VECTOR('',#37242,1.); #13116=VECTOR('',#37243,1.); #13117=VECTOR('',#37246,1.); #13118=VECTOR('',#37251,1.); #13119=VECTOR('',#37254,1.); #13120=VECTOR('',#37255,1.); #13121=VECTOR('',#37256,1.); #13122=VECTOR('',#37257,1.); #13123=VECTOR('',#37260,1.); #13124=VECTOR('',#37261,1.); #13125=VECTOR('',#37262,1.); #13126=VECTOR('',#37265,1.); #13127=VECTOR('',#37266,1.); #13128=VECTOR('',#37267,1.); #13129=VECTOR('',#37272,1.); #13130=VECTOR('',#37275,1.); #13131=VECTOR('',#37276,1.); #13132=VECTOR('',#37281,1.); #13133=VECTOR('',#37286,1.); #13134=VECTOR('',#37289,1.); #13135=VECTOR('',#37294,1.); #13136=VECTOR('',#37299,1.); #13137=VECTOR('',#37300,1.); #13138=VECTOR('',#37301,1.); #13139=VECTOR('',#37306,1.); #13140=VECTOR('',#37309,1.); #13141=VECTOR('',#37310,1.); #13142=VECTOR('',#37315,1.); #13143=VECTOR('',#37320,1.); #13144=VECTOR('',#37323,1.); #13145=VECTOR('',#37328,1.); #13146=VECTOR('',#37333,1.); #13147=VECTOR('',#37334,1.); #13148=VECTOR('',#37335,1.); #13149=VECTOR('',#37340,1.); #13150=VECTOR('',#37343,1.); #13151=VECTOR('',#37344,1.); #13152=VECTOR('',#37349,1.); #13153=VECTOR('',#37354,1.); #13154=VECTOR('',#37357,1.); #13155=VECTOR('',#37362,1.); #13156=VECTOR('',#37367,1.); #13157=VECTOR('',#37368,1.); #13158=VECTOR('',#37369,1.); #13159=VECTOR('',#37374,1.); #13160=VECTOR('',#37377,1.); #13161=VECTOR('',#37378,1.); #13162=VECTOR('',#37383,1.); #13163=VECTOR('',#37388,1.); #13164=VECTOR('',#37391,1.); #13165=VECTOR('',#37396,1.); #13166=VECTOR('',#37401,1.); #13167=VECTOR('',#37402,1.); #13168=VECTOR('',#37403,1.); #13169=VECTOR('',#37408,1.); #13170=VECTOR('',#37411,1.); #13171=VECTOR('',#37412,1.); #13172=VECTOR('',#37417,1.); #13173=VECTOR('',#37422,1.); #13174=VECTOR('',#37425,1.); #13175=VECTOR('',#37430,1.); #13176=VECTOR('',#37435,1.); #13177=VECTOR('',#37436,1.); #13178=VECTOR('',#37437,1.); #13179=VECTOR('',#37442,1.); #13180=VECTOR('',#37445,1.); #13181=VECTOR('',#37446,1.); #13182=VECTOR('',#37451,1.); #13183=VECTOR('',#37456,1.); #13184=VECTOR('',#37459,1.); #13185=VECTOR('',#37464,1.); #13186=VECTOR('',#37469,1.); #13187=VECTOR('',#37470,1.); #13188=VECTOR('',#37471,1.); #13189=VECTOR('',#37476,1.); #13190=VECTOR('',#37479,1.); #13191=VECTOR('',#37480,1.); #13192=VECTOR('',#37485,1.); #13193=VECTOR('',#37490,1.); #13194=VECTOR('',#37493,1.); #13195=VECTOR('',#37498,1.); #13196=VECTOR('',#37503,1.); #13197=VECTOR('',#37504,1.); #13198=VECTOR('',#37505,1.); #13199=VECTOR('',#37510,1.); #13200=VECTOR('',#37513,1.); #13201=VECTOR('',#37514,1.); #13202=VECTOR('',#37519,1.); #13203=VECTOR('',#37522,1.); #13204=VECTOR('',#37525,1.); #13205=VECTOR('',#37532,1.); #13206=VECTOR('',#37537,1.); #13207=VECTOR('',#37538,1.); #13208=VECTOR('',#37539,1.); #13209=VECTOR('',#37544,1.); #13210=VECTOR('',#37547,1.); #13211=VECTOR('',#37548,1.); #13212=VECTOR('',#37553,1.); #13213=VECTOR('',#37558,1.); #13214=VECTOR('',#37561,1.); #13215=VECTOR('',#37566,1.); #13216=VECTOR('',#37571,1.); #13217=VECTOR('',#37572,1.); #13218=VECTOR('',#37573,1.); #13219=VECTOR('',#37578,1.); #13220=VECTOR('',#37581,1.); #13221=VECTOR('',#37582,1.); #13222=VECTOR('',#37587,1.); #13223=VECTOR('',#37592,1.); #13224=VECTOR('',#37595,1.); #13225=VECTOR('',#37600,1.); #13226=VECTOR('',#37605,1.); #13227=VECTOR('',#37606,1.); #13228=VECTOR('',#37607,1.); #13229=VECTOR('',#37612,1.); #13230=VECTOR('',#37615,1.); #13231=VECTOR('',#37616,1.); #13232=VECTOR('',#37621,1.); #13233=VECTOR('',#37626,1.); #13234=VECTOR('',#37629,1.); #13235=VECTOR('',#37634,1.); #13236=VECTOR('',#37639,1.); #13237=VECTOR('',#37640,1.); #13238=VECTOR('',#37641,1.); #13239=VECTOR('',#37646,1.); #13240=VECTOR('',#37649,1.); #13241=VECTOR('',#37650,1.); #13242=VECTOR('',#37655,1.); #13243=VECTOR('',#37660,1.); #13244=VECTOR('',#37663,1.); #13245=VECTOR('',#37668,1.); #13246=VECTOR('',#37673,1.); #13247=VECTOR('',#37674,1.); #13248=VECTOR('',#37675,1.); #13249=VECTOR('',#37680,1.); #13250=VECTOR('',#37683,1.); #13251=VECTOR('',#37684,1.); #13252=VECTOR('',#37689,1.); #13253=VECTOR('',#37694,1.); #13254=VECTOR('',#37697,1.); #13255=VECTOR('',#37702,1.); #13256=VECTOR('',#37707,1.); #13257=VECTOR('',#37708,1.); #13258=VECTOR('',#37709,1.); #13259=VECTOR('',#37714,1.); #13260=VECTOR('',#37717,1.); #13261=VECTOR('',#37718,1.); #13262=VECTOR('',#37723,1.); #13263=VECTOR('',#37728,1.); #13264=VECTOR('',#37731,1.); #13265=VECTOR('',#37736,1.); #13266=VECTOR('',#37741,1.); #13267=VECTOR('',#37742,1.); #13268=VECTOR('',#37743,1.); #13269=VECTOR('',#37748,1.); #13270=VECTOR('',#37751,1.); #13271=VECTOR('',#37752,1.); #13272=VECTOR('',#37757,1.); #13273=VECTOR('',#37762,1.); #13274=VECTOR('',#37765,1.); #13275=VECTOR('',#37770,1.); #13276=VECTOR('',#37775,1.); #13277=VECTOR('',#37776,1.); #13278=VECTOR('',#37777,1.); #13279=VECTOR('',#37782,1.); #13280=VECTOR('',#37785,1.); #13281=VECTOR('',#37786,1.); #13282=VECTOR('',#37791,1.); #13283=VECTOR('',#37794,1.); #13284=VECTOR('',#37795,1.); #13285=VECTOR('',#37796,1.); #13286=VECTOR('',#37797,1.); #13287=VECTOR('',#37800,1.); #13288=VECTOR('',#37801,1.); #13289=VECTOR('',#37802,1.); #13290=VECTOR('',#37805,1.); #13291=VECTOR('',#37806,1.); #13292=VECTOR('',#37807,1.); #13293=VECTOR('',#37810,1.); #13294=VECTOR('',#37811,1.); #13295=VECTOR('',#37812,1.); #13296=VECTOR('',#37821,1.); #13297=VECTOR('',#37822,1.); #13298=VECTOR('',#37823,1.); #13299=VECTOR('',#37824,1.); #13300=VECTOR('',#37827,1.); #13301=VECTOR('',#37828,1.); #13302=VECTOR('',#37829,1.); #13303=VECTOR('',#37832,1.); #13304=VECTOR('',#37833,1.); #13305=VECTOR('',#37834,1.); #13306=VECTOR('',#37837,1.); #13307=VECTOR('',#37838,1.); #13308=VECTOR('',#37839,1.); #13309=VECTOR('',#37842,1.); #13310=VECTOR('',#37843,1.); #13311=VECTOR('',#37844,1.); #13312=VECTOR('',#37847,1.); #13313=VECTOR('',#37848,1.); #13314=VECTOR('',#37849,1.); #13315=VECTOR('',#37852,1.); #13316=VECTOR('',#37853,1.); #13317=VECTOR('',#37854,1.); #13318=VECTOR('',#37857,1.); #13319=VECTOR('',#37858,1.); #13320=VECTOR('',#37859,1.); #13321=VECTOR('',#37862,1.); #13322=VECTOR('',#37863,1.); #13323=VECTOR('',#37864,1.); #13324=VECTOR('',#37865,1.); #13325=VECTOR('',#37868,1.); #13326=VECTOR('',#37869,1.); #13327=VECTOR('',#37870,1.); #13328=VECTOR('',#37873,1.); #13329=VECTOR('',#37874,1.); #13330=VECTOR('',#37875,1.); #13331=VECTOR('',#37876,1.); #13332=VECTOR('',#37879,1.); #13333=VECTOR('',#37880,1.); #13334=VECTOR('',#37881,1.); #13335=VECTOR('',#37884,1.); #13336=VECTOR('',#37885,1.); #13337=VECTOR('',#37888,1.); #13338=VECTOR('',#37889,1.); #13339=VECTOR('',#37892,1.); #13340=VECTOR('',#37893,1.); #13341=VECTOR('',#37894,1.); #13342=VECTOR('',#37895,1.); #13343=VECTOR('',#37898,1.); #13344=VECTOR('',#37899,1.); #13345=VECTOR('',#37900,1.); #13346=VECTOR('',#37903,1.); #13347=VECTOR('',#37904,1.); #13348=VECTOR('',#37907,1.); #13349=VECTOR('',#37908,1.); #13350=VECTOR('',#37911,1.); #13351=VECTOR('',#37912,1.); #13352=VECTOR('',#37913,1.); #13353=VECTOR('',#37914,1.); #13354=VECTOR('',#37917,1.); #13355=VECTOR('',#37918,1.); #13356=VECTOR('',#37919,1.); #13357=VECTOR('',#37922,1.); #13358=VECTOR('',#37923,1.); #13359=VECTOR('',#37926,1.); #13360=VECTOR('',#37927,1.); #13361=VECTOR('',#37930,1.); #13362=VECTOR('',#37931,1.); #13363=VECTOR('',#37932,1.); #13364=VECTOR('',#37933,1.); #13365=VECTOR('',#37936,1.); #13366=VECTOR('',#37937,1.); #13367=VECTOR('',#37938,1.); #13368=VECTOR('',#37941,1.); #13369=VECTOR('',#37942,1.); #13370=VECTOR('',#37945,1.); #13371=VECTOR('',#37946,1.); #13372=VECTOR('',#37949,1.); #13373=VECTOR('',#37950,1.); #13374=VECTOR('',#37951,1.); #13375=VECTOR('',#37952,1.); #13376=VECTOR('',#37955,1.); #13377=VECTOR('',#37956,1.); #13378=VECTOR('',#37957,1.); #13379=VECTOR('',#37960,1.); #13380=VECTOR('',#37961,1.); #13381=VECTOR('',#37964,1.); #13382=VECTOR('',#37965,1.); #13383=VECTOR('',#37968,1.); #13384=VECTOR('',#37969,1.); #13385=VECTOR('',#37970,1.); #13386=VECTOR('',#37971,1.); #13387=VECTOR('',#37974,1.); #13388=VECTOR('',#37975,1.); #13389=VECTOR('',#37976,1.); #13390=VECTOR('',#37979,1.); #13391=VECTOR('',#37980,1.); #13392=VECTOR('',#37983,1.); #13393=VECTOR('',#37984,1.); #13394=VECTOR('',#37987,1.); #13395=VECTOR('',#37988,1.); #13396=VECTOR('',#37989,1.); #13397=VECTOR('',#37990,1.); #13398=VECTOR('',#37993,1.); #13399=VECTOR('',#37994,1.); #13400=VECTOR('',#37995,1.); #13401=VECTOR('',#37998,1.); #13402=VECTOR('',#37999,1.); #13403=VECTOR('',#38002,1.); #13404=VECTOR('',#38003,1.); #13405=VECTOR('',#38006,1.); #13406=VECTOR('',#38007,1.); #13407=VECTOR('',#38008,1.); #13408=VECTOR('',#38009,1.); #13409=VECTOR('',#38012,1.); #13410=VECTOR('',#38013,1.); #13411=VECTOR('',#38014,1.); #13412=VECTOR('',#38017,1.); #13413=VECTOR('',#38018,1.); #13414=VECTOR('',#38021,1.); #13415=VECTOR('',#38022,1.); #13416=VECTOR('',#38025,1.); #13417=VECTOR('',#38026,1.); #13418=VECTOR('',#38027,1.); #13419=VECTOR('',#38028,1.); #13420=VECTOR('',#38031,1.); #13421=VECTOR('',#38032,1.); #13422=VECTOR('',#38033,1.); #13423=VECTOR('',#38036,1.); #13424=VECTOR('',#38037,1.); #13425=VECTOR('',#38040,1.); #13426=VECTOR('',#38041,1.); #13427=VECTOR('',#38044,1.); #13428=VECTOR('',#38045,1.); #13429=VECTOR('',#38046,1.); #13430=VECTOR('',#38047,1.); #13431=VECTOR('',#38050,1.); #13432=VECTOR('',#38051,1.); #13433=VECTOR('',#38052,1.); #13434=VECTOR('',#38055,1.); #13435=VECTOR('',#38056,1.); #13436=VECTOR('',#38059,1.); #13437=VECTOR('',#38060,1.); #13438=VECTOR('',#38063,1.); #13439=VECTOR('',#38064,1.); #13440=VECTOR('',#38065,1.); #13441=VECTOR('',#38066,1.); #13442=VECTOR('',#38069,1.); #13443=VECTOR('',#38070,1.); #13444=VECTOR('',#38071,1.); #13445=VECTOR('',#38074,1.); #13446=VECTOR('',#38075,1.); #13447=VECTOR('',#38078,1.); #13448=VECTOR('',#38079,1.); #13449=VECTOR('',#38082,1.); #13450=VECTOR('',#38083,1.); #13451=VECTOR('',#38084,1.); #13452=VECTOR('',#38085,1.); #13453=VECTOR('',#38088,1.); #13454=VECTOR('',#38089,1.); #13455=VECTOR('',#38090,1.); #13456=VECTOR('',#38093,1.); #13457=VECTOR('',#38094,1.); #13458=VECTOR('',#38097,1.); #13459=VECTOR('',#38098,1.); #13460=VECTOR('',#38101,1.); #13461=VECTOR('',#38102,1.); #13462=VECTOR('',#38103,1.); #13463=VECTOR('',#38104,1.); #13464=VECTOR('',#38107,1.); #13465=VECTOR('',#38108,1.); #13466=VECTOR('',#38109,1.); #13467=VECTOR('',#38112,1.); #13468=VECTOR('',#38113,1.); #13469=VECTOR('',#38116,1.); #13470=VECTOR('',#38117,1.); #13471=VECTOR('',#38120,1.); #13472=VECTOR('',#38121,1.); #13473=VECTOR('',#38122,1.); #13474=VECTOR('',#38123,1.); #13475=VECTOR('',#38126,1.); #13476=VECTOR('',#38127,1.); #13477=VECTOR('',#38128,1.); #13478=VECTOR('',#38131,1.); #13479=VECTOR('',#38132,1.); #13480=VECTOR('',#38135,1.); #13481=VECTOR('',#38136,1.); #13482=VECTOR('',#38139,1.); #13483=VECTOR('',#38140,1.); #13484=VECTOR('',#38141,1.); #13485=VECTOR('',#38142,1.); #13486=VECTOR('',#38145,1.); #13487=VECTOR('',#38146,1.); #13488=VECTOR('',#38147,1.); #13489=VECTOR('',#38150,1.); #13490=VECTOR('',#38151,1.); #13491=VECTOR('',#38154,1.); #13492=VECTOR('',#38155,1.); #13493=VECTOR('',#38158,1.); #13494=VECTOR('',#38159,1.); #13495=VECTOR('',#38160,1.); #13496=VECTOR('',#38161,1.); #13497=VECTOR('',#38164,1.); #13498=VECTOR('',#38165,1.); #13499=VECTOR('',#38166,1.); #13500=VECTOR('',#38169,1.); #13501=VECTOR('',#38170,1.); #13502=VECTOR('',#38173,1.); #13503=VECTOR('',#38174,1.); #13504=VECTOR('',#38177,1.); #13505=VECTOR('',#38178,1.); #13506=VECTOR('',#38179,1.); #13507=VECTOR('',#38182,1.); #13508=VECTOR('',#38183,1.); #13509=VECTOR('',#38186,1.); #13510=VECTOR('',#38187,1.); #13511=VECTOR('',#38190,1.); #13512=VECTOR('',#38191,1.); #13513=VECTOR('',#38194,1.); #13514=VECTOR('',#38195,1.); #13515=VECTOR('',#38198,1.); #13516=VECTOR('',#38199,1.); #13517=VECTOR('',#38202,1.); #13518=VECTOR('',#38203,1.); #13519=VECTOR('',#38206,1.); #13520=VECTOR('',#38207,1.); #13521=VECTOR('',#38210,1.); #13522=VECTOR('',#38211,1.); #13523=VECTOR('',#38214,1.); #13524=VECTOR('',#38215,1.); #13525=VECTOR('',#38218,1.); #13526=VECTOR('',#38219,1.); #13527=VECTOR('',#38222,1.); #13528=VECTOR('',#38223,1.); #13529=VECTOR('',#38226,1.); #13530=VECTOR('',#38227,1.); #13531=VECTOR('',#38230,1.); #13532=VECTOR('',#38231,1.); #13533=VECTOR('',#38234,1.); #13534=VECTOR('',#38235,1.); #13535=VECTOR('',#38238,1.); #13536=VECTOR('',#38239,1.); #13537=VECTOR('',#38242,1.); #13538=VECTOR('',#38243,1.); #13539=VECTOR('',#38246,1.); #13540=VECTOR('',#38247,1.); #13541=VECTOR('',#38250,1.); #13542=VECTOR('',#38251,1.); #13543=VECTOR('',#38254,1.); #13544=VECTOR('',#38255,1.); #13545=VECTOR('',#38258,1.); #13546=VECTOR('',#38259,1.); #13547=VECTOR('',#38262,1.); #13548=VECTOR('',#38263,1.); #13549=VECTOR('',#38266,1.); #13550=VECTOR('',#38267,1.); #13551=VECTOR('',#38270,1.); #13552=VECTOR('',#38271,1.); #13553=VECTOR('',#38274,1.); #13554=VECTOR('',#38275,1.); #13555=VECTOR('',#38278,1.); #13556=VECTOR('',#38279,1.); #13557=VECTOR('',#38282,1.); #13558=VECTOR('',#38283,1.); #13559=VECTOR('',#38286,1.); #13560=VECTOR('',#38287,1.); #13561=VECTOR('',#38290,1.); #13562=VECTOR('',#38291,1.); #13563=VECTOR('',#38294,1.); #13564=VECTOR('',#38295,1.); #13565=VECTOR('',#38298,1.); #13566=VECTOR('',#38299,1.); #13567=VECTOR('',#38302,1.); #13568=VECTOR('',#38303,1.); #13569=VECTOR('',#38306,1.); #13570=VECTOR('',#38307,1.); #13571=VECTOR('',#38308,1.); #13572=VECTOR('',#38309,1.); #13573=VECTOR('',#38310,1.); #13574=VECTOR('',#38313,1.); #13575=VECTOR('',#38314,1.); #13576=VECTOR('',#38315,1.); #13577=VECTOR('',#38316,1.); #13578=VECTOR('',#38317,1.); #13579=VECTOR('',#38320,1.); #13580=VECTOR('',#38321,1.); #13581=VECTOR('',#38322,1.); #13582=VECTOR('',#38325,1.); #13583=VECTOR('',#38326,1.); #13584=VECTOR('',#38329,1.); #13585=VECTOR('',#38330,1.); #13586=VECTOR('',#38333,1.); #13587=VECTOR('',#38334,1.); #13588=VECTOR('',#38337,1.); #13589=VECTOR('',#38338,1.); #13590=VECTOR('',#38341,1.); #13591=VECTOR('',#38342,1.); #13592=VECTOR('',#38345,1.); #13593=VECTOR('',#38346,1.); #13594=VECTOR('',#38349,1.); #13595=VECTOR('',#38350,1.); #13596=VECTOR('',#38353,1.); #13597=VECTOR('',#38354,1.); #13598=VECTOR('',#38357,1.); #13599=VECTOR('',#38358,1.); #13600=VECTOR('',#38361,1.); #13601=VECTOR('',#38362,1.); #13602=VECTOR('',#38365,1.); #13603=VECTOR('',#38366,1.); #13604=VECTOR('',#38369,1.); #13605=VECTOR('',#38370,1.); #13606=VECTOR('',#38373,1.); #13607=VECTOR('',#38374,1.); #13608=VECTOR('',#38377,1.); #13609=VECTOR('',#38378,1.); #13610=VECTOR('',#38381,1.); #13611=VECTOR('',#38382,1.); #13612=VECTOR('',#38385,1.); #13613=VECTOR('',#38386,1.); #13614=VECTOR('',#38389,1.); #13615=VECTOR('',#38390,1.); #13616=VECTOR('',#38393,1.); #13617=VECTOR('',#38394,1.); #13618=VECTOR('',#38397,1.); #13619=VECTOR('',#38398,1.); #13620=VECTOR('',#38401,1.); #13621=VECTOR('',#38402,1.); #13622=VECTOR('',#38405,1.); #13623=VECTOR('',#38406,1.); #13624=VECTOR('',#38409,1.); #13625=VECTOR('',#38410,1.); #13626=VECTOR('',#38413,1.); #13627=VECTOR('',#38414,1.); #13628=VECTOR('',#38417,1.); #13629=VECTOR('',#38418,1.); #13630=VECTOR('',#38421,1.); #13631=VECTOR('',#38422,1.); #13632=VECTOR('',#38425,1.); #13633=VECTOR('',#38426,1.); #13634=VECTOR('',#38429,1.); #13635=VECTOR('',#38430,1.); #13636=VECTOR('',#38433,1.); #13637=VECTOR('',#38434,1.); #13638=VECTOR('',#38437,1.); #13639=VECTOR('',#38438,1.); #13640=VECTOR('',#38441,1.); #13641=VECTOR('',#38442,1.); #13642=VECTOR('',#38445,1.); #13643=VECTOR('',#38446,1.); #13644=VECTOR('',#38449,1.); #13645=VECTOR('',#38452,1.); #13646=VECTOR('',#38453,1.); #13647=VECTOR('',#38454,1.); #13648=VECTOR('',#38455,1.); #13649=VECTOR('',#38458,1.); #13650=VECTOR('',#38459,1.); #13651=VECTOR('',#38460,1.); #13652=VECTOR('',#38463,1.); #13653=VECTOR('',#38464,1.); #13654=VECTOR('',#38465,1.); #13655=VECTOR('',#38468,1.); #13656=VECTOR('',#38469,1.); #13657=VECTOR('',#38470,1.); #13658=VECTOR('',#38473,1.); #13659=VECTOR('',#38474,1.); #13660=VECTOR('',#38475,1.); #13661=VECTOR('',#38476,1.); #13662=VECTOR('',#38479,1.); #13663=VECTOR('',#38480,1.); #13664=VECTOR('',#38481,1.); #13665=VECTOR('',#38484,1.); #13666=VECTOR('',#38485,1.); #13667=VECTOR('',#38486,1.); #13668=VECTOR('',#38489,1.); #13669=VECTOR('',#38490,1.); #13670=VECTOR('',#38491,1.); #13671=VECTOR('',#38494,1.); #13672=VECTOR('',#38495,1.); #13673=VECTOR('',#38496,1.); #13674=VECTOR('',#38497,1.); #13675=VECTOR('',#38500,1.); #13676=VECTOR('',#38501,1.); #13677=VECTOR('',#38502,1.); #13678=VECTOR('',#38505,1.); #13679=VECTOR('',#38506,1.); #13680=VECTOR('',#38507,1.); #13681=VECTOR('',#38510,1.); #13682=VECTOR('',#38511,1.); #13683=VECTOR('',#38512,1.); #13684=VECTOR('',#38515,1.); #13685=VECTOR('',#38516,1.); #13686=VECTOR('',#38517,1.); #13687=VECTOR('',#38518,1.); #13688=VECTOR('',#38521,1.); #13689=VECTOR('',#38522,1.); #13690=VECTOR('',#38523,1.); #13691=VECTOR('',#38526,1.); #13692=VECTOR('',#38527,1.); #13693=VECTOR('',#38528,1.); #13694=VECTOR('',#38531,1.); #13695=VECTOR('',#38532,1.); #13696=VECTOR('',#38533,1.); #13697=VECTOR('',#38536,1.); #13698=VECTOR('',#38537,1.); #13699=VECTOR('',#38538,1.); #13700=VECTOR('',#38539,1.); #13701=VECTOR('',#38542,1.); #13702=VECTOR('',#38543,1.); #13703=VECTOR('',#38544,1.); #13704=VECTOR('',#38547,1.); #13705=VECTOR('',#38548,1.); #13706=VECTOR('',#38549,1.); #13707=VECTOR('',#38552,1.); #13708=VECTOR('',#38553,1.); #13709=VECTOR('',#38554,1.); #13710=VECTOR('',#38557,1.); #13711=VECTOR('',#38558,1.); #13712=VECTOR('',#38559,1.); #13713=VECTOR('',#38560,1.); #13714=VECTOR('',#38563,1.); #13715=VECTOR('',#38564,1.); #13716=VECTOR('',#38565,1.); #13717=VECTOR('',#38568,1.); #13718=VECTOR('',#38569,1.); #13719=VECTOR('',#38570,1.); #13720=VECTOR('',#38573,1.); #13721=VECTOR('',#38574,1.); #13722=VECTOR('',#38575,1.); #13723=VECTOR('',#38578,1.); #13724=VECTOR('',#38579,1.); #13725=VECTOR('',#38580,1.); #13726=VECTOR('',#38581,1.); #13727=VECTOR('',#38584,1.); #13728=VECTOR('',#38585,1.); #13729=VECTOR('',#38586,1.); #13730=VECTOR('',#38589,1.); #13731=VECTOR('',#38590,1.); #13732=VECTOR('',#38591,1.); #13733=VECTOR('',#38594,1.); #13734=VECTOR('',#38595,1.); #13735=VECTOR('',#38596,1.); #13736=VECTOR('',#38599,1.); #13737=VECTOR('',#38600,1.); #13738=VECTOR('',#38601,1.); #13739=VECTOR('',#38602,1.); #13740=VECTOR('',#38605,1.); #13741=VECTOR('',#38606,1.); #13742=VECTOR('',#38607,1.); #13743=VECTOR('',#38610,1.); #13744=VECTOR('',#38611,1.); #13745=VECTOR('',#38612,1.); #13746=VECTOR('',#38615,1.); #13747=VECTOR('',#38616,1.); #13748=VECTOR('',#38617,1.); #13749=VECTOR('',#38620,1.); #13750=VECTOR('',#38621,1.); #13751=VECTOR('',#38622,1.); #13752=VECTOR('',#38623,1.); #13753=VECTOR('',#38626,1.); #13754=VECTOR('',#38627,1.); #13755=VECTOR('',#38628,1.); #13756=VECTOR('',#38629,1.); #13757=VECTOR('',#38632,1.); #13758=VECTOR('',#38633,1.); #13759=VECTOR('',#38634,1.); #13760=VECTOR('',#38637,1.); #13761=VECTOR('',#38638,1.); #13762=VECTOR('',#38639,1.); #13763=VECTOR('',#38642,1.); #13764=VECTOR('',#38643,1.); #13765=VECTOR('',#38644,1.); #13766=VECTOR('',#38647,1.); #13767=VECTOR('',#38648,1.); #13768=VECTOR('',#38649,1.); #13769=VECTOR('',#38650,1.); #13770=VECTOR('',#38653,1.); #13771=VECTOR('',#38654,1.); #13772=VECTOR('',#38655,1.); #13773=VECTOR('',#38658,1.); #13774=VECTOR('',#38659,1.); #13775=VECTOR('',#38660,1.); #13776=VECTOR('',#38663,1.); #13777=VECTOR('',#38664,1.); #13778=VECTOR('',#38665,1.); #13779=VECTOR('',#38668,1.); #13780=VECTOR('',#38669,1.); #13781=VECTOR('',#38670,1.); #13782=VECTOR('',#38671,1.); #13783=VECTOR('',#38674,1.); #13784=VECTOR('',#38675,1.); #13785=VECTOR('',#38676,1.); #13786=VECTOR('',#38679,1.); #13787=VECTOR('',#38680,1.); #13788=VECTOR('',#38681,1.); #13789=VECTOR('',#38684,1.); #13790=VECTOR('',#38685,1.); #13791=VECTOR('',#38686,1.); #13792=VECTOR('',#38689,1.); #13793=VECTOR('',#38690,1.); #13794=VECTOR('',#38691,1.); #13795=VECTOR('',#38692,1.); #13796=VECTOR('',#38695,1.); #13797=VECTOR('',#38696,1.); #13798=VECTOR('',#38697,1.); #13799=VECTOR('',#38700,1.); #13800=VECTOR('',#38701,1.); #13801=VECTOR('',#38702,1.); #13802=VECTOR('',#38705,1.); #13803=VECTOR('',#38706,1.); #13804=VECTOR('',#38707,1.); #13805=VECTOR('',#38710,1.); #13806=VECTOR('',#38711,1.); #13807=VECTOR('',#38712,1.); #13808=VECTOR('',#38713,1.); #13809=VECTOR('',#38716,1.); #13810=VECTOR('',#38717,1.); #13811=VECTOR('',#38718,1.); #13812=VECTOR('',#38721,1.); #13813=VECTOR('',#38722,1.); #13814=VECTOR('',#38723,1.); #13815=VECTOR('',#38726,1.); #13816=VECTOR('',#38727,1.); #13817=VECTOR('',#38728,1.); #13818=VECTOR('',#38731,1.); #13819=VECTOR('',#38732,1.); #13820=VECTOR('',#38733,1.); #13821=VECTOR('',#38734,1.); #13822=VECTOR('',#38737,1.); #13823=VECTOR('',#38738,1.); #13824=VECTOR('',#38739,1.); #13825=VECTOR('',#38742,1.); #13826=VECTOR('',#38743,1.); #13827=VECTOR('',#38744,1.); #13828=VECTOR('',#38747,1.); #13829=VECTOR('',#38748,1.); #13830=VECTOR('',#38749,1.); #13831=VECTOR('',#38752,1.); #13832=VECTOR('',#38753,1.); #13833=VECTOR('',#38754,1.); #13834=VECTOR('',#38755,1.); #13835=VECTOR('',#38758,1.); #13836=VECTOR('',#38759,1.); #13837=VECTOR('',#38760,1.); #13838=VECTOR('',#38763,1.); #13839=VECTOR('',#38764,1.); #13840=VECTOR('',#38765,1.); #13841=VECTOR('',#38768,1.); #13842=VECTOR('',#38769,1.); #13843=VECTOR('',#38770,1.); #13844=VECTOR('',#38773,1.); #13845=VECTOR('',#38774,1.); #13846=VECTOR('',#38775,1.); #13847=VECTOR('',#38776,1.); #13848=VECTOR('',#38779,1.); #13849=VECTOR('',#38780,1.); #13850=VECTOR('',#38781,1.); #13851=VECTOR('',#38784,1.); #13852=VECTOR('',#38785,1.); #13853=VECTOR('',#38786,1.); #13854=VECTOR('',#38789,1.); #13855=VECTOR('',#38790,1.); #13856=VECTOR('',#38791,1.); #13857=VECTOR('',#38794,1.); #13858=VECTOR('',#38795,1.); #13859=VECTOR('',#38796,1.); #13860=VECTOR('',#38797,1.); #13861=VECTOR('',#38798,1.); #13862=VECTOR('',#38799,1.); #13863=VECTOR('',#38800,1.); #13864=VECTOR('',#38801,1.); #13865=VECTOR('',#38802,1.); #13866=VECTOR('',#38803,1.); #13867=VECTOR('',#38804,1.); #13868=VECTOR('',#38805,1.); #13869=VECTOR('',#38806,1.); #13870=VECTOR('',#38807,1.); #13871=VECTOR('',#38808,1.); #13872=VECTOR('',#38809,1.); #13873=VECTOR('',#38810,1.); #13874=VECTOR('',#38811,1.); #13875=VECTOR('',#38812,1.); #13876=VECTOR('',#38813,1.); #13877=VECTOR('',#38814,1.); #13878=VECTOR('',#38815,1.); #13879=VECTOR('',#38816,1.); #13880=VECTOR('',#38817,1.); #13881=VECTOR('',#38818,1.); #13882=VECTOR('',#38819,1.); #13883=VECTOR('',#38820,1.); #13884=VECTOR('',#38821,1.); #13885=VECTOR('',#38822,1.); #13886=VECTOR('',#38823,1.); #13887=VECTOR('',#38824,1.); #13888=VECTOR('',#38825,1.); #13889=VECTOR('',#38826,1.); #13890=VECTOR('',#38827,1.); #13891=VECTOR('',#38828,1.); #13892=VECTOR('',#38829,1.); #13893=VECTOR('',#38830,1.); #13894=VECTOR('',#38831,1.); #13895=VECTOR('',#38832,1.); #13896=VECTOR('',#38833,1.); #13897=VECTOR('',#38834,1.); #13898=VECTOR('',#38835,1.); #13899=VECTOR('',#38836,1.); #13900=VECTOR('',#38837,1.); #13901=VECTOR('',#38838,1.); #13902=VECTOR('',#38839,1.); #13903=VECTOR('',#38840,1.); #13904=VECTOR('',#38841,1.); #13905=VECTOR('',#38842,1.); #13906=VECTOR('',#38843,1.); #13907=VECTOR('',#38844,1.); #13908=VECTOR('',#38845,1.); #13909=VECTOR('',#38846,1.); #13910=VECTOR('',#38847,1.); #13911=VECTOR('',#38848,1.); #13912=VECTOR('',#38849,1.); #13913=VECTOR('',#38850,1.); #13914=VECTOR('',#38851,1.); #13915=VECTOR('',#38852,1.); #13916=VECTOR('',#38853,1.); #13917=VECTOR('',#38854,1.); #13918=VECTOR('',#38855,1.); #13919=VECTOR('',#38856,1.); #13920=VECTOR('',#38857,1.); #13921=VECTOR('',#38858,1.); #13922=VECTOR('',#38859,1.); #13923=VECTOR('',#38860,1.); #13924=VECTOR('',#38861,1.); #13925=VECTOR('',#38862,1.); #13926=VECTOR('',#38863,1.); #13927=VECTOR('',#38864,1.); #13928=VECTOR('',#38865,1.); #13929=VECTOR('',#38866,1.); #13930=VECTOR('',#38867,1.); #13931=VECTOR('',#38868,1.); #13932=VECTOR('',#38869,1.); #13933=VECTOR('',#38870,1.); #13934=VECTOR('',#38871,1.); #13935=VECTOR('',#38872,1.); #13936=VECTOR('',#38873,1.); #13937=VECTOR('',#38874,1.); #13938=VECTOR('',#38875,1.); #13939=VECTOR('',#38876,1.); #13940=VECTOR('',#38877,1.); #13941=VECTOR('',#38878,1.); #13942=VECTOR('',#38879,1.); #13943=VECTOR('',#38880,1.); #13944=VECTOR('',#38881,1.); #13945=VECTOR('',#38882,1.); #13946=VECTOR('',#38883,1.); #13947=VECTOR('',#38884,1.); #13948=VECTOR('',#38885,1.); #13949=VECTOR('',#38886,1.); #13950=VECTOR('',#38887,1.); #13951=VECTOR('',#38888,1.); #13952=VECTOR('',#38889,1.); #13953=VECTOR('',#38890,1.); #13954=VECTOR('',#38891,1.); #13955=VECTOR('',#38892,1.); #13956=VECTOR('',#38893,1.); #13957=VECTOR('',#38894,1.); #13958=VECTOR('',#38895,1.); #13959=VECTOR('',#38896,1.); #13960=VECTOR('',#38897,1.); #13961=VECTOR('',#38898,1.); #13962=VECTOR('',#38899,1.); #13963=VECTOR('',#38900,1.); #13964=VECTOR('',#38901,1.); #13965=VECTOR('',#38902,1.); #13966=VECTOR('',#38903,1.); #13967=VECTOR('',#38904,1.); #13968=VECTOR('',#38905,1.); #13969=VECTOR('',#38906,1.); #13970=VECTOR('',#38907,1.); #13971=VECTOR('',#38908,1.); #13972=VECTOR('',#38909,1.); #13973=VECTOR('',#38910,1.); #13974=VECTOR('',#38911,1.); #13975=VECTOR('',#38912,1.); #13976=VECTOR('',#38913,1.); #13977=VECTOR('',#38914,1.); #13978=VECTOR('',#38915,1.); #13979=VECTOR('',#38916,1.); #13980=VECTOR('',#38917,1.); #13981=VECTOR('',#38918,1.); #13982=VECTOR('',#38919,1.); #13983=VECTOR('',#38920,1.); #13984=VECTOR('',#38921,1.); #13985=VECTOR('',#38922,1.); #13986=VECTOR('',#38923,1.); #13987=VECTOR('',#38924,1.); #13988=VECTOR('',#38927,1.); #13989=VECTOR('',#38928,1.); #13990=VECTOR('',#38929,1.); #13991=VECTOR('',#38934,1.); #13992=VECTOR('',#38939,1.); #13993=VECTOR('',#38942,1.); #13994=VECTOR('',#38943,1.); #13995=VECTOR('',#38946,1.); #13996=VECTOR('',#38947,1.); #13997=VECTOR('',#38948,1.); #13998=VECTOR('',#38951,1.); #13999=VECTOR('',#38954,1.); #14000=VECTOR('',#38955,1.); #14001=VECTOR('',#38956,1.); #14002=VECTOR('',#38959,1.); #14003=VECTOR('',#38960,1.); #14004=VECTOR('',#38961,1.); #14005=VECTOR('',#38962,1.); #14006=VECTOR('',#38965,1.); #14007=VECTOR('',#38966,1.); #14008=VECTOR('',#38967,1.); #14009=VECTOR('',#38970,1.); #14010=VECTOR('',#38971,1.); #14011=VECTOR('',#38974,1.); #14012=VECTOR('',#38975,1.); #14013=VECTOR('',#38976,1.); #14014=VECTOR('',#38979,1.); #14015=VECTOR('',#38980,1.); #14016=VECTOR('',#38983,1.); #14017=VECTOR('',#38986,1.); #14018=VECTOR('',#38993,1.); #14019=VECTOR('',#39000,1.); #14020=VECTOR('',#39001,1.); #14021=VECTOR('',#39002,1.); #14022=VECTOR('',#39005,1.); #14023=VECTOR('',#39006,1.); #14024=VECTOR('',#39009,1.); #14025=VECTOR('',#39014,1.); #14026=VECTOR('',#39019,1.); #14027=VECTOR('',#39020,1.); #14028=VECTOR('',#39023,1.); #14029=VECTOR('',#39024,1.); #14030=VECTOR('',#39027,1.); #14031=VECTOR('',#39032,1.); #14032=VECTOR('',#39037,1.); #14033=VECTOR('',#39038,1.); #14034=VECTOR('',#39041,1.); #14035=VECTOR('',#39042,1.); #14036=VECTOR('',#39045,1.); #14037=VECTOR('',#39050,1.); #14038=VECTOR('',#39055,1.); #14039=VECTOR('',#39056,1.); #14040=VECTOR('',#39059,1.); #14041=VECTOR('',#39060,1.); #14042=VECTOR('',#39063,1.); #14043=VECTOR('',#39068,1.); #14044=VECTOR('',#39073,1.); #14045=VECTOR('',#39074,1.); #14046=VECTOR('',#39077,1.); #14047=VECTOR('',#39078,1.); #14048=VECTOR('',#39081,1.); #14049=VECTOR('',#39086,1.); #14050=VECTOR('',#39091,1.); #14051=VECTOR('',#39092,1.); #14052=VECTOR('',#39095,1.); #14053=VECTOR('',#39096,1.); #14054=VECTOR('',#39099,1.); #14055=VECTOR('',#39104,1.); #14056=VECTOR('',#39109,1.); #14057=VECTOR('',#39110,1.); #14058=VECTOR('',#39113,1.); #14059=VECTOR('',#39114,1.); #14060=VECTOR('',#39117,1.); #14061=VECTOR('',#39122,1.); #14062=VECTOR('',#39127,1.); #14063=VECTOR('',#39128,1.); #14064=VECTOR('',#39131,1.); #14065=VECTOR('',#39132,1.); #14066=VECTOR('',#39135,1.); #14067=VECTOR('',#39140,1.); #14068=VECTOR('',#39145,1.); #14069=VECTOR('',#39146,1.); #14070=VECTOR('',#39149,1.); #14071=VECTOR('',#39150,1.); #14072=VECTOR('',#39153,1.); #14073=VECTOR('',#39158,1.); #14074=VECTOR('',#39163,1.); #14075=VECTOR('',#39164,1.); #14076=VECTOR('',#39167,1.); #14077=VECTOR('',#39168,1.); #14078=VECTOR('',#39171,1.); #14079=VECTOR('',#39176,1.); #14080=VECTOR('',#39181,1.); #14081=VECTOR('',#39182,1.); #14082=VECTOR('',#39185,1.); #14083=VECTOR('',#39186,1.); #14084=VECTOR('',#39189,1.); #14085=VECTOR('',#39194,1.); #14086=VECTOR('',#39199,1.); #14087=VECTOR('',#39200,1.); #14088=VECTOR('',#39203,1.); #14089=VECTOR('',#39204,1.); #14090=VECTOR('',#39207,1.); #14091=VECTOR('',#39212,1.); #14092=VECTOR('',#39217,1.); #14093=VECTOR('',#39218,1.); #14094=VECTOR('',#39221,1.); #14095=VECTOR('',#39222,1.); #14096=VECTOR('',#39225,1.); #14097=VECTOR('',#39230,1.); #14098=VECTOR('',#39235,1.); #14099=VECTOR('',#39236,1.); #14100=VECTOR('',#39239,1.); #14101=VECTOR('',#39240,1.); #14102=VECTOR('',#39243,1.); #14103=VECTOR('',#39248,1.); #14104=VECTOR('',#39253,1.); #14105=VECTOR('',#39254,1.); #14106=VECTOR('',#39257,1.); #14107=VECTOR('',#39258,1.); #14108=VECTOR('',#39261,1.); #14109=VECTOR('',#39266,1.); #14110=VECTOR('',#39271,1.); #14111=VECTOR('',#39272,1.); #14112=VECTOR('',#39275,1.); #14113=VECTOR('',#39276,1.); #14114=VECTOR('',#39279,1.); #14115=VECTOR('',#39284,1.); #14116=VECTOR('',#39293,1.); #14117=VECTOR('',#39294,1.); #14118=VECTOR('',#39297,1.); #14119=VECTOR('',#39298,1.); #14120=VECTOR('',#39299,1.); #14121=VECTOR('',#39304,1.); #14122=VECTOR('',#39305,1.); #14123=VECTOR('',#39308,1.); #14124=VECTOR('',#39311,1.); #14125=VECTOR('',#39318,1.); #14126=VECTOR('',#39319,1.); #14127=VECTOR('',#39320,1.); #14128=VECTOR('',#39323,1.); #14129=VECTOR('',#39324,1.); #14130=VECTOR('',#39325,1.); #14131=VECTOR('',#39328,1.); #14132=VECTOR('',#39329,1.); #14133=VECTOR('',#39330,1.); #14134=VECTOR('',#39333,1.); #14135=VECTOR('',#39334,1.); #14136=VECTOR('',#39335,1.); #14137=VECTOR('',#39338,1.); #14138=VECTOR('',#39339,1.); #14139=VECTOR('',#39340,1.); #14140=VECTOR('',#39341,1.); #14141=VECTOR('',#39344,1.); #14142=VECTOR('',#39347,1.); #14143=VECTOR('',#39348,1.); #14144=VECTOR('',#39351,1.); #14145=VECTOR('',#39352,1.); #14146=VECTOR('',#39355,1.); #14147=VECTOR('',#39356,1.); #14148=VECTOR('',#39357,1.); #14149=VECTOR('',#39360,1.); #14150=VECTOR('',#39361,1.); #14151=VECTOR('',#39364,1.); #14152=VECTOR('',#39365,1.); #14153=VECTOR('',#39368,1.); #14154=VECTOR('',#39369,1.); #14155=VECTOR('',#39372,1.); #14156=VECTOR('',#39373,1.); #14157=VECTOR('',#39376,1.); #14158=VECTOR('',#39377,1.); #14159=VECTOR('',#39380,1.); #14160=VECTOR('',#39381,1.); #14161=VECTOR('',#39384,1.); #14162=VECTOR('',#39385,1.); #14163=VECTOR('',#39388,1.); #14164=VECTOR('',#39389,1.); #14165=VECTOR('',#39392,1.); #14166=VECTOR('',#39393,1.); #14167=VECTOR('',#39396,1.); #14168=VECTOR('',#39397,1.); #14169=VECTOR('',#39400,1.); #14170=VECTOR('',#39401,1.); #14171=VECTOR('',#39404,1.); #14172=VECTOR('',#39405,1.); #14173=VECTOR('',#39408,1.); #14174=VECTOR('',#39409,1.); #14175=VECTOR('',#39412,1.); #14176=VECTOR('',#39413,1.); #14177=VECTOR('',#39416,1.); #14178=VECTOR('',#39417,1.); #14179=VECTOR('',#39420,1.); #14180=VECTOR('',#39421,1.); #14181=VECTOR('',#39424,1.); #14182=VECTOR('',#39425,1.); #14183=VECTOR('',#39428,1.); #14184=VECTOR('',#39429,1.); #14185=VECTOR('',#39432,1.); #14186=VECTOR('',#39433,1.); #14187=VECTOR('',#39436,1.); #14188=VECTOR('',#39437,1.); #14189=VECTOR('',#39440,1.); #14190=VECTOR('',#39441,1.); #14191=VECTOR('',#39444,1.); #14192=VECTOR('',#39445,1.); #14193=VECTOR('',#39448,1.); #14194=VECTOR('',#39449,1.); #14195=VECTOR('',#39452,1.); #14196=VECTOR('',#39453,1.); #14197=VECTOR('',#39456,1.); #14198=VECTOR('',#39457,1.); #14199=VECTOR('',#39460,1.); #14200=VECTOR('',#39461,1.); #14201=VECTOR('',#39464,1.); #14202=VECTOR('',#39465,1.); #14203=VECTOR('',#39468,1.); #14204=VECTOR('',#39469,1.); #14205=VECTOR('',#39472,1.); #14206=VECTOR('',#39473,1.); #14207=VECTOR('',#39476,1.); #14208=VECTOR('',#39477,1.); #14209=VECTOR('',#39480,1.); #14210=VECTOR('',#39481,1.); #14211=VECTOR('',#39484,1.); #14212=VECTOR('',#39485,1.); #14213=VECTOR('',#39488,1.); #14214=VECTOR('',#39489,1.); #14215=VECTOR('',#39492,1.); #14216=VECTOR('',#39493,1.); #14217=VECTOR('',#39496,1.); #14218=VECTOR('',#39497,1.); #14219=VECTOR('',#39500,1.); #14220=VECTOR('',#39501,1.); #14221=VECTOR('',#39504,1.); #14222=VECTOR('',#39505,1.); #14223=VECTOR('',#39508,1.); #14224=VECTOR('',#39509,1.); #14225=VECTOR('',#39512,1.); #14226=VECTOR('',#39513,1.); #14227=VECTOR('',#39516,1.); #14228=VECTOR('',#39517,1.); #14229=VECTOR('',#39520,1.); #14230=VECTOR('',#39521,1.); #14231=VECTOR('',#39524,1.); #14232=VECTOR('',#39525,1.); #14233=VECTOR('',#39528,1.); #14234=VECTOR('',#39529,1.); #14235=VECTOR('',#39532,1.); #14236=VECTOR('',#39533,1.); #14237=VECTOR('',#39536,1.); #14238=VECTOR('',#39537,1.); #14239=VECTOR('',#39540,1.); #14240=VECTOR('',#39541,1.); #14241=VECTOR('',#39544,1.); #14242=VECTOR('',#39545,1.); #14243=VECTOR('',#39548,1.); #14244=VECTOR('',#39549,1.); #14245=VECTOR('',#39552,1.); #14246=VECTOR('',#39553,1.); #14247=VECTOR('',#39556,1.); #14248=VECTOR('',#39557,1.); #14249=VECTOR('',#39560,1.); #14250=VECTOR('',#39561,1.); #14251=VECTOR('',#39564,1.); #14252=VECTOR('',#39565,1.); #14253=VECTOR('',#39568,1.); #14254=VECTOR('',#39569,1.); #14255=VECTOR('',#39572,1.); #14256=VECTOR('',#39573,1.); #14257=VECTOR('',#39576,1.); #14258=VECTOR('',#39577,1.); #14259=VECTOR('',#39580,1.); #14260=VECTOR('',#39581,1.); #14261=VECTOR('',#39584,1.); #14262=VECTOR('',#39585,1.); #14263=VECTOR('',#39588,1.); #14264=VECTOR('',#39589,1.); #14265=VECTOR('',#39592,1.); #14266=VECTOR('',#39593,1.); #14267=VECTOR('',#39596,1.); #14268=VECTOR('',#39597,1.); #14269=VECTOR('',#39600,1.); #14270=VECTOR('',#39601,1.); #14271=VECTOR('',#39604,1.); #14272=VECTOR('',#39605,1.); #14273=VECTOR('',#39608,1.); #14274=VECTOR('',#39609,1.); #14275=VECTOR('',#39612,1.); #14276=VECTOR('',#39613,1.); #14277=VECTOR('',#39614,1.); #14278=VECTOR('',#39615,1.); #14279=VECTOR('',#39616,1.); #14280=VECTOR('',#39617,1.); #14281=VECTOR('',#39618,1.); #14282=VECTOR('',#39619,1.); #14283=VECTOR('',#39620,1.); #14284=VECTOR('',#39621,1.); #14285=VECTOR('',#39622,1.); #14286=VECTOR('',#39625,1.); #14287=VECTOR('',#39626,1.); #14288=VECTOR('',#39629,1.); #14289=VECTOR('',#39630,1.); #14290=VECTOR('',#39633,1.); #14291=VECTOR('',#39634,1.); #14292=VECTOR('',#39635,1.); #14293=VECTOR('',#39638,1.); #14294=VECTOR('',#39641,1.); #14295=VECTOR('',#39644,1.); #14296=VECTOR('',#39645,1.); #14297=VECTOR('',#39648,1.); #14298=VECTOR('',#39649,1.); #14299=VECTOR('',#39652,1.); #14300=VECTOR('',#39653,1.); #14301=VECTOR('',#39656,1.); #14302=VECTOR('',#39657,1.); #14303=VECTOR('',#39660,1.); #14304=VECTOR('',#39663,1.); #14305=VECTOR('',#39666,1.); #14306=VECTOR('',#39667,1.); #14307=VECTOR('',#39670,1.); #14308=VECTOR('',#39671,1.); #14309=VECTOR('',#39674,1.); #14310=VECTOR('',#39675,1.); #14311=VECTOR('',#39678,1.); #14312=VECTOR('',#39679,1.); #14313=VECTOR('',#39682,1.); #14314=VECTOR('',#39685,1.); #14315=VECTOR('',#39688,1.); #14316=VECTOR('',#39689,1.); #14317=VECTOR('',#39692,1.); #14318=VECTOR('',#39693,1.); #14319=VECTOR('',#39696,1.); #14320=VECTOR('',#39697,1.); #14321=VECTOR('',#39700,1.); #14322=VECTOR('',#39701,1.); #14323=VECTOR('',#39704,1.); #14324=VECTOR('',#39707,1.); #14325=VECTOR('',#39710,1.); #14326=VECTOR('',#39711,1.); #14327=VECTOR('',#39714,1.); #14328=VECTOR('',#39715,1.); #14329=VECTOR('',#39718,1.); #14330=VECTOR('',#39719,1.); #14331=VECTOR('',#39722,1.); #14332=VECTOR('',#39723,1.); #14333=VECTOR('',#39726,1.); #14334=VECTOR('',#39729,1.); #14335=VECTOR('',#39732,1.); #14336=VECTOR('',#39733,1.); #14337=VECTOR('',#39736,1.); #14338=VECTOR('',#39737,1.); #14339=VECTOR('',#39740,1.); #14340=VECTOR('',#39741,1.); #14341=VECTOR('',#39744,1.); #14342=VECTOR('',#39745,1.); #14343=VECTOR('',#39748,1.); #14344=VECTOR('',#39751,1.); #14345=VECTOR('',#39754,1.); #14346=VECTOR('',#39755,1.); #14347=VECTOR('',#39758,1.); #14348=VECTOR('',#39759,1.); #14349=VECTOR('',#39762,1.); #14350=VECTOR('',#39763,1.); #14351=VECTOR('',#39766,1.); #14352=VECTOR('',#39767,1.); #14353=VECTOR('',#39770,1.); #14354=VECTOR('',#39773,1.); #14355=VECTOR('',#39776,1.); #14356=VECTOR('',#39777,1.); #14357=VECTOR('',#39780,1.); #14358=VECTOR('',#39781,1.); #14359=VECTOR('',#39784,1.); #14360=VECTOR('',#39785,1.); #14361=VECTOR('',#39788,1.); #14362=VECTOR('',#39789,1.); #14363=VECTOR('',#39792,1.); #14364=VECTOR('',#39795,1.); #14365=VECTOR('',#39798,1.); #14366=VECTOR('',#39799,1.); #14367=VECTOR('',#39802,1.); #14368=VECTOR('',#39803,1.); #14369=VECTOR('',#39806,1.); #14370=VECTOR('',#39807,1.); #14371=VECTOR('',#39810,1.); #14372=VECTOR('',#39811,1.); #14373=VECTOR('',#39812,1.); #14374=VECTOR('',#39815,1.); #14375=VECTOR('',#39816,1.); #14376=VECTOR('',#39819,1.); #14377=VECTOR('',#39822,1.); #14378=VECTOR('',#39825,1.); #14379=VECTOR('',#39826,1.); #14380=VECTOR('',#39829,1.); #14381=VECTOR('',#39830,1.); #14382=VECTOR('',#39833,1.); #14383=VECTOR('',#39834,1.); #14384=VECTOR('',#39837,1.); #14385=VECTOR('',#39838,1.); #14386=VECTOR('',#39841,1.); #14387=VECTOR('',#39844,1.); #14388=VECTOR('',#39847,1.); #14389=VECTOR('',#39848,1.); #14390=VECTOR('',#39851,1.); #14391=VECTOR('',#39852,1.); #14392=VECTOR('',#39855,1.); #14393=VECTOR('',#39856,1.); #14394=VECTOR('',#39859,1.); #14395=VECTOR('',#39860,1.); #14396=VECTOR('',#39863,1.); #14397=VECTOR('',#39866,1.); #14398=VECTOR('',#39869,1.); #14399=VECTOR('',#39870,1.); #14400=VECTOR('',#39873,1.); #14401=VECTOR('',#39874,1.); #14402=VECTOR('',#39877,1.); #14403=VECTOR('',#39878,1.); #14404=VECTOR('',#39881,1.); #14405=VECTOR('',#39882,1.); #14406=VECTOR('',#39885,1.); #14407=VECTOR('',#39888,1.); #14408=VECTOR('',#39891,1.); #14409=VECTOR('',#39892,1.); #14410=VECTOR('',#39895,1.); #14411=VECTOR('',#39896,1.); #14412=VECTOR('',#39899,1.); #14413=VECTOR('',#39900,1.); #14414=VECTOR('',#39903,1.); #14415=VECTOR('',#39904,1.); #14416=VECTOR('',#39907,1.); #14417=VECTOR('',#39910,1.); #14418=VECTOR('',#39913,1.); #14419=VECTOR('',#39914,1.); #14420=VECTOR('',#39917,1.); #14421=VECTOR('',#39918,1.); #14422=VECTOR('',#39921,1.); #14423=VECTOR('',#39922,1.); #14424=VECTOR('',#39925,1.); #14425=VECTOR('',#39926,1.); #14426=VECTOR('',#39929,1.); #14427=VECTOR('',#39932,1.); #14428=VECTOR('',#39935,1.); #14429=VECTOR('',#39936,1.); #14430=VECTOR('',#39939,1.); #14431=VECTOR('',#39940,1.); #14432=VECTOR('',#39943,1.); #14433=VECTOR('',#39944,1.); #14434=VECTOR('',#39947,1.); #14435=VECTOR('',#39948,1.); #14436=VECTOR('',#39951,1.); #14437=VECTOR('',#39954,1.); #14438=VECTOR('',#39957,1.); #14439=VECTOR('',#39958,1.); #14440=VECTOR('',#39961,1.); #14441=VECTOR('',#39962,1.); #14442=VECTOR('',#39965,1.); #14443=VECTOR('',#39966,1.); #14444=VECTOR('',#39969,1.); #14445=VECTOR('',#39970,1.); #14446=VECTOR('',#39973,1.); #14447=VECTOR('',#39976,1.); #14448=VECTOR('',#39979,1.); #14449=VECTOR('',#39980,1.); #14450=VECTOR('',#39983,1.); #14451=VECTOR('',#39984,1.); #14452=VECTOR('',#39987,1.); #14453=VECTOR('',#39990,1.); #14454=VECTOR('',#39991,1.); #14455=VECTOR('',#39998,1.); #14456=VECTOR('',#40001,1.); #14457=VECTOR('',#40002,1.); #14458=VECTOR('',#40007,1.); #14459=VECTOR('',#40010,1.); #14460=VECTOR('',#40013,1.); #14461=VECTOR('',#40014,1.); #14462=VECTOR('',#40015,1.); #14463=VECTOR('',#40018,1.); #14464=VECTOR('',#40019,1.); #14465=VECTOR('',#40020,1.); #14466=VECTOR('',#40023,1.); #14467=VECTOR('',#40024,1.); #14468=VECTOR('',#40027,1.); #14469=VECTOR('',#40028,1.); #14470=VECTOR('',#40031,1.); #14471=VECTOR('',#40032,1.); #14472=VECTOR('',#40035,1.); #14473=VECTOR('',#40036,1.); #14474=VECTOR('',#40039,1.); #14475=VECTOR('',#40040,1.); #14476=VECTOR('',#40043,1.); #14477=VECTOR('',#40044,1.); #14478=VECTOR('',#40047,1.); #14479=VECTOR('',#40048,1.); #14480=VECTOR('',#40051,1.); #14481=VECTOR('',#40052,1.); #14482=VECTOR('',#40055,1.); #14483=VECTOR('',#40056,1.); #14484=VECTOR('',#40059,1.); #14485=VECTOR('',#40060,1.); #14486=VECTOR('',#40063,1.); #14487=VECTOR('',#40064,1.); #14488=VECTOR('',#40067,1.); #14489=VECTOR('',#40068,1.); #14490=VECTOR('',#40071,1.); #14491=VECTOR('',#40072,1.); #14492=VECTOR('',#40075,1.); #14493=VECTOR('',#40076,1.); #14494=VECTOR('',#40079,1.); #14495=VECTOR('',#40080,1.); #14496=VECTOR('',#40081,1.); #14497=VECTOR('',#40084,1.); #14498=VECTOR('',#40085,1.); #14499=VECTOR('',#40088,1.); #14500=VECTOR('',#40091,1.); #14501=VECTOR('',#40092,1.); #14502=VECTOR('',#40093,1.); #14503=VECTOR('',#40094,1.); #14504=VECTOR('',#40101,1.); #14505=VECTOR('',#40104,1.); #14506=VECTOR('',#40105,1.); #14507=VECTOR('',#40106,1.); #14508=VECTOR('',#40115,1.); #14509=VECTOR('',#40128,1.); #14510=VECTOR('',#40129,1.); #14511=VECTOR('',#40130,1.); #14512=VECTOR('',#40131,1.); #14513=VECTOR('',#40132,1.); #14514=VECTOR('',#40133,1.); #14515=VECTOR('',#40134,1.); #14516=VECTOR('',#40137,1.); #14517=VECTOR('',#40138,1.); #14518=VECTOR('',#40139,1.); #14519=VECTOR('',#40140,1.); #14520=VECTOR('',#40141,1.); #14521=VECTOR('',#40144,1.); #14522=VECTOR('',#40145,1.); #14523=VECTOR('',#40152,1.); #14524=VECTOR('',#40153,1.); #14525=VECTOR('',#40158,1.); #14526=VECTOR('',#40161,1.); #14527=VECTOR('',#40162,1.); #14528=VECTOR('',#40163,1.); #14529=VECTOR('',#40166,1.); #14530=VECTOR('',#40169,1.); #14531=VECTOR('',#40176,1.); #14532=VECTOR('',#40177,1.); #14533=VECTOR('',#40180,1.); #14534=VECTOR('',#40181,1.); #14535=VECTOR('',#40186,1.); #14536=VECTOR('',#40187,1.); #14537=VECTOR('',#40190,1.); #14538=VECTOR('',#40193,1.); #14539=VECTOR('',#40194,1.); #14540=VECTOR('',#40197,1.); #14541=VECTOR('',#40202,1.); #14542=VECTOR('',#40203,1.); #14543=VECTOR('',#40206,1.); #14544=VECTOR('',#40209,1.); #14545=VECTOR('',#40210,1.); #14546=VECTOR('',#40213,1.); #14547=VECTOR('',#40218,1.); #14548=VECTOR('',#40219,1.); #14549=VECTOR('',#40224,1.); #14550=VECTOR('',#40225,1.); #14551=VECTOR('',#40228,1.); #14552=VECTOR('',#40231,1.); #14553=VECTOR('',#40232,1.); #14554=VECTOR('',#40233,1.); #14555=VECTOR('',#40236,1.); #14556=VECTOR('',#40245,1.); #14557=VECTOR('',#40248,1.); #14558=VECTOR('',#40253,1.); #14559=VECTOR('',#40254,1.); #14560=VECTOR('',#40255,1.); #14561=VECTOR('',#40258,1.); #14562=VECTOR('',#40259,1.); #14563=VECTOR('',#40266,1.); #14564=VECTOR('',#40269,1.); #14565=VECTOR('',#40276,1.); #14566=VECTOR('',#40279,1.); #14567=VECTOR('',#40286,1.); #14568=VECTOR('',#40287,1.); #14569=VECTOR('',#40288,1.); #14570=VECTOR('',#40291,1.); #14571=VECTOR('',#40292,1.); #14572=VECTOR('',#40293,1.); #14573=VECTOR('',#40296,1.); #14574=VECTOR('',#40297,1.); #14575=VECTOR('',#40298,1.); #14576=VECTOR('',#40299,1.); #14577=VECTOR('',#40302,1.); #14578=VECTOR('',#40303,1.); #14579=VECTOR('',#40304,1.); #14580=VECTOR('',#40309,1.); #14581=VECTOR('',#40314,1.); #14582=VECTOR('',#40315,1.); #14583=VECTOR('',#40318,1.); #14584=VECTOR('',#40319,1.); #14585=VECTOR('',#40320,1.); #14586=VECTOR('',#40323,1.); #14587=VECTOR('',#40330,1.); #14588=VECTOR('',#40331,1.); #14589=VECTOR('',#40334,1.); #14590=VECTOR('',#40335,1.); #14591=VECTOR('',#40336,1.); #14592=VECTOR('',#40341,1.); #14593=VECTOR('',#40346,1.); #14594=VECTOR('',#40347,1.); #14595=VECTOR('',#40350,1.); #14596=VECTOR('',#40351,1.); #14597=VECTOR('',#40352,1.); #14598=VECTOR('',#40355,1.); #14599=VECTOR('',#40362,1.); #14600=VECTOR('',#40363,1.); #14601=VECTOR('',#40466,1.); #14602=VECTOR('',#40467,1.); #14603=VECTOR('',#40468,1.); #14604=VECTOR('',#40473,1.); #14605=VECTOR('',#40474,1.); #14606=VECTOR('',#40475,1.); #14607=VECTOR('',#40480,1.); #14608=VECTOR('',#40481,1.); #14609=VECTOR('',#40484,1.); #14610=VECTOR('',#40487,1.); #14611=VECTOR('',#40496,1.); #14612=VECTOR('',#40497,1.); #14613=VECTOR('',#40500,1.); #14614=VECTOR('',#40503,1.); #14615=VECTOR('',#40510,1.); #14616=VECTOR('',#40511,1.); #14617=VECTOR('',#40514,1.); #14618=VECTOR('',#40517,1.); #14619=VECTOR('',#40524,1.); #14620=VECTOR('',#40525,1.); #14621=VECTOR('',#40528,1.); #14622=VECTOR('',#40531,1.); #14623=VECTOR('',#40538,1.); #14624=VECTOR('',#40539,1.); #14625=VECTOR('',#40542,1.); #14626=VECTOR('',#40545,1.); #14627=VECTOR('',#40552,1.); #14628=VECTOR('',#40553,1.); #14629=VECTOR('',#40556,1.); #14630=VECTOR('',#40559,1.); #14631=VECTOR('',#40566,1.); #14632=VECTOR('',#40567,1.); #14633=VECTOR('',#40570,1.); #14634=VECTOR('',#40573,1.); #14635=VECTOR('',#40580,1.); #14636=VECTOR('',#40581,1.); #14637=VECTOR('',#40584,1.); #14638=VECTOR('',#40587,1.); #14639=VECTOR('',#40594,1.); #14640=VECTOR('',#40595,1.); #14641=VECTOR('',#40598,1.); #14642=VECTOR('',#40601,1.); #14643=VECTOR('',#40608,1.); #14644=VECTOR('',#40609,1.); #14645=VECTOR('',#40612,1.); #14646=VECTOR('',#40615,1.); #14647=VECTOR('',#40622,1.); #14648=VECTOR('',#40623,1.); #14649=VECTOR('',#40626,1.); #14650=VECTOR('',#40629,1.); #14651=VECTOR('',#40636,1.); #14652=VECTOR('',#40637,1.); #14653=VECTOR('',#40640,1.); #14654=VECTOR('',#40643,1.); #14655=VECTOR('',#40650,1.); #14656=VECTOR('',#40651,1.); #14657=VECTOR('',#40654,1.); #14658=VECTOR('',#40657,1.); #14659=VECTOR('',#40664,1.); #14660=VECTOR('',#40665,1.); #14661=VECTOR('',#40668,1.); #14662=VECTOR('',#40671,1.); #14663=VECTOR('',#40678,1.); #14664=VECTOR('',#40679,1.); #14665=VECTOR('',#40682,1.); #14666=VECTOR('',#40685,1.); #14667=VECTOR('',#40692,1.); #14668=VECTOR('',#40693,1.); #14669=VECTOR('',#40696,1.); #14670=VECTOR('',#40699,1.); #14671=VECTOR('',#40704,1.); #14672=VECTOR('',#40705,1.); #14673=VECTOR('',#40708,1.); #14674=VECTOR('',#40711,1.); #14675=VECTOR('',#40718,1.); #14676=VECTOR('',#40719,1.); #14677=VECTOR('',#40722,1.); #14678=VECTOR('',#40725,1.); #14679=VECTOR('',#40732,1.); #14680=VECTOR('',#40733,1.); #14681=VECTOR('',#40736,1.); #14682=VECTOR('',#40739,1.); #14683=VECTOR('',#40746,1.); #14684=VECTOR('',#40747,1.); #14685=VECTOR('',#40750,1.); #14686=VECTOR('',#40753,1.); #14687=VECTOR('',#40760,1.); #14688=VECTOR('',#40761,1.); #14689=VECTOR('',#40764,1.); #14690=VECTOR('',#40767,1.); #14691=VECTOR('',#40770,1.); #14692=VECTOR('',#40773,1.); #14693=VECTOR('',#40774,1.); #14694=VECTOR('',#40775,1.); #14695=VECTOR('',#40778,1.); #14696=VECTOR('',#40781,1.); #14697=VECTOR('',#40784,1.); #14698=VECTOR('',#40787,1.); #14699=VECTOR('',#40788,1.); #14700=VECTOR('',#40791,1.); #14701=VECTOR('',#40792,1.); #14702=VECTOR('',#40793,1.); #14703=VECTOR('',#40794,1.); #14704=VECTOR('',#40797,1.); #14705=VECTOR('',#40800,1.); #14706=VECTOR('',#40803,1.); #14707=VECTOR('',#40806,1.); #14708=VECTOR('',#40809,1.); #14709=VECTOR('',#40810,1.); #14710=VECTOR('',#40811,1.); #14711=VECTOR('',#40818,1.); #14712=VECTOR('',#40819,1.); #14713=VECTOR('',#40822,1.); #14714=VECTOR('',#40825,1.); #14715=VECTOR('',#40828,1.); #14716=VECTOR('',#40829,1.); #14717=VECTOR('',#40830,1.); #14718=VECTOR('',#40831,1.); #14719=VECTOR('',#40832,1.); #14720=VECTOR('',#40835,1.); #14721=VECTOR('',#40838,1.); #14722=VECTOR('',#40841,1.); #14723=VECTOR('',#40842,1.); #14724=VECTOR('',#40845,1.); #14725=VECTOR('',#40848,1.); #14726=VECTOR('',#40849,1.); #14727=VECTOR('',#40850,1.); #14728=VECTOR('',#40851,1.); #14729=VECTOR('',#40852,1.); #14730=VECTOR('',#40855,1.); #14731=VECTOR('',#40856,1.); #14732=VECTOR('',#40857,1.); #14733=VECTOR('',#40860,1.); #14734=VECTOR('',#40861,1.); #14735=VECTOR('',#40866,1.); #14736=VECTOR('',#40867,1.); #14737=VECTOR('',#40870,1.); #14738=VECTOR('',#40873,1.); #14739=VECTOR('',#40880,1.); #14740=VECTOR('',#40881,1.); #14741=VECTOR('',#40884,1.); #14742=VECTOR('',#40887,1.); #14743=VECTOR('',#40888,1.); #14744=VECTOR('',#40889,1.); #14745=VECTOR('',#40892,1.); #14746=VECTOR('',#40895,1.); #14747=VECTOR('',#40896,1.); #14748=VECTOR('',#40899,1.); #14749=VECTOR('',#40902,1.); #14750=VECTOR('',#40905,1.); #14751=VECTOR('',#40908,1.); #14752=VECTOR('',#40911,1.); #14753=VECTOR('',#40914,1.); #14754=VECTOR('',#40917,1.); #14755=VECTOR('',#40920,1.); #14756=VECTOR('',#40923,1.); #14757=VECTOR('',#40926,1.); #14758=VECTOR('',#40933,1.); #14759=VECTOR('',#40934,1.); #14760=VECTOR('',#40937,1.); #14761=VECTOR('',#40938,1.); #14762=VECTOR('',#40939,1.); #14763=VECTOR('',#40940,1.); #14764=VECTOR('',#40941,1.); #14765=VECTOR('',#40942,1.); #14766=VECTOR('',#40943,1.); #14767=VECTOR('',#40946,1.); #14768=VECTOR('',#40949,1.); #14769=VECTOR('',#40952,1.); #14770=VECTOR('',#40955,1.); #14771=VECTOR('',#40958,1.); #14772=VECTOR('',#40961,1.); #14773=VECTOR('',#40964,1.); #14774=VECTOR('',#40967,1.); #14775=VECTOR('',#40968,1.); #14776=VECTOR('',#40969,1.); #14777=VECTOR('',#40970,1.); #14778=VECTOR('',#40971,1.); #14779=VECTOR('',#40972,1.); #14780=VECTOR('',#40973,1.); #14781=VECTOR('',#40974,1.); #14782=VECTOR('',#40975,1.); #14783=VECTOR('',#40976,1.); #14784=VECTOR('',#40979,1.); #14785=VECTOR('',#40980,1.); #14786=VECTOR('',#40983,1.); #14787=VECTOR('',#40986,1.); #14788=VECTOR('',#40993,1.); #14789=VECTOR('',#40994,1.); #14790=VECTOR('',#40997,1.); #14791=VECTOR('',#41000,1.); #14792=VECTOR('',#41001,1.); #14793=VECTOR('',#41002,1.); #14794=VECTOR('',#41003,1.); #14795=VECTOR('',#41004,1.); #14796=VECTOR('',#41005,1.); #14797=VECTOR('',#41008,1.); #14798=VECTOR('',#41011,1.); #14799=VECTOR('',#41014,1.); #14800=VECTOR('',#41017,1.); #14801=VECTOR('',#41020,1.); #14802=VECTOR('',#41021,1.); #14803=VECTOR('',#41022,1.); #14804=VECTOR('',#41023,1.); #14805=VECTOR('',#41024,1.); #14806=VECTOR('',#41029,1.); #14807=VECTOR('',#41034,1.); #14808=VECTOR('',#41037,1.); #14809=VECTOR('',#41038,1.); #14810=VECTOR('',#41043,1.); #14811=FACE_BOUND('',#14922,.T.); #14812=FACE_BOUND('',#14923,.T.); #14813=FACE_BOUND('',#14924,.T.); #14814=FACE_BOUND('',#14925,.T.); #14815=FACE_BOUND('',#14926,.T.); #14816=FACE_BOUND('',#14927,.T.); #14817=FACE_BOUND('',#14928,.T.); #14818=FACE_BOUND('',#14929,.T.); #14819=FACE_BOUND('',#14930,.T.); #14820=FACE_BOUND('',#14931,.T.); #14821=FACE_BOUND('',#14932,.T.); #14822=FACE_BOUND('',#14933,.T.); #14823=FACE_BOUND('',#14934,.T.); #14824=FACE_BOUND('',#14935,.T.); #14825=FACE_BOUND('',#14952,.T.); #14826=FACE_BOUND('',#14953,.T.); #14827=FACE_BOUND('',#14955,.T.); #14828=FACE_BOUND('',#14956,.T.); #14829=FACE_BOUND('',#14962,.T.); #14830=FACE_BOUND('',#14963,.T.); #14831=FACE_BOUND('',#14964,.T.); #14832=FACE_BOUND('',#14965,.T.); #14833=FACE_BOUND('',#14966,.T.); #14834=FACE_BOUND('',#14967,.T.); #14835=FACE_BOUND('',#14975,.T.); #14836=FACE_BOUND('',#14976,.T.); #14837=FACE_BOUND('',#14977,.T.); #14838=FACE_BOUND('',#14978,.T.); #14839=FACE_BOUND('',#15002,.T.); #14840=FACE_BOUND('',#15003,.T.); #14841=FACE_BOUND('',#15005,.T.); #14842=FACE_BOUND('',#15006,.T.); #14843=FACE_BOUND('',#15007,.T.); #14844=FACE_BOUND('',#15008,.T.); #14845=FACE_BOUND('',#15024,.T.); #14846=FACE_BOUND('',#15025,.T.); #14847=FACE_BOUND('',#15070,.T.); #14848=FACE_BOUND('',#15071,.T.); #14849=FACE_BOUND('',#15074,.T.); #14850=FACE_BOUND('',#15075,.T.); #14851=FACE_BOUND('',#15076,.T.); #14852=FACE_BOUND('',#15095,.T.); #14853=FACE_BOUND('',#15096,.T.); #14854=FACE_BOUND('',#15102,.T.); #14855=FACE_BOUND('',#15103,.T.); #14856=FACE_BOUND('',#15104,.T.); #14857=FACE_BOUND('',#15105,.T.); #14858=FACE_BOUND('',#15129,.T.); #14859=FACE_BOUND('',#15130,.T.); #14860=FACE_BOUND('',#15133,.T.); #14861=FACE_BOUND('',#15134,.T.); #14862=FACE_BOUND('',#15136,.T.); #14863=FACE_BOUND('',#15137,.T.); #14864=FACE_BOUND('',#15163,.T.); #14865=FACE_BOUND('',#15164,.T.); #14866=FACE_BOUND('',#15184,.T.); #14867=FACE_BOUND('',#15185,.T.); #14868=FACE_BOUND('',#15207,.T.); #14869=FACE_BOUND('',#15208,.T.); #14870=FACE_BOUND('',#15385,.T.); #14871=FACE_BOUND('',#15386,.T.); #14872=FACE_BOUND('',#16164,.T.); #14873=FACE_BOUND('',#16165,.T.); #14874=FACE_BOUND('',#16167,.T.); #14875=FACE_BOUND('',#16168,.T.); #14876=FACE_BOUND('',#16181,.T.); #14877=FACE_BOUND('',#16182,.T.); #14878=FACE_BOUND('',#16183,.T.); #14879=FACE_BOUND('',#16187,.T.); #14880=FACE_BOUND('',#16188,.T.); #14881=FACE_BOUND('',#16189,.T.); #14882=FACE_BOUND('',#16190,.T.); #14883=FACE_BOUND('',#16191,.T.); #14884=FACE_BOUND('',#16192,.T.); #14885=FACE_BOUND('',#16193,.T.); #14886=FACE_BOUND('',#16194,.T.); #14887=FACE_BOUND('',#16195,.T.); #14888=FACE_BOUND('',#16196,.T.); #14889=FACE_BOUND('',#16197,.T.); #14890=FACE_BOUND('',#16198,.T.); #14891=FACE_BOUND('',#16199,.T.); #14892=FACE_BOUND('',#16200,.T.); #14893=FACE_BOUND('',#16201,.T.); #14894=FACE_BOUND('',#16202,.T.); #14895=FACE_BOUND('',#16203,.T.); #14896=FACE_BOUND('',#16204,.T.); #14897=FACE_BOUND('',#16205,.T.); #14898=FACE_BOUND('',#16206,.T.); #14899=FACE_BOUND('',#16207,.T.); #14900=FACE_BOUND('',#16208,.T.); #14901=FACE_BOUND('',#16209,.T.); #14902=FACE_BOUND('',#16210,.T.); #14903=FACE_BOUND('',#16211,.T.); #14904=FACE_BOUND('',#16212,.T.); #14905=FACE_BOUND('',#16213,.T.); #14906=FACE_BOUND('',#16214,.T.); #14907=FACE_BOUND('',#16215,.T.); #14908=FACE_BOUND('',#16216,.T.); #14909=FACE_BOUND('',#16217,.T.); #14910=FACE_BOUND('',#16218,.T.); #14911=FACE_BOUND('',#16219,.T.); #14912=FACE_BOUND('',#16232,.T.); #14913=FACE_BOUND('',#16233,.T.); #14914=FACE_BOUND('',#16284,.T.); #14915=FACE_BOUND('',#16285,.T.); #14916=FACE_BOUND('',#16400,.T.); #14917=FACE_BOUND('',#16401,.T.); #14918=FACE_BOUND('',#16464,.T.); #14919=FACE_BOUND('',#16465,.T.); #14920=FACE_BOUND('',#16485,.T.); #14921=FACE_BOUND('',#16486,.T.); #14922=EDGE_LOOP('',(#16493,#16494,#16495,#16496,#16497,#16498,#16499,#16500)); #14923=EDGE_LOOP('',(#16501,#16502,#16503,#16504,#16505,#16506,#16507,#16508, #16509,#16510,#16511,#16512,#16513)); #14924=EDGE_LOOP('',(#16514,#16515,#16516,#16517,#16518,#16519,#16520,#16521, #16522,#16523)); #14925=EDGE_LOOP('',(#16524,#16525,#16526,#16527,#16528,#16529,#16530,#16531, #16532,#16533)); #14926=EDGE_LOOP('',(#16534,#16535,#16536,#16537)); #14927=EDGE_LOOP('',(#16538,#16539,#16540,#16541,#16542,#16543)); #14928=EDGE_LOOP('',(#16544,#16545,#16546,#16547,#16548,#16549,#16550,#16551, #16552,#16553,#16554,#16555,#16556,#16557,#16558,#16559,#16560,#16561,#16562, #16563,#16564,#16565,#16566,#16567,#16568,#16569,#16570,#16571,#16572,#16573, #16574,#16575)); #14929=EDGE_LOOP('',(#16576,#16577,#16578)); #14930=EDGE_LOOP('',(#16579,#16580,#16581,#16582,#16583)); #14931=EDGE_LOOP('',(#16584,#16585,#16586,#16587,#16588,#16589,#16590,#16591)); #14932=EDGE_LOOP('',(#16592)); #14933=EDGE_LOOP('',(#16593,#16594,#16595,#16596,#16597,#16598,#16599,#16600, #16601,#16602)); #14934=EDGE_LOOP('',(#16603,#16604)); #14935=EDGE_LOOP('',(#16605,#16606,#16607,#16608,#16609,#16610,#16611,#16612)); #14936=EDGE_LOOP('',(#16613,#16614,#16615,#16616,#16617,#16618,#16619,#16620, #16621,#16622)); #14937=EDGE_LOOP('',(#16623,#16624,#16625,#16626,#16627,#16628,#16629)); #14938=EDGE_LOOP('',(#16630,#16631,#16632,#16633,#16634,#16635,#16636)); #14939=EDGE_LOOP('',(#16637,#16638,#16639,#16640)); #14940=EDGE_LOOP('',(#16641,#16642,#16643,#16644,#16645)); #14941=EDGE_LOOP('',(#16646,#16647,#16648,#16649)); #14942=EDGE_LOOP('',(#16650,#16651,#16652,#16653,#16654,#16655,#16656,#16657, #16658,#16659,#16660,#16661,#16662,#16663,#16664,#16665,#16666,#16667,#16668, #16669,#16670,#16671)); #14943=EDGE_LOOP('',(#16672,#16673,#16674,#16675)); #14944=EDGE_LOOP('',(#16676,#16677,#16678,#16679)); #14945=EDGE_LOOP('',(#16680,#16681,#16682,#16683,#16684)); #14946=EDGE_LOOP('',(#16685,#16686,#16687,#16688,#16689)); #14947=EDGE_LOOP('',(#16690,#16691,#16692,#16693)); #14948=EDGE_LOOP('',(#16694,#16695,#16696,#16697)); #14949=EDGE_LOOP('',(#16698,#16699,#16700,#16701)); #14950=EDGE_LOOP('',(#16702,#16703,#16704,#16705)); #14951=EDGE_LOOP('',(#16706,#16707,#16708,#16709,#16710,#16711)); #14952=EDGE_LOOP('',(#16712)); #14953=EDGE_LOOP('',(#16713)); #14954=EDGE_LOOP('',(#16714,#16715,#16716,#16717,#16718,#16719)); #14955=EDGE_LOOP('',(#16720,#16721,#16722,#16723,#16724,#16725,#16726,#16727, #16728,#16729)); #14956=EDGE_LOOP('',(#16730)); #14957=EDGE_LOOP('',(#16731,#16732,#16733,#16734,#16735,#16736,#16737,#16738)); #14958=EDGE_LOOP('',(#16739,#16740,#16741,#16742,#16743,#16744,#16745,#16746, #16747,#16748)); #14959=EDGE_LOOP('',(#16749,#16750,#16751,#16752,#16753,#16754,#16755,#16756)); #14960=EDGE_LOOP('',(#16757,#16758,#16759,#16760,#16761,#16762,#16763,#16764, #16765,#16766,#16767,#16768)); #14961=EDGE_LOOP('',(#16769,#16770,#16771,#16772,#16773,#16774,#16775,#16776)); #14962=EDGE_LOOP('',(#16777,#16778,#16779,#16780,#16781,#16782,#16783,#16784, #16785,#16786)); #14963=EDGE_LOOP('',(#16787)); #14964=EDGE_LOOP('',(#16788)); #14965=EDGE_LOOP('',(#16789)); #14966=EDGE_LOOP('',(#16790)); #14967=EDGE_LOOP('',(#16791)); #14968=EDGE_LOOP('',(#16792,#16793,#16794,#16795)); #14969=EDGE_LOOP('',(#16796,#16797,#16798,#16799,#16800,#16801,#16802)); #14970=EDGE_LOOP('',(#16803,#16804,#16805,#16806,#16807,#16808,#16809,#16810, #16811,#16812,#16813)); #14971=EDGE_LOOP('',(#16814,#16815,#16816,#16817)); #14972=EDGE_LOOP('',(#16818,#16819,#16820,#16821,#16822,#16823,#16824)); #14973=EDGE_LOOP('',(#16825,#16826,#16827,#16828,#16829,#16830,#16831)); #14974=EDGE_LOOP('',(#16832,#16833,#16834,#16835,#16836,#16837,#16838,#16839, #16840,#16841,#16842,#16843)); #14975=EDGE_LOOP('',(#16844,#16845,#16846,#16847,#16848,#16849,#16850,#16851)); #14976=EDGE_LOOP('',(#16852)); #14977=EDGE_LOOP('',(#16853,#16854,#16855,#16856,#16857,#16858,#16859,#16860)); #14978=EDGE_LOOP('',(#16861)); #14979=EDGE_LOOP('',(#16862,#16863,#16864,#16865)); #14980=EDGE_LOOP('',(#16866,#16867,#16868,#16869)); #14981=EDGE_LOOP('',(#16870,#16871,#16872,#16873)); #14982=EDGE_LOOP('',(#16874,#16875,#16876,#16877)); #14983=EDGE_LOOP('',(#16878,#16879,#16880,#16881,#16882)); #14984=EDGE_LOOP('',(#16883,#16884,#16885,#16886,#16887)); #14985=EDGE_LOOP('',(#16888,#16889,#16890,#16891,#16892)); #14986=EDGE_LOOP('',(#16893,#16894,#16895,#16896,#16897)); #14987=EDGE_LOOP('',(#16898,#16899,#16900,#16901)); #14988=EDGE_LOOP('',(#16902,#16903,#16904,#16905)); #14989=EDGE_LOOP('',(#16906,#16907,#16908,#16909)); #14990=EDGE_LOOP('',(#16910,#16911,#16912,#16913)); #14991=EDGE_LOOP('',(#16914,#16915,#16916,#16917,#16918)); #14992=EDGE_LOOP('',(#16919,#16920,#16921,#16922,#16923)); #14993=EDGE_LOOP('',(#16924,#16925,#16926,#16927)); #14994=EDGE_LOOP('',(#16928,#16929,#16930,#16931,#16932)); #14995=EDGE_LOOP('',(#16933,#16934,#16935,#16936,#16937)); #14996=EDGE_LOOP('',(#16938,#16939,#16940,#16941)); #14997=EDGE_LOOP('',(#16942,#16943,#16944,#16945)); #14998=EDGE_LOOP('',(#16946,#16947,#16948,#16949)); #14999=EDGE_LOOP('',(#16950,#16951,#16952,#16953)); #15000=EDGE_LOOP('',(#16954,#16955,#16956,#16957)); #15001=EDGE_LOOP('',(#16958,#16959,#16960,#16961)); #15002=EDGE_LOOP('',(#16962)); #15003=EDGE_LOOP('',(#16963,#16964,#16965,#16966,#16967,#16968,#16969,#16970, #16971,#16972,#16973,#16974,#16975,#16976,#16977,#16978,#16979,#16980,#16981, #16982,#16983,#16984,#16985,#16986,#16987,#16988,#16989,#16990)); #15004=EDGE_LOOP('',(#16991,#16992,#16993,#16994)); #15005=EDGE_LOOP('',(#16995)); #15006=EDGE_LOOP('',(#16996)); #15007=EDGE_LOOP('',(#16997)); #15008=EDGE_LOOP('',(#16998)); #15009=EDGE_LOOP('',(#16999,#17000,#17001,#17002)); #15010=EDGE_LOOP('',(#17003,#17004,#17005,#17006,#17007)); #15011=EDGE_LOOP('',(#17008,#17009,#17010,#17011)); #15012=EDGE_LOOP('',(#17012,#17013,#17014,#17015,#17016,#17017,#17018,#17019, #17020,#17021,#17022,#17023,#17024,#17025,#17026,#17027,#17028)); #15013=EDGE_LOOP('',(#17029,#17030,#17031,#17032)); #15014=EDGE_LOOP('',(#17033,#17034,#17035,#17036)); #15015=EDGE_LOOP('',(#17037,#17038,#17039,#17040)); #15016=EDGE_LOOP('',(#17041,#17042,#17043,#17044)); #15017=EDGE_LOOP('',(#17045,#17046,#17047,#17048)); #15018=EDGE_LOOP('',(#17049,#17050,#17051,#17052)); #15019=EDGE_LOOP('',(#17053,#17054,#17055,#17056)); #15020=EDGE_LOOP('',(#17057,#17058,#17059,#17060)); #15021=EDGE_LOOP('',(#17061,#17062,#17063,#17064)); #15022=EDGE_LOOP('',(#17065,#17066,#17067,#17068)); #15023=EDGE_LOOP('',(#17069,#17070,#17071,#17072,#17073,#17074,#17075,#17076, #17077,#17078,#17079,#17080,#17081)); #15024=EDGE_LOOP('',(#17082,#17083,#17084,#17085)); #15025=EDGE_LOOP('',(#17086)); #15026=EDGE_LOOP('',(#17087,#17088,#17089,#17090)); #15027=EDGE_LOOP('',(#17091,#17092,#17093,#17094)); #15028=EDGE_LOOP('',(#17095,#17096,#17097,#17098,#17099)); #15029=EDGE_LOOP('',(#17100,#17101,#17102,#17103)); #15030=EDGE_LOOP('',(#17104,#17105,#17106,#17107)); #15031=EDGE_LOOP('',(#17108,#17109,#17110,#17111)); #15032=EDGE_LOOP('',(#17112,#17113,#17114,#17115)); #15033=EDGE_LOOP('',(#17116,#17117,#17118,#17119)); #15034=EDGE_LOOP('',(#17120,#17121,#17122,#17123)); #15035=EDGE_LOOP('',(#17124,#17125,#17126,#17127)); #15036=EDGE_LOOP('',(#17128,#17129,#17130,#17131)); #15037=EDGE_LOOP('',(#17132,#17133,#17134,#17135)); #15038=EDGE_LOOP('',(#17136,#17137,#17138)); #15039=EDGE_LOOP('',(#17139,#17140,#17141)); #15040=EDGE_LOOP('',(#17142,#17143,#17144)); #15041=EDGE_LOOP('',(#17145,#17146,#17147)); #15042=EDGE_LOOP('',(#17148,#17149,#17150)); #15043=EDGE_LOOP('',(#17151,#17152,#17153)); #15044=EDGE_LOOP('',(#17154,#17155,#17156)); #15045=EDGE_LOOP('',(#17157,#17158,#17159)); #15046=EDGE_LOOP('',(#17160,#17161,#17162,#17163)); #15047=EDGE_LOOP('',(#17164,#17165,#17166,#17167,#17168,#17169,#17170,#17171)); #15048=EDGE_LOOP('',(#17172,#17173,#17174,#17175)); #15049=EDGE_LOOP('',(#17176,#17177,#17178,#17179)); #15050=EDGE_LOOP('',(#17180,#17181,#17182,#17183)); #15051=EDGE_LOOP('',(#17184,#17185,#17186,#17187)); #15052=EDGE_LOOP('',(#17188,#17189,#17190,#17191)); #15053=EDGE_LOOP('',(#17192,#17193,#17194,#17195)); #15054=EDGE_LOOP('',(#17196,#17197,#17198,#17199)); #15055=EDGE_LOOP('',(#17200,#17201,#17202,#17203)); #15056=EDGE_LOOP('',(#17204,#17205,#17206,#17207)); #15057=EDGE_LOOP('',(#17208,#17209,#17210,#17211)); #15058=EDGE_LOOP('',(#17212,#17213,#17214,#17215)); #15059=EDGE_LOOP('',(#17216,#17217,#17218,#17219,#17220,#17221,#17222)); #15060=EDGE_LOOP('',(#17223,#17224,#17225,#17226,#17227,#17228,#17229)); #15061=EDGE_LOOP('',(#17230,#17231,#17232,#17233,#17234,#17235,#17236)); #15062=EDGE_LOOP('',(#17237,#17238,#17239,#17240,#17241,#17242,#17243,#17244, #17245,#17246,#17247,#17248)); #15063=EDGE_LOOP('',(#17249,#17250,#17251,#17252,#17253,#17254,#17255,#17256)); #15064=EDGE_LOOP('',(#17257,#17258,#17259,#17260)); #15065=EDGE_LOOP('',(#17261,#17262,#17263,#17264)); #15066=EDGE_LOOP('',(#17265,#17266,#17267,#17268)); #15067=EDGE_LOOP('',(#17269,#17270,#17271,#17272)); #15068=EDGE_LOOP('',(#17273,#17274,#17275,#17276)); #15069=EDGE_LOOP('',(#17277,#17278,#17279,#17280)); #15070=EDGE_LOOP('',(#17281)); #15071=EDGE_LOOP('',(#17282)); #15072=EDGE_LOOP('',(#17283,#17284,#17285,#17286)); #15073=EDGE_LOOP('',(#17287,#17288,#17289,#17290)); #15074=EDGE_LOOP('',(#17291,#17292,#17293)); #15075=EDGE_LOOP('',(#17294)); #15076=EDGE_LOOP('',(#17295,#17296)); #15077=EDGE_LOOP('',(#17297,#17298)); #15078=EDGE_LOOP('',(#17299)); #15079=EDGE_LOOP('',(#17300,#17301,#17302,#17303)); #15080=EDGE_LOOP('',(#17304,#17305,#17306,#17307)); #15081=EDGE_LOOP('',(#17308,#17309,#17310,#17311)); #15082=EDGE_LOOP('',(#17312,#17313,#17314,#17315)); #15083=EDGE_LOOP('',(#17316,#17317,#17318,#17319)); #15084=EDGE_LOOP('',(#17320,#17321,#17322,#17323)); #15085=EDGE_LOOP('',(#17324,#17325,#17326,#17327,#17328,#17329)); #15086=EDGE_LOOP('',(#17330,#17331,#17332,#17333)); #15087=EDGE_LOOP('',(#17334,#17335,#17336,#17337)); #15088=EDGE_LOOP('',(#17338,#17339,#17340,#17341)); #15089=EDGE_LOOP('',(#17342,#17343,#17344,#17345)); #15090=EDGE_LOOP('',(#17346,#17347,#17348,#17349)); #15091=EDGE_LOOP('',(#17350,#17351,#17352,#17353)); #15092=EDGE_LOOP('',(#17354,#17355,#17356,#17357)); #15093=EDGE_LOOP('',(#17358,#17359,#17360,#17361)); #15094=EDGE_LOOP('',(#17362,#17363,#17364,#17365)); #15095=EDGE_LOOP('',(#17366,#17367,#17368,#17369,#17370)); #15096=EDGE_LOOP('',(#17371,#17372,#17373,#17374)); #15097=EDGE_LOOP('',(#17375,#17376,#17377,#17378)); #15098=EDGE_LOOP('',(#17379,#17380,#17381,#17382)); #15099=EDGE_LOOP('',(#17383,#17384,#17385,#17386)); #15100=EDGE_LOOP('',(#17387,#17388,#17389,#17390)); #15101=EDGE_LOOP('',(#17391,#17392,#17393,#17394)); #15102=EDGE_LOOP('',(#17395)); #15103=EDGE_LOOP('',(#17396)); #15104=EDGE_LOOP('',(#17397,#17398,#17399,#17400)); #15105=EDGE_LOOP('',(#17401)); #15106=EDGE_LOOP('',(#17402)); #15107=EDGE_LOOP('',(#17403,#17404,#17405,#17406)); #15108=EDGE_LOOP('',(#17407,#17408,#17409,#17410)); #15109=EDGE_LOOP('',(#17411,#17412,#17413,#17414)); #15110=EDGE_LOOP('',(#17415,#17416,#17417,#17418)); #15111=EDGE_LOOP('',(#17419,#17420,#17421,#17422)); #15112=EDGE_LOOP('',(#17423,#17424,#17425,#17426)); #15113=EDGE_LOOP('',(#17427,#17428,#17429,#17430)); #15114=EDGE_LOOP('',(#17431,#17432,#17433,#17434)); #15115=EDGE_LOOP('',(#17435,#17436,#17437,#17438,#17439,#17440,#17441,#17442)); #15116=EDGE_LOOP('',(#17443,#17444,#17445,#17446)); #15117=EDGE_LOOP('',(#17447,#17448,#17449,#17450)); #15118=EDGE_LOOP('',(#17451,#17452,#17453,#17454)); #15119=EDGE_LOOP('',(#17455,#17456,#17457,#17458)); #15120=EDGE_LOOP('',(#17459,#17460,#17461,#17462)); #15121=EDGE_LOOP('',(#17463,#17464,#17465,#17466)); #15122=EDGE_LOOP('',(#17467,#17468,#17469,#17470)); #15123=EDGE_LOOP('',(#17471,#17472,#17473,#17474)); #15124=EDGE_LOOP('',(#17475,#17476,#17477,#17478)); #15125=EDGE_LOOP('',(#17479,#17480,#17481,#17482)); #15126=EDGE_LOOP('',(#17483,#17484,#17485,#17486)); #15127=EDGE_LOOP('',(#17487,#17488,#17489,#17490)); #15128=EDGE_LOOP('',(#17491,#17492,#17493,#17494)); #15129=EDGE_LOOP('',(#17495,#17496,#17497,#17498,#17499,#17500,#17501,#17502, #17503,#17504)); #15130=EDGE_LOOP('',(#17505,#17506,#17507)); #15131=EDGE_LOOP('',(#17508,#17509,#17510)); #15132=EDGE_LOOP('',(#17511,#17512,#17513,#17514)); #15133=EDGE_LOOP('',(#17515)); #15134=EDGE_LOOP('',(#17516)); #15135=EDGE_LOOP('',(#17517,#17518,#17519,#17520)); #15136=EDGE_LOOP('',(#17521)); #15137=EDGE_LOOP('',(#17522,#17523)); #15138=EDGE_LOOP('',(#17524,#17525)); #15139=EDGE_LOOP('',(#17526,#17527,#17528,#17529)); #15140=EDGE_LOOP('',(#17530,#17531,#17532,#17533)); #15141=EDGE_LOOP('',(#17534,#17535,#17536,#17537)); #15142=EDGE_LOOP('',(#17538,#17539,#17540,#17541)); #15143=EDGE_LOOP('',(#17542,#17543,#17544,#17545)); #15144=EDGE_LOOP('',(#17546,#17547,#17548,#17549)); #15145=EDGE_LOOP('',(#17550,#17551,#17552,#17553)); #15146=EDGE_LOOP('',(#17554,#17555,#17556,#17557)); #15147=EDGE_LOOP('',(#17558,#17559,#17560,#17561)); #15148=EDGE_LOOP('',(#17562,#17563,#17564,#17565)); #15149=EDGE_LOOP('',(#17566,#17567,#17568,#17569,#17570,#17571,#17572,#17573, #17574,#17575)); #15150=EDGE_LOOP('',(#17576,#17577,#17578,#17579)); #15151=EDGE_LOOP('',(#17580,#17581,#17582,#17583)); #15152=EDGE_LOOP('',(#17584,#17585,#17586,#17587)); #15153=EDGE_LOOP('',(#17588,#17589,#17590,#17591)); #15154=EDGE_LOOP('',(#17592,#17593,#17594,#17595)); #15155=EDGE_LOOP('',(#17596,#17597,#17598,#17599)); #15156=EDGE_LOOP('',(#17600,#17601,#17602,#17603)); #15157=EDGE_LOOP('',(#17604,#17605,#17606,#17607)); #15158=EDGE_LOOP('',(#17608,#17609,#17610,#17611)); #15159=EDGE_LOOP('',(#17612,#17613,#17614,#17615)); #15160=EDGE_LOOP('',(#17616,#17617,#17618,#17619)); #15161=EDGE_LOOP('',(#17620,#17621,#17622,#17623)); #15162=EDGE_LOOP('',(#17624,#17625,#17626,#17627)); #15163=EDGE_LOOP('',(#17628,#17629,#17630,#17631,#17632,#17633,#17634,#17635, #17636,#17637)); #15164=EDGE_LOOP('',(#17638,#17639,#17640)); #15165=EDGE_LOOP('',(#17641,#17642,#17643)); #15166=EDGE_LOOP('',(#17644,#17645,#17646,#17647)); #15167=EDGE_LOOP('',(#17648,#17649,#17650,#17651)); #15168=EDGE_LOOP('',(#17652,#17653,#17654,#17655)); #15169=EDGE_LOOP('',(#17656,#17657,#17658,#17659)); #15170=EDGE_LOOP('',(#17660,#17661,#17662,#17663)); #15171=EDGE_LOOP('',(#17664,#17665,#17666,#17667)); #15172=EDGE_LOOP('',(#17668,#17669,#17670,#17671)); #15173=EDGE_LOOP('',(#17672,#17673,#17674,#17675)); #15174=EDGE_LOOP('',(#17676,#17677,#17678,#17679)); #15175=EDGE_LOOP('',(#17680,#17681,#17682,#17683)); #15176=EDGE_LOOP('',(#17684,#17685,#17686,#17687)); #15177=EDGE_LOOP('',(#17688,#17689,#17690,#17691)); #15178=EDGE_LOOP('',(#17692,#17693,#17694,#17695)); #15179=EDGE_LOOP('',(#17696,#17697,#17698,#17699,#17700,#17701,#17702,#17703, #17704,#17705,#17706,#17707,#17708)); #15180=EDGE_LOOP('',(#17709,#17710,#17711,#17712)); #15181=EDGE_LOOP('',(#17713,#17714,#17715,#17716)); #15182=EDGE_LOOP('',(#17717,#17718,#17719,#17720)); #15183=EDGE_LOOP('',(#17721,#17722,#17723,#17724)); #15184=EDGE_LOOP('',(#17725,#17726)); #15185=EDGE_LOOP('',(#17727,#17728)); #15186=EDGE_LOOP('',(#17729,#17730)); #15187=EDGE_LOOP('',(#17731,#17732,#17733,#17734)); #15188=EDGE_LOOP('',(#17735,#17736,#17737,#17738)); #15189=EDGE_LOOP('',(#17739,#17740,#17741,#17742)); #15190=EDGE_LOOP('',(#17743,#17744,#17745,#17746)); #15191=EDGE_LOOP('',(#17747,#17748,#17749,#17750)); #15192=EDGE_LOOP('',(#17751,#17752,#17753,#17754)); #15193=EDGE_LOOP('',(#17755,#17756,#17757,#17758)); #15194=EDGE_LOOP('',(#17759,#17760,#17761,#17762)); #15195=EDGE_LOOP('',(#17763,#17764,#17765,#17766,#17767,#17768,#17769,#17770)); #15196=EDGE_LOOP('',(#17771,#17772,#17773,#17774)); #15197=EDGE_LOOP('',(#17775,#17776,#17777,#17778)); #15198=EDGE_LOOP('',(#17779,#17780,#17781,#17782)); #15199=EDGE_LOOP('',(#17783,#17784,#17785,#17786)); #15200=EDGE_LOOP('',(#17787,#17788,#17789,#17790)); #15201=EDGE_LOOP('',(#17791,#17792,#17793,#17794)); #15202=EDGE_LOOP('',(#17795,#17796,#17797,#17798)); #15203=EDGE_LOOP('',(#17799,#17800,#17801,#17802)); #15204=EDGE_LOOP('',(#17803,#17804,#17805,#17806)); #15205=EDGE_LOOP('',(#17807,#17808,#17809,#17810)); #15206=EDGE_LOOP('',(#17811,#17812,#17813,#17814)); #15207=EDGE_LOOP('',(#17815,#17816,#17817,#17818,#17819,#17820,#17821,#17822)); #15208=EDGE_LOOP('',(#17823,#17824,#17825)); #15209=EDGE_LOOP('',(#17826,#17827,#17828)); #15210=EDGE_LOOP('',(#17829,#17830,#17831)); #15211=EDGE_LOOP('',(#17832,#17833,#17834,#17835)); #15212=EDGE_LOOP('',(#17836,#17837,#17838,#17839)); #15213=EDGE_LOOP('',(#17840,#17841,#17842,#17843)); #15214=EDGE_LOOP('',(#17844,#17845,#17846,#17847)); #15215=EDGE_LOOP('',(#17848,#17849,#17850,#17851)); #15216=EDGE_LOOP('',(#17852,#17853,#17854,#17855)); #15217=EDGE_LOOP('',(#17856,#17857,#17858,#17859)); #15218=EDGE_LOOP('',(#17860,#17861,#17862,#17863)); #15219=EDGE_LOOP('',(#17864,#17865,#17866,#17867)); #15220=EDGE_LOOP('',(#17868,#17869,#17870,#17871)); #15221=EDGE_LOOP('',(#17872,#17873,#17874,#17875)); #15222=EDGE_LOOP('',(#17876,#17877,#17878,#17879)); #15223=EDGE_LOOP('',(#17880,#17881,#17882,#17883)); #15224=EDGE_LOOP('',(#17884,#17885,#17886,#17887)); #15225=EDGE_LOOP('',(#17888,#17889,#17890,#17891)); #15226=EDGE_LOOP('',(#17892,#17893,#17894,#17895)); #15227=EDGE_LOOP('',(#17896,#17897,#17898,#17899)); #15228=EDGE_LOOP('',(#17900,#17901,#17902,#17903)); #15229=EDGE_LOOP('',(#17904,#17905,#17906,#17907)); #15230=EDGE_LOOP('',(#17908,#17909,#17910,#17911)); #15231=EDGE_LOOP('',(#17912,#17913,#17914,#17915)); #15232=EDGE_LOOP('',(#17916,#17917,#17918,#17919)); #15233=EDGE_LOOP('',(#17920,#17921,#17922,#17923)); #15234=EDGE_LOOP('',(#17924,#17925,#17926,#17927)); #15235=EDGE_LOOP('',(#17928,#17929,#17930,#17931)); #15236=EDGE_LOOP('',(#17932,#17933,#17934,#17935)); #15237=EDGE_LOOP('',(#17936,#17937,#17938,#17939)); #15238=EDGE_LOOP('',(#17940,#17941,#17942,#17943)); #15239=EDGE_LOOP('',(#17944,#17945,#17946,#17947)); #15240=EDGE_LOOP('',(#17948,#17949,#17950,#17951)); #15241=EDGE_LOOP('',(#17952,#17953,#17954,#17955)); #15242=EDGE_LOOP('',(#17956,#17957,#17958,#17959)); #15243=EDGE_LOOP('',(#17960,#17961,#17962,#17963)); #15244=EDGE_LOOP('',(#17964,#17965,#17966,#17967)); #15245=EDGE_LOOP('',(#17968,#17969,#17970,#17971)); #15246=EDGE_LOOP('',(#17972,#17973,#17974,#17975)); #15247=EDGE_LOOP('',(#17976,#17977,#17978,#17979)); #15248=EDGE_LOOP('',(#17980,#17981,#17982,#17983)); #15249=EDGE_LOOP('',(#17984,#17985,#17986,#17987)); #15250=EDGE_LOOP('',(#17988,#17989,#17990,#17991)); #15251=EDGE_LOOP('',(#17992,#17993,#17994,#17995)); #15252=EDGE_LOOP('',(#17996,#17997,#17998,#17999)); #15253=EDGE_LOOP('',(#18000,#18001,#18002,#18003)); #15254=EDGE_LOOP('',(#18004,#18005,#18006,#18007)); #15255=EDGE_LOOP('',(#18008,#18009,#18010,#18011)); #15256=EDGE_LOOP('',(#18012,#18013,#18014,#18015)); #15257=EDGE_LOOP('',(#18016,#18017,#18018,#18019)); #15258=EDGE_LOOP('',(#18020,#18021,#18022,#18023)); #15259=EDGE_LOOP('',(#18024,#18025,#18026,#18027)); #15260=EDGE_LOOP('',(#18028,#18029,#18030,#18031)); #15261=EDGE_LOOP('',(#18032,#18033,#18034,#18035)); #15262=EDGE_LOOP('',(#18036,#18037,#18038,#18039)); #15263=EDGE_LOOP('',(#18040,#18041,#18042,#18043)); #15264=EDGE_LOOP('',(#18044,#18045,#18046,#18047)); #15265=EDGE_LOOP('',(#18048,#18049,#18050,#18051)); #15266=EDGE_LOOP('',(#18052,#18053,#18054,#18055)); #15267=EDGE_LOOP('',(#18056,#18057,#18058,#18059)); #15268=EDGE_LOOP('',(#18060,#18061,#18062,#18063)); #15269=EDGE_LOOP('',(#18064,#18065,#18066,#18067)); #15270=EDGE_LOOP('',(#18068,#18069,#18070,#18071)); #15271=EDGE_LOOP('',(#18072,#18073,#18074,#18075)); #15272=EDGE_LOOP('',(#18076,#18077,#18078,#18079)); #15273=EDGE_LOOP('',(#18080,#18081,#18082,#18083)); #15274=EDGE_LOOP('',(#18084,#18085,#18086,#18087)); #15275=EDGE_LOOP('',(#18088,#18089,#18090,#18091)); #15276=EDGE_LOOP('',(#18092,#18093,#18094,#18095)); #15277=EDGE_LOOP('',(#18096,#18097,#18098,#18099)); #15278=EDGE_LOOP('',(#18100,#18101,#18102,#18103)); #15279=EDGE_LOOP('',(#18104,#18105,#18106,#18107)); #15280=EDGE_LOOP('',(#18108,#18109,#18110,#18111)); #15281=EDGE_LOOP('',(#18112,#18113,#18114,#18115)); #15282=EDGE_LOOP('',(#18116,#18117,#18118,#18119)); #15283=EDGE_LOOP('',(#18120,#18121,#18122,#18123)); #15284=EDGE_LOOP('',(#18124,#18125,#18126,#18127)); #15285=EDGE_LOOP('',(#18128,#18129,#18130,#18131)); #15286=EDGE_LOOP('',(#18132,#18133,#18134,#18135)); #15287=EDGE_LOOP('',(#18136,#18137,#18138,#18139)); #15288=EDGE_LOOP('',(#18140,#18141,#18142,#18143)); #15289=EDGE_LOOP('',(#18144,#18145,#18146,#18147)); #15290=EDGE_LOOP('',(#18148,#18149,#18150,#18151)); #15291=EDGE_LOOP('',(#18152,#18153,#18154,#18155)); #15292=EDGE_LOOP('',(#18156,#18157,#18158,#18159)); #15293=EDGE_LOOP('',(#18160,#18161,#18162,#18163)); #15294=EDGE_LOOP('',(#18164,#18165,#18166,#18167)); #15295=EDGE_LOOP('',(#18168,#18169,#18170,#18171)); #15296=EDGE_LOOP('',(#18172,#18173,#18174,#18175)); #15297=EDGE_LOOP('',(#18176,#18177,#18178,#18179)); #15298=EDGE_LOOP('',(#18180,#18181,#18182,#18183)); #15299=EDGE_LOOP('',(#18184,#18185,#18186,#18187)); #15300=EDGE_LOOP('',(#18188,#18189,#18190,#18191)); #15301=EDGE_LOOP('',(#18192,#18193,#18194,#18195)); #15302=EDGE_LOOP('',(#18196,#18197,#18198,#18199)); #15303=EDGE_LOOP('',(#18200,#18201,#18202,#18203)); #15304=EDGE_LOOP('',(#18204,#18205,#18206,#18207)); #15305=EDGE_LOOP('',(#18208,#18209,#18210,#18211)); #15306=EDGE_LOOP('',(#18212,#18213,#18214,#18215)); #15307=EDGE_LOOP('',(#18216,#18217,#18218,#18219)); #15308=EDGE_LOOP('',(#18220,#18221,#18222,#18223)); #15309=EDGE_LOOP('',(#18224,#18225,#18226,#18227)); #15310=EDGE_LOOP('',(#18228,#18229,#18230,#18231)); #15311=EDGE_LOOP('',(#18232,#18233,#18234,#18235)); #15312=EDGE_LOOP('',(#18236,#18237,#18238,#18239)); #15313=EDGE_LOOP('',(#18240,#18241,#18242,#18243)); #15314=EDGE_LOOP('',(#18244,#18245,#18246,#18247)); #15315=EDGE_LOOP('',(#18248,#18249,#18250,#18251)); #15316=EDGE_LOOP('',(#18252,#18253,#18254,#18255)); #15317=EDGE_LOOP('',(#18256,#18257,#18258,#18259)); #15318=EDGE_LOOP('',(#18260,#18261,#18262,#18263)); #15319=EDGE_LOOP('',(#18264,#18265,#18266,#18267)); #15320=EDGE_LOOP('',(#18268,#18269,#18270,#18271)); #15321=EDGE_LOOP('',(#18272,#18273,#18274,#18275)); #15322=EDGE_LOOP('',(#18276,#18277,#18278,#18279)); #15323=EDGE_LOOP('',(#18280,#18281,#18282,#18283)); #15324=EDGE_LOOP('',(#18284,#18285,#18286,#18287)); #15325=EDGE_LOOP('',(#18288,#18289,#18290,#18291)); #15326=EDGE_LOOP('',(#18292,#18293,#18294,#18295)); #15327=EDGE_LOOP('',(#18296,#18297,#18298,#18299)); #15328=EDGE_LOOP('',(#18300,#18301,#18302,#18303)); #15329=EDGE_LOOP('',(#18304,#18305,#18306,#18307)); #15330=EDGE_LOOP('',(#18308,#18309,#18310,#18311)); #15331=EDGE_LOOP('',(#18312,#18313,#18314,#18315)); #15332=EDGE_LOOP('',(#18316,#18317,#18318,#18319)); #15333=EDGE_LOOP('',(#18320,#18321,#18322,#18323)); #15334=EDGE_LOOP('',(#18324,#18325,#18326,#18327)); #15335=EDGE_LOOP('',(#18328,#18329,#18330,#18331)); #15336=EDGE_LOOP('',(#18332,#18333,#18334,#18335)); #15337=EDGE_LOOP('',(#18336,#18337,#18338,#18339)); #15338=EDGE_LOOP('',(#18340,#18341,#18342,#18343)); #15339=EDGE_LOOP('',(#18344,#18345,#18346,#18347)); #15340=EDGE_LOOP('',(#18348,#18349,#18350,#18351)); #15341=EDGE_LOOP('',(#18352,#18353,#18354,#18355)); #15342=EDGE_LOOP('',(#18356,#18357,#18358,#18359)); #15343=EDGE_LOOP('',(#18360,#18361,#18362,#18363)); #15344=EDGE_LOOP('',(#18364,#18365,#18366,#18367)); #15345=EDGE_LOOP('',(#18368,#18369,#18370,#18371)); #15346=EDGE_LOOP('',(#18372,#18373,#18374,#18375)); #15347=EDGE_LOOP('',(#18376,#18377,#18378,#18379)); #15348=EDGE_LOOP('',(#18380,#18381,#18382,#18383)); #15349=EDGE_LOOP('',(#18384,#18385,#18386,#18387)); #15350=EDGE_LOOP('',(#18388,#18389,#18390,#18391)); #15351=EDGE_LOOP('',(#18392,#18393,#18394,#18395)); #15352=EDGE_LOOP('',(#18396,#18397,#18398,#18399)); #15353=EDGE_LOOP('',(#18400,#18401,#18402,#18403)); #15354=EDGE_LOOP('',(#18404,#18405,#18406,#18407)); #15355=EDGE_LOOP('',(#18408,#18409,#18410,#18411)); #15356=EDGE_LOOP('',(#18412,#18413,#18414,#18415)); #15357=EDGE_LOOP('',(#18416,#18417,#18418,#18419)); #15358=EDGE_LOOP('',(#18420,#18421,#18422,#18423)); #15359=EDGE_LOOP('',(#18424,#18425,#18426,#18427)); #15360=EDGE_LOOP('',(#18428,#18429,#18430,#18431)); #15361=EDGE_LOOP('',(#18432,#18433,#18434,#18435)); #15362=EDGE_LOOP('',(#18436,#18437,#18438,#18439)); #15363=EDGE_LOOP('',(#18440,#18441,#18442,#18443)); #15364=EDGE_LOOP('',(#18444,#18445,#18446,#18447)); #15365=EDGE_LOOP('',(#18448,#18449,#18450,#18451)); #15366=EDGE_LOOP('',(#18452,#18453,#18454,#18455)); #15367=EDGE_LOOP('',(#18456,#18457,#18458,#18459)); #15368=EDGE_LOOP('',(#18460,#18461,#18462,#18463)); #15369=EDGE_LOOP('',(#18464,#18465,#18466,#18467)); #15370=EDGE_LOOP('',(#18468,#18469,#18470,#18471)); #15371=EDGE_LOOP('',(#18472,#18473,#18474,#18475)); #15372=EDGE_LOOP('',(#18476,#18477,#18478,#18479)); #15373=EDGE_LOOP('',(#18480,#18481,#18482,#18483)); #15374=EDGE_LOOP('',(#18484,#18485,#18486,#18487)); #15375=EDGE_LOOP('',(#18488,#18489,#18490,#18491)); #15376=EDGE_LOOP('',(#18492,#18493,#18494,#18495)); #15377=EDGE_LOOP('',(#18496,#18497,#18498,#18499)); #15378=EDGE_LOOP('',(#18500,#18501,#18502,#18503)); #15379=EDGE_LOOP('',(#18504,#18505,#18506,#18507)); #15380=EDGE_LOOP('',(#18508,#18509,#18510,#18511)); #15381=EDGE_LOOP('',(#18512,#18513,#18514,#18515)); #15382=EDGE_LOOP('',(#18516,#18517,#18518,#18519)); #15383=EDGE_LOOP('',(#18520,#18521,#18522,#18523,#18524,#18525,#18526,#18527, #18528,#18529,#18530,#18531,#18532,#18533,#18534,#18535,#18536,#18537,#18538, #18539,#18540,#18541,#18542,#18543,#18544,#18545,#18546,#18547,#18548,#18549, #18550,#18551,#18552,#18553,#18554,#18555,#18556,#18557,#18558,#18559,#18560, #18561,#18562,#18563,#18564,#18565,#18566,#18567,#18568,#18569,#18570,#18571, #18572,#18573,#18574,#18575,#18576,#18577,#18578,#18579,#18580,#18581,#18582, #18583,#18584,#18585,#18586,#18587)); #15384=EDGE_LOOP('',(#18588,#18589,#18590,#18591)); #15385=EDGE_LOOP('',(#18592,#18593,#18594)); #15386=EDGE_LOOP('',(#18595,#18596,#18597,#18598,#18599,#18600,#18601,#18602, #18603,#18604,#18605,#18606)); #15387=EDGE_LOOP('',(#18607,#18608,#18609,#18610,#18611,#18612,#18613,#18614, #18615,#18616,#18617,#18618)); #15388=EDGE_LOOP('',(#18619,#18620,#18621,#18622)); #15389=EDGE_LOOP('',(#18623,#18624,#18625,#18626)); #15390=EDGE_LOOP('',(#18627,#18628,#18629,#18630)); #15391=EDGE_LOOP('',(#18631,#18632,#18633,#18634)); #15392=EDGE_LOOP('',(#18635,#18636,#18637,#18638)); #15393=EDGE_LOOP('',(#18639,#18640,#18641,#18642)); #15394=EDGE_LOOP('',(#18643,#18644,#18645,#18646)); #15395=EDGE_LOOP('',(#18647,#18648,#18649,#18650)); #15396=EDGE_LOOP('',(#18651,#18652,#18653,#18654)); #15397=EDGE_LOOP('',(#18655,#18656,#18657,#18658)); #15398=EDGE_LOOP('',(#18659,#18660,#18661,#18662)); #15399=EDGE_LOOP('',(#18663,#18664,#18665,#18666)); #15400=EDGE_LOOP('',(#18667,#18668,#18669,#18670)); #15401=EDGE_LOOP('',(#18671,#18672,#18673,#18674)); #15402=EDGE_LOOP('',(#18675,#18676,#18677,#18678)); #15403=EDGE_LOOP('',(#18679,#18680,#18681,#18682)); #15404=EDGE_LOOP('',(#18683,#18684,#18685,#18686)); #15405=EDGE_LOOP('',(#18687,#18688,#18689,#18690)); #15406=EDGE_LOOP('',(#18691,#18692,#18693,#18694)); #15407=EDGE_LOOP('',(#18695,#18696,#18697,#18698)); #15408=EDGE_LOOP('',(#18699,#18700,#18701,#18702)); #15409=EDGE_LOOP('',(#18703,#18704,#18705,#18706)); #15410=EDGE_LOOP('',(#18707,#18708,#18709,#18710)); #15411=EDGE_LOOP('',(#18711,#18712,#18713,#18714)); #15412=EDGE_LOOP('',(#18715,#18716,#18717,#18718)); #15413=EDGE_LOOP('',(#18719,#18720,#18721,#18722)); #15414=EDGE_LOOP('',(#18723,#18724,#18725,#18726)); #15415=EDGE_LOOP('',(#18727,#18728,#18729,#18730)); #15416=EDGE_LOOP('',(#18731,#18732,#18733,#18734)); #15417=EDGE_LOOP('',(#18735,#18736,#18737,#18738)); #15418=EDGE_LOOP('',(#18739,#18740,#18741,#18742)); #15419=EDGE_LOOP('',(#18743,#18744,#18745,#18746)); #15420=EDGE_LOOP('',(#18747,#18748,#18749,#18750)); #15421=EDGE_LOOP('',(#18751,#18752,#18753,#18754,#18755,#18756,#18757,#18758, #18759,#18760,#18761,#18762,#18763)); #15422=EDGE_LOOP('',(#18764,#18765,#18766,#18767,#18768,#18769,#18770,#18771, #18772,#18773,#18774,#18775,#18776)); #15423=EDGE_LOOP('',(#18777,#18778,#18779,#18780,#18781,#18782,#18783,#18784)); #15424=EDGE_LOOP('',(#18785,#18786,#18787,#18788)); #15425=EDGE_LOOP('',(#18789,#18790,#18791,#18792)); #15426=EDGE_LOOP('',(#18793,#18794,#18795,#18796,#18797,#18798,#18799,#18800, #18801,#18802,#18803,#18804,#18805)); #15427=EDGE_LOOP('',(#18806,#18807,#18808,#18809,#18810,#18811,#18812,#18813, #18814,#18815,#18816,#18817,#18818)); #15428=EDGE_LOOP('',(#18819,#18820,#18821,#18822,#18823,#18824,#18825,#18826)); #15429=EDGE_LOOP('',(#18827,#18828,#18829,#18830)); #15430=EDGE_LOOP('',(#18831,#18832,#18833,#18834)); #15431=EDGE_LOOP('',(#18835,#18836,#18837,#18838,#18839,#18840,#18841,#18842, #18843,#18844,#18845,#18846,#18847)); #15432=EDGE_LOOP('',(#18848,#18849,#18850,#18851,#18852,#18853,#18854,#18855, #18856,#18857,#18858,#18859,#18860)); #15433=EDGE_LOOP('',(#18861,#18862,#18863,#18864,#18865,#18866,#18867,#18868)); #15434=EDGE_LOOP('',(#18869,#18870,#18871,#18872)); #15435=EDGE_LOOP('',(#18873,#18874,#18875,#18876)); #15436=EDGE_LOOP('',(#18877,#18878,#18879,#18880,#18881,#18882,#18883,#18884, #18885,#18886,#18887,#18888,#18889)); #15437=EDGE_LOOP('',(#18890,#18891,#18892,#18893,#18894,#18895,#18896,#18897, #18898,#18899,#18900,#18901,#18902)); #15438=EDGE_LOOP('',(#18903,#18904,#18905,#18906,#18907,#18908,#18909,#18910)); #15439=EDGE_LOOP('',(#18911,#18912,#18913,#18914)); #15440=EDGE_LOOP('',(#18915,#18916,#18917,#18918)); #15441=EDGE_LOOP('',(#18919,#18920,#18921,#18922,#18923,#18924,#18925,#18926, #18927,#18928,#18929,#18930,#18931)); #15442=EDGE_LOOP('',(#18932,#18933,#18934,#18935,#18936,#18937,#18938,#18939, #18940,#18941,#18942,#18943,#18944)); #15443=EDGE_LOOP('',(#18945,#18946,#18947,#18948,#18949,#18950,#18951,#18952)); #15444=EDGE_LOOP('',(#18953,#18954,#18955,#18956)); #15445=EDGE_LOOP('',(#18957,#18958,#18959,#18960)); #15446=EDGE_LOOP('',(#18961,#18962,#18963,#18964,#18965,#18966,#18967,#18968, #18969,#18970,#18971,#18972,#18973)); #15447=EDGE_LOOP('',(#18974,#18975,#18976,#18977,#18978,#18979,#18980,#18981, #18982,#18983,#18984,#18985,#18986)); #15448=EDGE_LOOP('',(#18987,#18988,#18989,#18990,#18991,#18992,#18993,#18994)); #15449=EDGE_LOOP('',(#18995,#18996,#18997,#18998)); #15450=EDGE_LOOP('',(#18999,#19000,#19001,#19002)); #15451=EDGE_LOOP('',(#19003,#19004,#19005,#19006,#19007,#19008,#19009,#19010, #19011,#19012,#19013,#19014,#19015)); #15452=EDGE_LOOP('',(#19016,#19017,#19018,#19019,#19020,#19021,#19022,#19023, #19024,#19025,#19026,#19027,#19028)); #15453=EDGE_LOOP('',(#19029,#19030,#19031,#19032,#19033,#19034,#19035,#19036)); #15454=EDGE_LOOP('',(#19037,#19038,#19039,#19040)); #15455=EDGE_LOOP('',(#19041,#19042,#19043,#19044)); #15456=EDGE_LOOP('',(#19045,#19046,#19047,#19048,#19049,#19050,#19051,#19052, #19053,#19054,#19055,#19056,#19057)); #15457=EDGE_LOOP('',(#19058,#19059,#19060,#19061,#19062,#19063,#19064,#19065, #19066,#19067,#19068,#19069,#19070)); #15458=EDGE_LOOP('',(#19071,#19072,#19073,#19074,#19075,#19076,#19077,#19078)); #15459=EDGE_LOOP('',(#19079,#19080,#19081,#19082)); #15460=EDGE_LOOP('',(#19083,#19084,#19085,#19086,#19087,#19088,#19089,#19090, #19091,#19092,#19093,#19094,#19095)); #15461=EDGE_LOOP('',(#19096,#19097,#19098,#19099,#19100,#19101,#19102,#19103, #19104,#19105,#19106,#19107,#19108)); #15462=EDGE_LOOP('',(#19109,#19110,#19111,#19112,#19113,#19114,#19115,#19116)); #15463=EDGE_LOOP('',(#19117,#19118,#19119,#19120)); #15464=EDGE_LOOP('',(#19121,#19122,#19123,#19124)); #15465=EDGE_LOOP('',(#19125,#19126,#19127,#19128,#19129,#19130,#19131,#19132, #19133,#19134,#19135,#19136,#19137)); #15466=EDGE_LOOP('',(#19138,#19139,#19140,#19141,#19142,#19143,#19144,#19145, #19146,#19147,#19148,#19149,#19150)); #15467=EDGE_LOOP('',(#19151,#19152,#19153,#19154,#19155,#19156,#19157,#19158)); #15468=EDGE_LOOP('',(#19159,#19160,#19161,#19162)); #15469=EDGE_LOOP('',(#19163,#19164,#19165,#19166)); #15470=EDGE_LOOP('',(#19167,#19168,#19169,#19170,#19171,#19172,#19173,#19174, #19175,#19176,#19177,#19178,#19179)); #15471=EDGE_LOOP('',(#19180,#19181,#19182,#19183,#19184,#19185,#19186,#19187, #19188,#19189,#19190,#19191,#19192)); #15472=EDGE_LOOP('',(#19193,#19194,#19195,#19196,#19197,#19198,#19199,#19200)); #15473=EDGE_LOOP('',(#19201,#19202,#19203,#19204)); #15474=EDGE_LOOP('',(#19205,#19206,#19207,#19208)); #15475=EDGE_LOOP('',(#19209,#19210,#19211,#19212,#19213,#19214,#19215,#19216, #19217,#19218,#19219,#19220,#19221)); #15476=EDGE_LOOP('',(#19222,#19223,#19224,#19225,#19226,#19227,#19228,#19229, #19230,#19231,#19232,#19233,#19234)); #15477=EDGE_LOOP('',(#19235,#19236,#19237,#19238,#19239,#19240,#19241,#19242)); #15478=EDGE_LOOP('',(#19243,#19244,#19245,#19246)); #15479=EDGE_LOOP('',(#19247,#19248,#19249,#19250)); #15480=EDGE_LOOP('',(#19251,#19252,#19253,#19254,#19255,#19256,#19257,#19258, #19259,#19260,#19261,#19262,#19263)); #15481=EDGE_LOOP('',(#19264,#19265,#19266,#19267,#19268,#19269,#19270,#19271, #19272,#19273,#19274,#19275,#19276)); #15482=EDGE_LOOP('',(#19277,#19278,#19279,#19280,#19281,#19282,#19283,#19284)); #15483=EDGE_LOOP('',(#19285,#19286,#19287,#19288)); #15484=EDGE_LOOP('',(#19289,#19290,#19291,#19292)); #15485=EDGE_LOOP('',(#19293,#19294,#19295,#19296,#19297,#19298,#19299,#19300, #19301,#19302,#19303,#19304,#19305)); #15486=EDGE_LOOP('',(#19306,#19307,#19308,#19309,#19310,#19311,#19312,#19313, #19314,#19315,#19316,#19317,#19318)); #15487=EDGE_LOOP('',(#19319,#19320,#19321,#19322,#19323,#19324,#19325,#19326)); #15488=EDGE_LOOP('',(#19327,#19328,#19329,#19330)); #15489=EDGE_LOOP('',(#19331,#19332,#19333,#19334)); #15490=EDGE_LOOP('',(#19335,#19336,#19337,#19338,#19339,#19340,#19341,#19342, #19343,#19344,#19345,#19346,#19347)); #15491=EDGE_LOOP('',(#19348,#19349,#19350,#19351,#19352,#19353,#19354,#19355, #19356,#19357,#19358,#19359,#19360)); #15492=EDGE_LOOP('',(#19361,#19362,#19363,#19364,#19365,#19366,#19367,#19368)); #15493=EDGE_LOOP('',(#19369,#19370,#19371,#19372)); #15494=EDGE_LOOP('',(#19373,#19374,#19375,#19376)); #15495=EDGE_LOOP('',(#19377,#19378,#19379,#19380,#19381,#19382,#19383,#19384, #19385,#19386,#19387,#19388,#19389)); #15496=EDGE_LOOP('',(#19390,#19391,#19392,#19393,#19394,#19395,#19396,#19397, #19398,#19399,#19400,#19401,#19402)); #15497=EDGE_LOOP('',(#19403,#19404,#19405,#19406,#19407,#19408,#19409,#19410)); #15498=EDGE_LOOP('',(#19411,#19412,#19413,#19414)); #15499=EDGE_LOOP('',(#19415,#19416,#19417,#19418)); #15500=EDGE_LOOP('',(#19419,#19420,#19421,#19422)); #15501=EDGE_LOOP('',(#19423,#19424,#19425,#19426)); #15502=EDGE_LOOP('',(#19427,#19428,#19429,#19430)); #15503=EDGE_LOOP('',(#19431,#19432,#19433,#19434)); #15504=EDGE_LOOP('',(#19435,#19436,#19437,#19438)); #15505=EDGE_LOOP('',(#19439,#19440,#19441,#19442)); #15506=EDGE_LOOP('',(#19443,#19444,#19445,#19446)); #15507=EDGE_LOOP('',(#19447,#19448,#19449,#19450)); #15508=EDGE_LOOP('',(#19451,#19452,#19453,#19454)); #15509=EDGE_LOOP('',(#19455,#19456,#19457,#19458)); #15510=EDGE_LOOP('',(#19459,#19460,#19461,#19462)); #15511=EDGE_LOOP('',(#19463,#19464,#19465,#19466)); #15512=EDGE_LOOP('',(#19467,#19468,#19469,#19470)); #15513=EDGE_LOOP('',(#19471,#19472,#19473,#19474)); #15514=EDGE_LOOP('',(#19475,#19476,#19477,#19478)); #15515=EDGE_LOOP('',(#19479,#19480,#19481,#19482)); #15516=EDGE_LOOP('',(#19483,#19484,#19485,#19486)); #15517=EDGE_LOOP('',(#19487,#19488,#19489,#19490)); #15518=EDGE_LOOP('',(#19491,#19492,#19493,#19494)); #15519=EDGE_LOOP('',(#19495,#19496,#19497,#19498)); #15520=EDGE_LOOP('',(#19499,#19500,#19501,#19502)); #15521=EDGE_LOOP('',(#19503,#19504,#19505,#19506)); #15522=EDGE_LOOP('',(#19507,#19508,#19509,#19510)); #15523=EDGE_LOOP('',(#19511,#19512,#19513,#19514)); #15524=EDGE_LOOP('',(#19515,#19516,#19517,#19518)); #15525=EDGE_LOOP('',(#19519,#19520,#19521,#19522)); #15526=EDGE_LOOP('',(#19523,#19524,#19525,#19526)); #15527=EDGE_LOOP('',(#19527,#19528,#19529,#19530)); #15528=EDGE_LOOP('',(#19531,#19532,#19533,#19534)); #15529=EDGE_LOOP('',(#19535,#19536,#19537,#19538)); #15530=EDGE_LOOP('',(#19539,#19540,#19541,#19542)); #15531=EDGE_LOOP('',(#19543,#19544,#19545,#19546)); #15532=EDGE_LOOP('',(#19547,#19548,#19549,#19550)); #15533=EDGE_LOOP('',(#19551,#19552,#19553,#19554)); #15534=EDGE_LOOP('',(#19555,#19556,#19557,#19558)); #15535=EDGE_LOOP('',(#19559,#19560,#19561,#19562)); #15536=EDGE_LOOP('',(#19563,#19564,#19565,#19566)); #15537=EDGE_LOOP('',(#19567,#19568,#19569,#19570)); #15538=EDGE_LOOP('',(#19571,#19572,#19573,#19574)); #15539=EDGE_LOOP('',(#19575,#19576,#19577,#19578)); #15540=EDGE_LOOP('',(#19579,#19580,#19581,#19582)); #15541=EDGE_LOOP('',(#19583,#19584,#19585,#19586)); #15542=EDGE_LOOP('',(#19587,#19588,#19589,#19590)); #15543=EDGE_LOOP('',(#19591,#19592,#19593,#19594)); #15544=EDGE_LOOP('',(#19595,#19596,#19597,#19598)); #15545=EDGE_LOOP('',(#19599,#19600,#19601,#19602)); #15546=EDGE_LOOP('',(#19603,#19604,#19605,#19606)); #15547=EDGE_LOOP('',(#19607,#19608,#19609,#19610)); #15548=EDGE_LOOP('',(#19611,#19612,#19613,#19614)); #15549=EDGE_LOOP('',(#19615,#19616,#19617,#19618)); #15550=EDGE_LOOP('',(#19619,#19620,#19621,#19622)); #15551=EDGE_LOOP('',(#19623,#19624,#19625,#19626)); #15552=EDGE_LOOP('',(#19627,#19628,#19629,#19630)); #15553=EDGE_LOOP('',(#19631,#19632,#19633,#19634)); #15554=EDGE_LOOP('',(#19635,#19636,#19637,#19638)); #15555=EDGE_LOOP('',(#19639,#19640,#19641,#19642)); #15556=EDGE_LOOP('',(#19643,#19644,#19645,#19646)); #15557=EDGE_LOOP('',(#19647,#19648,#19649,#19650)); #15558=EDGE_LOOP('',(#19651,#19652,#19653,#19654)); #15559=EDGE_LOOP('',(#19655,#19656,#19657,#19658)); #15560=EDGE_LOOP('',(#19659,#19660,#19661,#19662)); #15561=EDGE_LOOP('',(#19663,#19664,#19665,#19666)); #15562=EDGE_LOOP('',(#19667,#19668,#19669,#19670)); #15563=EDGE_LOOP('',(#19671,#19672,#19673,#19674)); #15564=EDGE_LOOP('',(#19675,#19676,#19677,#19678)); #15565=EDGE_LOOP('',(#19679,#19680,#19681,#19682)); #15566=EDGE_LOOP('',(#19683,#19684,#19685,#19686)); #15567=EDGE_LOOP('',(#19687,#19688,#19689,#19690)); #15568=EDGE_LOOP('',(#19691,#19692,#19693,#19694)); #15569=EDGE_LOOP('',(#19695,#19696,#19697,#19698)); #15570=EDGE_LOOP('',(#19699,#19700,#19701,#19702)); #15571=EDGE_LOOP('',(#19703,#19704,#19705,#19706)); #15572=EDGE_LOOP('',(#19707,#19708,#19709,#19710)); #15573=EDGE_LOOP('',(#19711,#19712,#19713,#19714)); #15574=EDGE_LOOP('',(#19715,#19716,#19717,#19718)); #15575=EDGE_LOOP('',(#19719,#19720,#19721,#19722)); #15576=EDGE_LOOP('',(#19723,#19724,#19725,#19726)); #15577=EDGE_LOOP('',(#19727,#19728,#19729,#19730)); #15578=EDGE_LOOP('',(#19731,#19732,#19733,#19734)); #15579=EDGE_LOOP('',(#19735,#19736,#19737,#19738)); #15580=EDGE_LOOP('',(#19739,#19740,#19741,#19742)); #15581=EDGE_LOOP('',(#19743,#19744,#19745,#19746)); #15582=EDGE_LOOP('',(#19747,#19748,#19749,#19750)); #15583=EDGE_LOOP('',(#19751,#19752,#19753,#19754)); #15584=EDGE_LOOP('',(#19755,#19756,#19757,#19758)); #15585=EDGE_LOOP('',(#19759,#19760,#19761,#19762)); #15586=EDGE_LOOP('',(#19763,#19764,#19765,#19766)); #15587=EDGE_LOOP('',(#19767,#19768,#19769,#19770,#19771,#19772,#19773,#19774, #19775,#19776,#19777,#19778)); #15588=EDGE_LOOP('',(#19779,#19780,#19781,#19782)); #15589=EDGE_LOOP('',(#19783,#19784,#19785,#19786,#19787,#19788)); #15590=EDGE_LOOP('',(#19789,#19790,#19791,#19792)); #15591=EDGE_LOOP('',(#19793,#19794,#19795,#19796,#19797,#19798)); #15592=EDGE_LOOP('',(#19799,#19800,#19801,#19802,#19803,#19804,#19805)); #15593=EDGE_LOOP('',(#19806,#19807,#19808,#19809)); #15594=EDGE_LOOP('',(#19810,#19811,#19812,#19813)); #15595=EDGE_LOOP('',(#19814,#19815,#19816,#19817)); #15596=EDGE_LOOP('',(#19818,#19819,#19820,#19821)); #15597=EDGE_LOOP('',(#19822,#19823,#19824,#19825)); #15598=EDGE_LOOP('',(#19826,#19827,#19828,#19829,#19830,#19831)); #15599=EDGE_LOOP('',(#19832,#19833,#19834,#19835)); #15600=EDGE_LOOP('',(#19836,#19837,#19838,#19839)); #15601=EDGE_LOOP('',(#19840,#19841,#19842,#19843)); #15602=EDGE_LOOP('',(#19844,#19845,#19846,#19847)); #15603=EDGE_LOOP('',(#19848,#19849,#19850,#19851)); #15604=EDGE_LOOP('',(#19852,#19853,#19854,#19855,#19856,#19857)); #15605=EDGE_LOOP('',(#19858,#19859,#19860,#19861)); #15606=EDGE_LOOP('',(#19862,#19863,#19864,#19865)); #15607=EDGE_LOOP('',(#19866,#19867,#19868,#19869)); #15608=EDGE_LOOP('',(#19870,#19871,#19872,#19873)); #15609=EDGE_LOOP('',(#19874,#19875,#19876,#19877)); #15610=EDGE_LOOP('',(#19878,#19879,#19880,#19881,#19882,#19883)); #15611=EDGE_LOOP('',(#19884,#19885,#19886,#19887)); #15612=EDGE_LOOP('',(#19888,#19889,#19890,#19891)); #15613=EDGE_LOOP('',(#19892,#19893,#19894,#19895)); #15614=EDGE_LOOP('',(#19896,#19897,#19898,#19899)); #15615=EDGE_LOOP('',(#19900,#19901,#19902,#19903)); #15616=EDGE_LOOP('',(#19904,#19905,#19906,#19907,#19908,#19909)); #15617=EDGE_LOOP('',(#19910,#19911,#19912,#19913)); #15618=EDGE_LOOP('',(#19914,#19915,#19916,#19917)); #15619=EDGE_LOOP('',(#19918,#19919,#19920,#19921)); #15620=EDGE_LOOP('',(#19922,#19923,#19924,#19925)); #15621=EDGE_LOOP('',(#19926,#19927,#19928,#19929)); #15622=EDGE_LOOP('',(#19930,#19931,#19932,#19933,#19934,#19935)); #15623=EDGE_LOOP('',(#19936,#19937,#19938,#19939)); #15624=EDGE_LOOP('',(#19940,#19941,#19942,#19943)); #15625=EDGE_LOOP('',(#19944,#19945,#19946,#19947)); #15626=EDGE_LOOP('',(#19948,#19949,#19950,#19951)); #15627=EDGE_LOOP('',(#19952,#19953,#19954,#19955)); #15628=EDGE_LOOP('',(#19956,#19957,#19958,#19959,#19960,#19961)); #15629=EDGE_LOOP('',(#19962,#19963,#19964,#19965)); #15630=EDGE_LOOP('',(#19966,#19967,#19968,#19969)); #15631=EDGE_LOOP('',(#19970,#19971,#19972,#19973)); #15632=EDGE_LOOP('',(#19974,#19975,#19976,#19977)); #15633=EDGE_LOOP('',(#19978,#19979,#19980,#19981)); #15634=EDGE_LOOP('',(#19982,#19983,#19984,#19985,#19986,#19987)); #15635=EDGE_LOOP('',(#19988,#19989,#19990,#19991)); #15636=EDGE_LOOP('',(#19992,#19993,#19994,#19995)); #15637=EDGE_LOOP('',(#19996,#19997,#19998,#19999)); #15638=EDGE_LOOP('',(#20000,#20001,#20002,#20003)); #15639=EDGE_LOOP('',(#20004,#20005,#20006,#20007)); #15640=EDGE_LOOP('',(#20008,#20009,#20010,#20011,#20012,#20013)); #15641=EDGE_LOOP('',(#20014,#20015,#20016,#20017)); #15642=EDGE_LOOP('',(#20018,#20019,#20020,#20021)); #15643=EDGE_LOOP('',(#20022,#20023,#20024,#20025)); #15644=EDGE_LOOP('',(#20026,#20027,#20028,#20029)); #15645=EDGE_LOOP('',(#20030,#20031,#20032,#20033)); #15646=EDGE_LOOP('',(#20034,#20035,#20036,#20037,#20038,#20039)); #15647=EDGE_LOOP('',(#20040,#20041,#20042,#20043)); #15648=EDGE_LOOP('',(#20044,#20045,#20046,#20047)); #15649=EDGE_LOOP('',(#20048,#20049,#20050,#20051)); #15650=EDGE_LOOP('',(#20052,#20053,#20054,#20055)); #15651=EDGE_LOOP('',(#20056,#20057,#20058,#20059)); #15652=EDGE_LOOP('',(#20060,#20061,#20062,#20063,#20064,#20065)); #15653=EDGE_LOOP('',(#20066,#20067,#20068,#20069)); #15654=EDGE_LOOP('',(#20070,#20071,#20072,#20073)); #15655=EDGE_LOOP('',(#20074,#20075,#20076,#20077)); #15656=EDGE_LOOP('',(#20078,#20079,#20080,#20081)); #15657=EDGE_LOOP('',(#20082,#20083,#20084,#20085)); #15658=EDGE_LOOP('',(#20086,#20087,#20088,#20089,#20090,#20091)); #15659=EDGE_LOOP('',(#20092,#20093,#20094,#20095)); #15660=EDGE_LOOP('',(#20096,#20097,#20098,#20099)); #15661=EDGE_LOOP('',(#20100,#20101,#20102,#20103)); #15662=EDGE_LOOP('',(#20104,#20105,#20106,#20107)); #15663=EDGE_LOOP('',(#20108,#20109,#20110,#20111)); #15664=EDGE_LOOP('',(#20112,#20113,#20114,#20115,#20116,#20117)); #15665=EDGE_LOOP('',(#20118,#20119,#20120,#20121)); #15666=EDGE_LOOP('',(#20122,#20123,#20124,#20125)); #15667=EDGE_LOOP('',(#20126,#20127,#20128,#20129)); #15668=EDGE_LOOP('',(#20130,#20131,#20132,#20133)); #15669=EDGE_LOOP('',(#20134,#20135,#20136,#20137)); #15670=EDGE_LOOP('',(#20138,#20139,#20140,#20141,#20142,#20143)); #15671=EDGE_LOOP('',(#20144,#20145,#20146,#20147)); #15672=EDGE_LOOP('',(#20148,#20149,#20150,#20151)); #15673=EDGE_LOOP('',(#20152,#20153,#20154,#20155)); #15674=EDGE_LOOP('',(#20156,#20157,#20158,#20159)); #15675=EDGE_LOOP('',(#20160,#20161,#20162,#20163)); #15676=EDGE_LOOP('',(#20164,#20165,#20166,#20167,#20168,#20169)); #15677=EDGE_LOOP('',(#20170,#20171,#20172,#20173)); #15678=EDGE_LOOP('',(#20174,#20175,#20176,#20177)); #15679=EDGE_LOOP('',(#20178,#20179,#20180,#20181)); #15680=EDGE_LOOP('',(#20182,#20183,#20184,#20185)); #15681=EDGE_LOOP('',(#20186,#20187,#20188,#20189)); #15682=EDGE_LOOP('',(#20190,#20191,#20192,#20193,#20194,#20195)); #15683=EDGE_LOOP('',(#20196,#20197,#20198,#20199)); #15684=EDGE_LOOP('',(#20200,#20201,#20202,#20203)); #15685=EDGE_LOOP('',(#20204,#20205,#20206,#20207)); #15686=EDGE_LOOP('',(#20208,#20209,#20210,#20211,#20212,#20213,#20214,#20215, #20216,#20217,#20218,#20219,#20220,#20221,#20222,#20223,#20224,#20225,#20226, #20227,#20228,#20229,#20230,#20231,#20232,#20233,#20234,#20235,#20236,#20237, #20238,#20239,#20240,#20241,#20242,#20243,#20244,#20245,#20246,#20247)); #15687=EDGE_LOOP('',(#20248,#20249,#20250,#20251)); #15688=EDGE_LOOP('',(#20252,#20253,#20254,#20255,#20256,#20257)); #15689=EDGE_LOOP('',(#20258,#20259,#20260,#20261,#20262,#20263,#20264)); #15690=EDGE_LOOP('',(#20265,#20266,#20267,#20268,#20269,#20270)); #15691=EDGE_LOOP('',(#20271,#20272,#20273,#20274)); #15692=EDGE_LOOP('',(#20275,#20276,#20277,#20278)); #15693=EDGE_LOOP('',(#20279,#20280,#20281,#20282)); #15694=EDGE_LOOP('',(#20283,#20284,#20285,#20286)); #15695=EDGE_LOOP('',(#20287,#20288,#20289,#20290)); #15696=EDGE_LOOP('',(#20291,#20292,#20293,#20294)); #15697=EDGE_LOOP('',(#20295,#20296,#20297,#20298)); #15698=EDGE_LOOP('',(#20299,#20300,#20301,#20302)); #15699=EDGE_LOOP('',(#20303,#20304,#20305,#20306)); #15700=EDGE_LOOP('',(#20307,#20308,#20309,#20310,#20311,#20312,#20313,#20314, #20315,#20316,#20317,#20318)); #15701=EDGE_LOOP('',(#20319,#20320,#20321,#20322)); #15702=EDGE_LOOP('',(#20323,#20324,#20325,#20326,#20327,#20328)); #15703=EDGE_LOOP('',(#20329,#20330,#20331,#20332)); #15704=EDGE_LOOP('',(#20333,#20334,#20335,#20336,#20337,#20338)); #15705=EDGE_LOOP('',(#20339,#20340,#20341,#20342)); #15706=EDGE_LOOP('',(#20343,#20344,#20345,#20346,#20347,#20348)); #15707=EDGE_LOOP('',(#20349,#20350,#20351,#20352)); #15708=EDGE_LOOP('',(#20353,#20354,#20355,#20356,#20357,#20358)); #15709=EDGE_LOOP('',(#20359,#20360,#20361,#20362)); #15710=EDGE_LOOP('',(#20363,#20364,#20365,#20366,#20367,#20368)); #15711=EDGE_LOOP('',(#20369,#20370,#20371,#20372)); #15712=EDGE_LOOP('',(#20373,#20374,#20375,#20376,#20377,#20378)); #15713=EDGE_LOOP('',(#20379,#20380,#20381,#20382)); #15714=EDGE_LOOP('',(#20383,#20384,#20385,#20386,#20387,#20388)); #15715=EDGE_LOOP('',(#20389,#20390,#20391,#20392)); #15716=EDGE_LOOP('',(#20393,#20394,#20395,#20396,#20397,#20398)); #15717=EDGE_LOOP('',(#20399,#20400,#20401,#20402)); #15718=EDGE_LOOP('',(#20403,#20404,#20405,#20406,#20407,#20408)); #15719=EDGE_LOOP('',(#20409,#20410,#20411,#20412)); #15720=EDGE_LOOP('',(#20413,#20414,#20415,#20416,#20417,#20418)); #15721=EDGE_LOOP('',(#20419,#20420,#20421,#20422)); #15722=EDGE_LOOP('',(#20423,#20424,#20425,#20426,#20427,#20428)); #15723=EDGE_LOOP('',(#20429,#20430,#20431,#20432)); #15724=EDGE_LOOP('',(#20433,#20434,#20435,#20436,#20437,#20438)); #15725=EDGE_LOOP('',(#20439,#20440,#20441,#20442)); #15726=EDGE_LOOP('',(#20443,#20444,#20445,#20446,#20447,#20448)); #15727=EDGE_LOOP('',(#20449,#20450,#20451,#20452)); #15728=EDGE_LOOP('',(#20453,#20454,#20455,#20456,#20457,#20458)); #15729=EDGE_LOOP('',(#20459,#20460,#20461,#20462)); #15730=EDGE_LOOP('',(#20463,#20464,#20465,#20466,#20467,#20468)); #15731=EDGE_LOOP('',(#20469,#20470,#20471,#20472)); #15732=EDGE_LOOP('',(#20473,#20474,#20475,#20476,#20477,#20478)); #15733=EDGE_LOOP('',(#20479,#20480,#20481,#20482)); #15734=EDGE_LOOP('',(#20483,#20484,#20485,#20486,#20487,#20488)); #15735=EDGE_LOOP('',(#20489,#20490,#20491,#20492)); #15736=EDGE_LOOP('',(#20493,#20494,#20495,#20496,#20497,#20498)); #15737=EDGE_LOOP('',(#20499,#20500,#20501,#20502)); #15738=EDGE_LOOP('',(#20503,#20504,#20505,#20506,#20507,#20508)); #15739=EDGE_LOOP('',(#20509,#20510,#20511,#20512)); #15740=EDGE_LOOP('',(#20513,#20514,#20515,#20516,#20517,#20518)); #15741=EDGE_LOOP('',(#20519,#20520,#20521,#20522)); #15742=EDGE_LOOP('',(#20523,#20524,#20525,#20526,#20527,#20528)); #15743=EDGE_LOOP('',(#20529,#20530,#20531,#20532)); #15744=EDGE_LOOP('',(#20533,#20534,#20535,#20536,#20537,#20538)); #15745=EDGE_LOOP('',(#20539,#20540,#20541,#20542)); #15746=EDGE_LOOP('',(#20543,#20544,#20545,#20546,#20547,#20548)); #15747=EDGE_LOOP('',(#20549,#20550,#20551,#20552)); #15748=EDGE_LOOP('',(#20553,#20554,#20555,#20556,#20557,#20558)); #15749=EDGE_LOOP('',(#20559,#20560,#20561,#20562)); #15750=EDGE_LOOP('',(#20563,#20564,#20565,#20566,#20567,#20568)); #15751=EDGE_LOOP('',(#20569,#20570,#20571,#20572)); #15752=EDGE_LOOP('',(#20573,#20574,#20575,#20576,#20577,#20578)); #15753=EDGE_LOOP('',(#20579,#20580,#20581,#20582)); #15754=EDGE_LOOP('',(#20583,#20584,#20585,#20586,#20587,#20588)); #15755=EDGE_LOOP('',(#20589,#20590,#20591,#20592)); #15756=EDGE_LOOP('',(#20593,#20594,#20595,#20596,#20597,#20598)); #15757=EDGE_LOOP('',(#20599,#20600,#20601,#20602)); #15758=EDGE_LOOP('',(#20603,#20604,#20605,#20606,#20607,#20608)); #15759=EDGE_LOOP('',(#20609,#20610,#20611,#20612)); #15760=EDGE_LOOP('',(#20613,#20614,#20615,#20616,#20617,#20618)); #15761=EDGE_LOOP('',(#20619,#20620,#20621,#20622)); #15762=EDGE_LOOP('',(#20623,#20624,#20625,#20626,#20627,#20628)); #15763=EDGE_LOOP('',(#20629,#20630,#20631,#20632)); #15764=EDGE_LOOP('',(#20633,#20634,#20635,#20636,#20637,#20638)); #15765=EDGE_LOOP('',(#20639,#20640,#20641,#20642,#20643)); #15766=EDGE_LOOP('',(#20644,#20645,#20646,#20647,#20648,#20649,#20650,#20651)); #15767=EDGE_LOOP('',(#20652,#20653,#20654,#20655,#20656)); #15768=EDGE_LOOP('',(#20657,#20658,#20659,#20660)); #15769=EDGE_LOOP('',(#20661,#20662,#20663,#20664,#20665)); #15770=EDGE_LOOP('',(#20666,#20667,#20668,#20669,#20670,#20671,#20672,#20673)); #15771=EDGE_LOOP('',(#20674,#20675,#20676,#20677,#20678)); #15772=EDGE_LOOP('',(#20679,#20680,#20681,#20682)); #15773=EDGE_LOOP('',(#20683,#20684,#20685,#20686,#20687)); #15774=EDGE_LOOP('',(#20688,#20689,#20690,#20691,#20692,#20693,#20694,#20695)); #15775=EDGE_LOOP('',(#20696,#20697,#20698,#20699,#20700)); #15776=EDGE_LOOP('',(#20701,#20702,#20703,#20704)); #15777=EDGE_LOOP('',(#20705,#20706,#20707,#20708,#20709)); #15778=EDGE_LOOP('',(#20710,#20711,#20712,#20713,#20714,#20715,#20716,#20717)); #15779=EDGE_LOOP('',(#20718,#20719,#20720,#20721,#20722)); #15780=EDGE_LOOP('',(#20723,#20724,#20725,#20726)); #15781=EDGE_LOOP('',(#20727,#20728,#20729,#20730,#20731)); #15782=EDGE_LOOP('',(#20732,#20733,#20734,#20735,#20736,#20737,#20738,#20739)); #15783=EDGE_LOOP('',(#20740,#20741,#20742,#20743,#20744)); #15784=EDGE_LOOP('',(#20745,#20746,#20747,#20748)); #15785=EDGE_LOOP('',(#20749,#20750,#20751,#20752,#20753)); #15786=EDGE_LOOP('',(#20754,#20755,#20756,#20757,#20758,#20759,#20760,#20761)); #15787=EDGE_LOOP('',(#20762,#20763,#20764,#20765,#20766)); #15788=EDGE_LOOP('',(#20767,#20768,#20769,#20770)); #15789=EDGE_LOOP('',(#20771,#20772,#20773,#20774,#20775)); #15790=EDGE_LOOP('',(#20776,#20777,#20778,#20779,#20780,#20781,#20782,#20783)); #15791=EDGE_LOOP('',(#20784,#20785,#20786,#20787,#20788)); #15792=EDGE_LOOP('',(#20789,#20790,#20791,#20792)); #15793=EDGE_LOOP('',(#20793,#20794,#20795,#20796,#20797)); #15794=EDGE_LOOP('',(#20798,#20799,#20800,#20801,#20802,#20803,#20804,#20805)); #15795=EDGE_LOOP('',(#20806,#20807,#20808,#20809,#20810)); #15796=EDGE_LOOP('',(#20811,#20812,#20813,#20814)); #15797=EDGE_LOOP('',(#20815,#20816,#20817,#20818,#20819,#20820)); #15798=EDGE_LOOP('',(#20821,#20822,#20823,#20824,#20825,#20826)); #15799=EDGE_LOOP('',(#20827,#20828,#20829,#20830)); #15800=EDGE_LOOP('',(#20831,#20832,#20833,#20834,#20835)); #15801=EDGE_LOOP('',(#20836,#20837,#20838,#20839,#20840,#20841,#20842,#20843)); #15802=EDGE_LOOP('',(#20844,#20845,#20846,#20847,#20848)); #15803=EDGE_LOOP('',(#20849,#20850,#20851,#20852)); #15804=EDGE_LOOP('',(#20853,#20854,#20855,#20856,#20857)); #15805=EDGE_LOOP('',(#20858,#20859,#20860,#20861,#20862,#20863,#20864,#20865)); #15806=EDGE_LOOP('',(#20866,#20867,#20868,#20869,#20870)); #15807=EDGE_LOOP('',(#20871,#20872,#20873,#20874)); #15808=EDGE_LOOP('',(#20875,#20876,#20877,#20878,#20879)); #15809=EDGE_LOOP('',(#20880,#20881,#20882,#20883,#20884,#20885,#20886,#20887)); #15810=EDGE_LOOP('',(#20888,#20889,#20890,#20891,#20892)); #15811=EDGE_LOOP('',(#20893,#20894,#20895,#20896)); #15812=EDGE_LOOP('',(#20897,#20898,#20899,#20900,#20901)); #15813=EDGE_LOOP('',(#20902,#20903,#20904,#20905,#20906,#20907,#20908,#20909)); #15814=EDGE_LOOP('',(#20910,#20911,#20912,#20913,#20914)); #15815=EDGE_LOOP('',(#20915,#20916,#20917,#20918)); #15816=EDGE_LOOP('',(#20919,#20920,#20921,#20922,#20923)); #15817=EDGE_LOOP('',(#20924,#20925,#20926,#20927,#20928,#20929,#20930,#20931)); #15818=EDGE_LOOP('',(#20932,#20933,#20934,#20935,#20936)); #15819=EDGE_LOOP('',(#20937,#20938,#20939,#20940)); #15820=EDGE_LOOP('',(#20941,#20942,#20943,#20944,#20945)); #15821=EDGE_LOOP('',(#20946,#20947,#20948,#20949,#20950,#20951,#20952,#20953)); #15822=EDGE_LOOP('',(#20954,#20955,#20956,#20957,#20958)); #15823=EDGE_LOOP('',(#20959,#20960,#20961,#20962)); #15824=EDGE_LOOP('',(#20963,#20964,#20965,#20966,#20967)); #15825=EDGE_LOOP('',(#20968,#20969,#20970,#20971,#20972,#20973,#20974,#20975)); #15826=EDGE_LOOP('',(#20976,#20977,#20978,#20979,#20980)); #15827=EDGE_LOOP('',(#20981,#20982,#20983,#20984)); #15828=EDGE_LOOP('',(#20985,#20986,#20987,#20988,#20989)); #15829=EDGE_LOOP('',(#20990,#20991,#20992,#20993,#20994,#20995,#20996,#20997)); #15830=EDGE_LOOP('',(#20998,#20999,#21000,#21001,#21002)); #15831=EDGE_LOOP('',(#21003,#21004,#21005,#21006)); #15832=EDGE_LOOP('',(#21007,#21008,#21009,#21010)); #15833=EDGE_LOOP('',(#21011,#21012,#21013,#21014)); #15834=EDGE_LOOP('',(#21015,#21016,#21017,#21018,#21019,#21020,#21021,#21022)); #15835=EDGE_LOOP('',(#21023,#21024,#21025,#21026,#21027,#21028,#21029,#21030)); #15836=EDGE_LOOP('',(#21031,#21032,#21033,#21034)); #15837=EDGE_LOOP('',(#21035,#21036,#21037,#21038)); #15838=EDGE_LOOP('',(#21039,#21040,#21041,#21042,#21043,#21044,#21045,#21046)); #15839=EDGE_LOOP('',(#21047,#21048,#21049,#21050,#21051,#21052,#21053,#21054)); #15840=EDGE_LOOP('',(#21055,#21056,#21057,#21058)); #15841=EDGE_LOOP('',(#21059,#21060,#21061,#21062)); #15842=EDGE_LOOP('',(#21063,#21064,#21065,#21066,#21067,#21068,#21069,#21070)); #15843=EDGE_LOOP('',(#21071,#21072,#21073,#21074,#21075,#21076,#21077,#21078)); #15844=EDGE_LOOP('',(#21079,#21080,#21081,#21082)); #15845=EDGE_LOOP('',(#21083,#21084,#21085,#21086)); #15846=EDGE_LOOP('',(#21087,#21088,#21089,#21090,#21091,#21092,#21093,#21094)); #15847=EDGE_LOOP('',(#21095,#21096,#21097,#21098,#21099,#21100,#21101,#21102)); #15848=EDGE_LOOP('',(#21103,#21104,#21105,#21106)); #15849=EDGE_LOOP('',(#21107,#21108,#21109,#21110)); #15850=EDGE_LOOP('',(#21111,#21112,#21113,#21114,#21115,#21116,#21117,#21118)); #15851=EDGE_LOOP('',(#21119,#21120,#21121,#21122,#21123,#21124,#21125,#21126)); #15852=EDGE_LOOP('',(#21127,#21128,#21129,#21130)); #15853=EDGE_LOOP('',(#21131,#21132,#21133,#21134)); #15854=EDGE_LOOP('',(#21135,#21136,#21137,#21138,#21139,#21140,#21141,#21142)); #15855=EDGE_LOOP('',(#21143,#21144,#21145,#21146,#21147,#21148,#21149,#21150)); #15856=EDGE_LOOP('',(#21151,#21152,#21153,#21154)); #15857=EDGE_LOOP('',(#21155,#21156,#21157,#21158)); #15858=EDGE_LOOP('',(#21159,#21160,#21161,#21162,#21163,#21164,#21165,#21166)); #15859=EDGE_LOOP('',(#21167,#21168,#21169,#21170,#21171,#21172,#21173,#21174)); #15860=EDGE_LOOP('',(#21175,#21176,#21177,#21178)); #15861=EDGE_LOOP('',(#21179,#21180,#21181,#21182)); #15862=EDGE_LOOP('',(#21183,#21184,#21185,#21186,#21187,#21188,#21189,#21190)); #15863=EDGE_LOOP('',(#21191,#21192,#21193,#21194,#21195,#21196,#21197,#21198)); #15864=EDGE_LOOP('',(#21199,#21200,#21201,#21202)); #15865=EDGE_LOOP('',(#21203,#21204,#21205,#21206)); #15866=EDGE_LOOP('',(#21207,#21208,#21209,#21210)); #15867=EDGE_LOOP('',(#21211,#21212,#21213,#21214,#21215,#21216,#21217,#21218)); #15868=EDGE_LOOP('',(#21219,#21220,#21221,#21222,#21223,#21224,#21225,#21226)); #15869=EDGE_LOOP('',(#21227,#21228,#21229,#21230)); #15870=EDGE_LOOP('',(#21231,#21232,#21233,#21234)); #15871=EDGE_LOOP('',(#21235,#21236,#21237,#21238,#21239,#21240,#21241,#21242)); #15872=EDGE_LOOP('',(#21243,#21244,#21245,#21246,#21247,#21248,#21249,#21250)); #15873=EDGE_LOOP('',(#21251,#21252,#21253,#21254)); #15874=EDGE_LOOP('',(#21255,#21256,#21257,#21258)); #15875=EDGE_LOOP('',(#21259,#21260,#21261,#21262,#21263,#21264,#21265,#21266)); #15876=EDGE_LOOP('',(#21267,#21268,#21269,#21270,#21271,#21272,#21273,#21274)); #15877=EDGE_LOOP('',(#21275,#21276,#21277,#21278)); #15878=EDGE_LOOP('',(#21279,#21280,#21281,#21282)); #15879=EDGE_LOOP('',(#21283,#21284,#21285,#21286,#21287,#21288,#21289,#21290)); #15880=EDGE_LOOP('',(#21291,#21292,#21293,#21294,#21295,#21296,#21297,#21298)); #15881=EDGE_LOOP('',(#21299,#21300,#21301,#21302)); #15882=EDGE_LOOP('',(#21303,#21304,#21305,#21306)); #15883=EDGE_LOOP('',(#21307,#21308,#21309,#21310,#21311,#21312,#21313,#21314)); #15884=EDGE_LOOP('',(#21315,#21316,#21317,#21318,#21319,#21320,#21321,#21322)); #15885=EDGE_LOOP('',(#21323,#21324,#21325,#21326)); #15886=EDGE_LOOP('',(#21327,#21328,#21329,#21330)); #15887=EDGE_LOOP('',(#21331,#21332,#21333,#21334,#21335,#21336,#21337,#21338)); #15888=EDGE_LOOP('',(#21339,#21340,#21341,#21342,#21343,#21344,#21345,#21346)); #15889=EDGE_LOOP('',(#21347,#21348,#21349,#21350)); #15890=EDGE_LOOP('',(#21351,#21352,#21353,#21354)); #15891=EDGE_LOOP('',(#21355,#21356,#21357,#21358,#21359,#21360,#21361,#21362)); #15892=EDGE_LOOP('',(#21363,#21364,#21365,#21366,#21367,#21368,#21369,#21370)); #15893=EDGE_LOOP('',(#21371,#21372,#21373,#21374)); #15894=EDGE_LOOP('',(#21375,#21376,#21377,#21378)); #15895=EDGE_LOOP('',(#21379,#21380,#21381,#21382,#21383,#21384,#21385,#21386)); #15896=EDGE_LOOP('',(#21387,#21388,#21389,#21390,#21391,#21392,#21393,#21394)); #15897=EDGE_LOOP('',(#21395,#21396,#21397,#21398,#21399,#21400,#21401,#21402, #21403,#21404,#21405,#21406,#21407,#21408,#21409,#21410,#21411,#21412,#21413, #21414,#21415,#21416,#21417,#21418,#21419,#21420,#21421,#21422,#21423,#21424, #21425,#21426,#21427,#21428,#21429,#21430,#21431,#21432,#21433,#21434,#21435, #21436,#21437,#21438,#21439,#21440,#21441,#21442,#21443,#21444,#21445,#21446, #21447,#21448,#21449,#21450,#21451,#21452,#21453,#21454,#21455,#21456,#21457, #21458,#21459,#21460,#21461,#21462,#21463,#21464,#21465,#21466,#21467,#21468, #21469,#21470,#21471,#21472,#21473,#21474,#21475,#21476,#21477,#21478,#21479, #21480,#21481,#21482,#21483,#21484,#21485,#21486,#21487,#21488,#21489,#21490, #21491,#21492,#21493,#21494,#21495,#21496,#21497,#21498,#21499,#21500,#21501, #21502,#21503,#21504,#21505,#21506,#21507,#21508,#21509,#21510,#21511,#21512, #21513,#21514,#21515,#21516,#21517,#21518,#21519,#21520,#21521,#21522,#21523, #21524,#21525,#21526,#21527,#21528,#21529,#21530,#21531,#21532,#21533,#21534, #21535,#21536,#21537,#21538,#21539,#21540,#21541,#21542,#21543,#21544,#21545, #21546,#21547,#21548,#21549,#21550,#21551,#21552,#21553,#21554,#21555,#21556, #21557,#21558,#21559,#21560,#21561,#21562,#21563,#21564,#21565,#21566,#21567, #21568,#21569,#21570,#21571,#21572,#21573,#21574,#21575,#21576,#21577,#21578, #21579,#21580,#21581,#21582,#21583,#21584,#21585,#21586,#21587,#21588,#21589, #21590,#21591,#21592,#21593,#21594,#21595,#21596,#21597,#21598,#21599,#21600, #21601,#21602,#21603,#21604,#21605,#21606,#21607,#21608,#21609,#21610,#21611, #21612,#21613,#21614,#21615,#21616,#21617,#21618,#21619,#21620,#21621,#21622, #21623,#21624,#21625,#21626,#21627,#21628,#21629,#21630,#21631,#21632,#21633, #21634,#21635,#21636,#21637,#21638,#21639,#21640,#21641,#21642,#21643,#21644, #21645,#21646,#21647,#21648,#21649,#21650,#21651,#21652,#21653,#21654)); #15898=EDGE_LOOP('',(#21655,#21656,#21657,#21658)); #15899=EDGE_LOOP('',(#21659,#21660,#21661,#21662,#21663)); #15900=EDGE_LOOP('',(#21664,#21665,#21666,#21667,#21668)); #15901=EDGE_LOOP('',(#21669,#21670,#21671,#21672)); #15902=EDGE_LOOP('',(#21673,#21674,#21675,#21676,#21677)); #15903=EDGE_LOOP('',(#21678,#21679,#21680,#21681,#21682)); #15904=EDGE_LOOP('',(#21683,#21684,#21685,#21686,#21687)); #15905=EDGE_LOOP('',(#21688,#21689,#21690,#21691)); #15906=EDGE_LOOP('',(#21692,#21693,#21694,#21695,#21696,#21697,#21698,#21699, #21700,#21701,#21702,#21703)); #15907=EDGE_LOOP('',(#21704,#21705,#21706,#21707,#21708,#21709,#21710,#21711)); #15908=EDGE_LOOP('',(#21712,#21713,#21714,#21715)); #15909=EDGE_LOOP('',(#21716,#21717,#21718,#21719,#21720,#21721)); #15910=EDGE_LOOP('',(#21722,#21723,#21724,#21725,#21726,#21727,#21728,#21729)); #15911=EDGE_LOOP('',(#21730,#21731,#21732,#21733,#21734,#21735,#21736,#21737)); #15912=EDGE_LOOP('',(#21738,#21739,#21740,#21741)); #15913=EDGE_LOOP('',(#21742,#21743,#21744,#21745,#21746,#21747)); #15914=EDGE_LOOP('',(#21748,#21749,#21750,#21751,#21752,#21753)); #15915=EDGE_LOOP('',(#21754,#21755,#21756,#21757,#21758,#21759)); #15916=EDGE_LOOP('',(#21760,#21761,#21762,#21763)); #15917=EDGE_LOOP('',(#21764,#21765,#21766,#21767,#21768,#21769)); #15918=EDGE_LOOP('',(#21770,#21771,#21772,#21773,#21774,#21775)); #15919=EDGE_LOOP('',(#21776,#21777,#21778,#21779,#21780,#21781)); #15920=EDGE_LOOP('',(#21782,#21783,#21784,#21785)); #15921=EDGE_LOOP('',(#21786,#21787,#21788,#21789,#21790,#21791)); #15922=EDGE_LOOP('',(#21792,#21793,#21794,#21795,#21796,#21797)); #15923=EDGE_LOOP('',(#21798,#21799,#21800,#21801,#21802,#21803)); #15924=EDGE_LOOP('',(#21804,#21805,#21806,#21807)); #15925=EDGE_LOOP('',(#21808,#21809,#21810,#21811,#21812,#21813)); #15926=EDGE_LOOP('',(#21814,#21815,#21816,#21817,#21818,#21819)); #15927=EDGE_LOOP('',(#21820,#21821,#21822,#21823,#21824,#21825)); #15928=EDGE_LOOP('',(#21826,#21827,#21828,#21829)); #15929=EDGE_LOOP('',(#21830,#21831,#21832,#21833,#21834,#21835)); #15930=EDGE_LOOP('',(#21836,#21837,#21838,#21839,#21840,#21841)); #15931=EDGE_LOOP('',(#21842,#21843,#21844,#21845,#21846,#21847)); #15932=EDGE_LOOP('',(#21848,#21849,#21850,#21851)); #15933=EDGE_LOOP('',(#21852,#21853,#21854,#21855,#21856,#21857)); #15934=EDGE_LOOP('',(#21858,#21859,#21860,#21861,#21862,#21863)); #15935=EDGE_LOOP('',(#21864,#21865,#21866,#21867,#21868,#21869)); #15936=EDGE_LOOP('',(#21870,#21871,#21872,#21873)); #15937=EDGE_LOOP('',(#21874,#21875,#21876,#21877,#21878,#21879)); #15938=EDGE_LOOP('',(#21880,#21881,#21882,#21883,#21884,#21885)); #15939=EDGE_LOOP('',(#21886,#21887,#21888,#21889,#21890,#21891)); #15940=EDGE_LOOP('',(#21892,#21893,#21894,#21895)); #15941=EDGE_LOOP('',(#21896,#21897,#21898,#21899,#21900,#21901)); #15942=EDGE_LOOP('',(#21902,#21903,#21904,#21905,#21906,#21907)); #15943=EDGE_LOOP('',(#21908,#21909,#21910,#21911,#21912,#21913)); #15944=EDGE_LOOP('',(#21914,#21915,#21916,#21917)); #15945=EDGE_LOOP('',(#21918,#21919,#21920,#21921,#21922,#21923)); #15946=EDGE_LOOP('',(#21924,#21925,#21926,#21927,#21928,#21929)); #15947=EDGE_LOOP('',(#21930,#21931,#21932,#21933,#21934,#21935)); #15948=EDGE_LOOP('',(#21936,#21937,#21938,#21939)); #15949=EDGE_LOOP('',(#21940,#21941,#21942,#21943,#21944,#21945)); #15950=EDGE_LOOP('',(#21946,#21947,#21948,#21949,#21950,#21951)); #15951=EDGE_LOOP('',(#21952,#21953,#21954,#21955,#21956,#21957)); #15952=EDGE_LOOP('',(#21958,#21959,#21960,#21961)); #15953=EDGE_LOOP('',(#21962,#21963,#21964,#21965,#21966,#21967)); #15954=EDGE_LOOP('',(#21968,#21969,#21970,#21971,#21972,#21973)); #15955=EDGE_LOOP('',(#21974,#21975,#21976,#21977,#21978,#21979)); #15956=EDGE_LOOP('',(#21980,#21981,#21982,#21983)); #15957=EDGE_LOOP('',(#21984,#21985,#21986,#21987,#21988,#21989)); #15958=EDGE_LOOP('',(#21990,#21991,#21992,#21993,#21994,#21995)); #15959=EDGE_LOOP('',(#21996,#21997,#21998,#21999,#22000,#22001)); #15960=EDGE_LOOP('',(#22002,#22003,#22004,#22005)); #15961=EDGE_LOOP('',(#22006,#22007,#22008,#22009,#22010,#22011)); #15962=EDGE_LOOP('',(#22012,#22013,#22014,#22015,#22016,#22017)); #15963=EDGE_LOOP('',(#22018,#22019,#22020,#22021,#22022,#22023)); #15964=EDGE_LOOP('',(#22024,#22025,#22026,#22027)); #15965=EDGE_LOOP('',(#22028,#22029,#22030,#22031,#22032,#22033)); #15966=EDGE_LOOP('',(#22034,#22035,#22036,#22037,#22038,#22039)); #15967=EDGE_LOOP('',(#22040,#22041,#22042,#22043,#22044,#22045)); #15968=EDGE_LOOP('',(#22046,#22047,#22048,#22049)); #15969=EDGE_LOOP('',(#22050,#22051,#22052,#22053,#22054,#22055)); #15970=EDGE_LOOP('',(#22056,#22057,#22058,#22059,#22060,#22061)); #15971=EDGE_LOOP('',(#22062,#22063,#22064,#22065,#22066,#22067)); #15972=EDGE_LOOP('',(#22068,#22069,#22070,#22071)); #15973=EDGE_LOOP('',(#22072,#22073,#22074,#22075,#22076,#22077)); #15974=EDGE_LOOP('',(#22078,#22079,#22080,#22081,#22082,#22083)); #15975=EDGE_LOOP('',(#22084,#22085,#22086,#22087,#22088,#22089)); #15976=EDGE_LOOP('',(#22090,#22091,#22092,#22093)); #15977=EDGE_LOOP('',(#22094,#22095,#22096,#22097,#22098,#22099,#22100,#22101)); #15978=EDGE_LOOP('',(#22102,#22103,#22104,#22105,#22106,#22107,#22108,#22109)); #15979=EDGE_LOOP('',(#22110,#22111,#22112,#22113,#22114,#22115)); #15980=EDGE_LOOP('',(#22116,#22117,#22118,#22119)); #15981=EDGE_LOOP('',(#22120,#22121,#22122,#22123,#22124,#22125,#22126,#22127)); #15982=EDGE_LOOP('',(#22128,#22129,#22130,#22131,#22132,#22133,#22134,#22135, #22136,#22137,#22138,#22139)); #15983=EDGE_LOOP('',(#22140,#22141,#22142,#22143)); #15984=EDGE_LOOP('',(#22144,#22145,#22146,#22147,#22148)); #15985=EDGE_LOOP('',(#22149,#22150,#22151,#22152,#22153)); #15986=EDGE_LOOP('',(#22154,#22155,#22156,#22157,#22158)); #15987=EDGE_LOOP('',(#22159,#22160,#22161,#22162)); #15988=EDGE_LOOP('',(#22163,#22164,#22165,#22166)); #15989=EDGE_LOOP('',(#22167,#22168,#22169,#22170,#22171)); #15990=EDGE_LOOP('',(#22172,#22173,#22174,#22175,#22176,#22177,#22178,#22179)); #15991=EDGE_LOOP('',(#22180,#22181,#22182,#22183,#22184)); #15992=EDGE_LOOP('',(#22185,#22186,#22187,#22188)); #15993=EDGE_LOOP('',(#22189,#22190,#22191,#22192,#22193)); #15994=EDGE_LOOP('',(#22194,#22195,#22196,#22197,#22198,#22199,#22200,#22201)); #15995=EDGE_LOOP('',(#22202,#22203,#22204,#22205,#22206)); #15996=EDGE_LOOP('',(#22207,#22208,#22209,#22210)); #15997=EDGE_LOOP('',(#22211,#22212,#22213,#22214,#22215)); #15998=EDGE_LOOP('',(#22216,#22217,#22218,#22219,#22220,#22221,#22222,#22223)); #15999=EDGE_LOOP('',(#22224,#22225,#22226,#22227,#22228)); #16000=EDGE_LOOP('',(#22229,#22230,#22231,#22232)); #16001=EDGE_LOOP('',(#22233,#22234,#22235,#22236,#22237)); #16002=EDGE_LOOP('',(#22238,#22239,#22240,#22241,#22242,#22243,#22244,#22245)); #16003=EDGE_LOOP('',(#22246,#22247,#22248,#22249,#22250)); #16004=EDGE_LOOP('',(#22251,#22252,#22253,#22254)); #16005=EDGE_LOOP('',(#22255,#22256,#22257,#22258,#22259)); #16006=EDGE_LOOP('',(#22260,#22261,#22262,#22263,#22264,#22265,#22266,#22267)); #16007=EDGE_LOOP('',(#22268,#22269,#22270,#22271,#22272)); #16008=EDGE_LOOP('',(#22273,#22274,#22275,#22276)); #16009=EDGE_LOOP('',(#22277,#22278,#22279,#22280,#22281)); #16010=EDGE_LOOP('',(#22282,#22283,#22284,#22285,#22286,#22287,#22288,#22289)); #16011=EDGE_LOOP('',(#22290,#22291,#22292,#22293,#22294)); #16012=EDGE_LOOP('',(#22295,#22296,#22297,#22298)); #16013=EDGE_LOOP('',(#22299,#22300,#22301,#22302,#22303)); #16014=EDGE_LOOP('',(#22304,#22305,#22306,#22307,#22308,#22309,#22310,#22311)); #16015=EDGE_LOOP('',(#22312,#22313,#22314,#22315,#22316)); #16016=EDGE_LOOP('',(#22317,#22318,#22319,#22320)); #16017=EDGE_LOOP('',(#22321,#22322,#22323,#22324,#22325)); #16018=EDGE_LOOP('',(#22326,#22327,#22328,#22329,#22330,#22331,#22332,#22333)); #16019=EDGE_LOOP('',(#22334,#22335,#22336,#22337,#22338)); #16020=EDGE_LOOP('',(#22339,#22340,#22341,#22342)); #16021=EDGE_LOOP('',(#22343,#22344,#22345,#22346,#22347)); #16022=EDGE_LOOP('',(#22348,#22349,#22350,#22351,#22352,#22353,#22354,#22355)); #16023=EDGE_LOOP('',(#22356,#22357,#22358,#22359,#22360)); #16024=EDGE_LOOP('',(#22361,#22362,#22363,#22364)); #16025=EDGE_LOOP('',(#22365,#22366,#22367,#22368,#22369)); #16026=EDGE_LOOP('',(#22370,#22371,#22372,#22373,#22374,#22375,#22376,#22377)); #16027=EDGE_LOOP('',(#22378,#22379,#22380,#22381,#22382)); #16028=EDGE_LOOP('',(#22383,#22384,#22385,#22386)); #16029=EDGE_LOOP('',(#22387,#22388,#22389,#22390,#22391)); #16030=EDGE_LOOP('',(#22392,#22393,#22394,#22395,#22396,#22397,#22398,#22399)); #16031=EDGE_LOOP('',(#22400,#22401,#22402,#22403,#22404)); #16032=EDGE_LOOP('',(#22405,#22406,#22407,#22408)); #16033=EDGE_LOOP('',(#22409,#22410,#22411,#22412,#22413)); #16034=EDGE_LOOP('',(#22414,#22415,#22416,#22417,#22418,#22419,#22420,#22421)); #16035=EDGE_LOOP('',(#22422,#22423,#22424,#22425,#22426)); #16036=EDGE_LOOP('',(#22427,#22428,#22429,#22430)); #16037=EDGE_LOOP('',(#22431,#22432,#22433,#22434,#22435)); #16038=EDGE_LOOP('',(#22436,#22437,#22438,#22439,#22440,#22441,#22442,#22443)); #16039=EDGE_LOOP('',(#22444,#22445,#22446,#22447,#22448)); #16040=EDGE_LOOP('',(#22449,#22450,#22451,#22452)); #16041=EDGE_LOOP('',(#22453,#22454,#22455,#22456,#22457)); #16042=EDGE_LOOP('',(#22458,#22459,#22460,#22461,#22462,#22463,#22464,#22465)); #16043=EDGE_LOOP('',(#22466,#22467,#22468,#22469,#22470)); #16044=EDGE_LOOP('',(#22471,#22472,#22473,#22474)); #16045=EDGE_LOOP('',(#22475,#22476,#22477,#22478,#22479)); #16046=EDGE_LOOP('',(#22480,#22481,#22482,#22483,#22484,#22485,#22486,#22487)); #16047=EDGE_LOOP('',(#22488,#22489,#22490,#22491,#22492)); #16048=EDGE_LOOP('',(#22493,#22494,#22495,#22496)); #16049=EDGE_LOOP('',(#22497,#22498,#22499,#22500,#22501)); #16050=EDGE_LOOP('',(#22502,#22503,#22504,#22505,#22506,#22507,#22508,#22509)); #16051=EDGE_LOOP('',(#22510,#22511,#22512,#22513,#22514)); #16052=EDGE_LOOP('',(#22515,#22516,#22517,#22518,#22519,#22520,#22521,#22522, #22523,#22524,#22525,#22526,#22527,#22528,#22529,#22530,#22531,#22532,#22533, #22534,#22535,#22536,#22537,#22538,#22539,#22540,#22541,#22542,#22543,#22544, #22545,#22546,#22547,#22548,#22549,#22550,#22551,#22552,#22553,#22554,#22555, #22556,#22557,#22558,#22559,#22560,#22561,#22562,#22563,#22564,#22565,#22566, #22567,#22568,#22569,#22570,#22571,#22572,#22573,#22574,#22575,#22576,#22577, #22578,#22579,#22580,#22581,#22582,#22583,#22584,#22585,#22586,#22587,#22588, #22589,#22590,#22591,#22592,#22593,#22594,#22595,#22596,#22597,#22598)); #16053=EDGE_LOOP('',(#22599,#22600,#22601,#22602,#22603)); #16054=EDGE_LOOP('',(#22604,#22605,#22606,#22607)); #16055=EDGE_LOOP('',(#22608,#22609,#22610,#22611,#22612)); #16056=EDGE_LOOP('',(#22613,#22614,#22615,#22616)); #16057=EDGE_LOOP('',(#22617,#22618,#22619,#22620)); #16058=EDGE_LOOP('',(#22621,#22622,#22623,#22624)); #16059=EDGE_LOOP('',(#22625,#22626,#22627,#22628,#22629)); #16060=EDGE_LOOP('',(#22630,#22631,#22632,#22633)); #16061=EDGE_LOOP('',(#22634,#22635,#22636,#22637,#22638)); #16062=EDGE_LOOP('',(#22639,#22640,#22641,#22642)); #16063=EDGE_LOOP('',(#22643,#22644,#22645,#22646)); #16064=EDGE_LOOP('',(#22647,#22648,#22649,#22650)); #16065=EDGE_LOOP('',(#22651,#22652,#22653,#22654,#22655)); #16066=EDGE_LOOP('',(#22656,#22657,#22658,#22659)); #16067=EDGE_LOOP('',(#22660,#22661,#22662,#22663,#22664)); #16068=EDGE_LOOP('',(#22665,#22666,#22667,#22668)); #16069=EDGE_LOOP('',(#22669,#22670,#22671,#22672)); #16070=EDGE_LOOP('',(#22673,#22674,#22675,#22676)); #16071=EDGE_LOOP('',(#22677,#22678,#22679,#22680,#22681)); #16072=EDGE_LOOP('',(#22682,#22683,#22684,#22685)); #16073=EDGE_LOOP('',(#22686,#22687,#22688,#22689,#22690)); #16074=EDGE_LOOP('',(#22691,#22692,#22693,#22694)); #16075=EDGE_LOOP('',(#22695,#22696,#22697,#22698)); #16076=EDGE_LOOP('',(#22699,#22700,#22701,#22702)); #16077=EDGE_LOOP('',(#22703,#22704,#22705,#22706,#22707)); #16078=EDGE_LOOP('',(#22708,#22709,#22710,#22711)); #16079=EDGE_LOOP('',(#22712,#22713,#22714,#22715,#22716)); #16080=EDGE_LOOP('',(#22717,#22718,#22719,#22720)); #16081=EDGE_LOOP('',(#22721,#22722,#22723,#22724)); #16082=EDGE_LOOP('',(#22725,#22726,#22727,#22728)); #16083=EDGE_LOOP('',(#22729,#22730,#22731,#22732,#22733)); #16084=EDGE_LOOP('',(#22734,#22735,#22736,#22737)); #16085=EDGE_LOOP('',(#22738,#22739,#22740,#22741,#22742)); #16086=EDGE_LOOP('',(#22743,#22744,#22745,#22746)); #16087=EDGE_LOOP('',(#22747,#22748,#22749,#22750)); #16088=EDGE_LOOP('',(#22751,#22752,#22753,#22754)); #16089=EDGE_LOOP('',(#22755,#22756,#22757,#22758,#22759)); #16090=EDGE_LOOP('',(#22760,#22761,#22762,#22763)); #16091=EDGE_LOOP('',(#22764,#22765,#22766,#22767,#22768)); #16092=EDGE_LOOP('',(#22769,#22770,#22771,#22772)); #16093=EDGE_LOOP('',(#22773,#22774,#22775,#22776)); #16094=EDGE_LOOP('',(#22777,#22778,#22779,#22780)); #16095=EDGE_LOOP('',(#22781,#22782,#22783,#22784,#22785)); #16096=EDGE_LOOP('',(#22786,#22787,#22788,#22789)); #16097=EDGE_LOOP('',(#22790,#22791,#22792,#22793,#22794)); #16098=EDGE_LOOP('',(#22795,#22796,#22797,#22798)); #16099=EDGE_LOOP('',(#22799,#22800,#22801,#22802)); #16100=EDGE_LOOP('',(#22803,#22804,#22805,#22806)); #16101=EDGE_LOOP('',(#22807,#22808,#22809,#22810,#22811)); #16102=EDGE_LOOP('',(#22812,#22813,#22814,#22815,#22816)); #16103=EDGE_LOOP('',(#22817,#22818,#22819,#22820,#22821)); #16104=EDGE_LOOP('',(#22822,#22823,#22824,#22825,#22826)); #16105=EDGE_LOOP('',(#22827,#22828,#22829,#22830)); #16106=EDGE_LOOP('',(#22831,#22832,#22833,#22834)); #16107=EDGE_LOOP('',(#22835,#22836,#22837,#22838)); #16108=EDGE_LOOP('',(#22839,#22840,#22841,#22842,#22843)); #16109=EDGE_LOOP('',(#22844,#22845,#22846,#22847)); #16110=EDGE_LOOP('',(#22848,#22849,#22850,#22851,#22852)); #16111=EDGE_LOOP('',(#22853,#22854,#22855,#22856)); #16112=EDGE_LOOP('',(#22857,#22858,#22859,#22860)); #16113=EDGE_LOOP('',(#22861,#22862,#22863,#22864)); #16114=EDGE_LOOP('',(#22865,#22866,#22867,#22868,#22869)); #16115=EDGE_LOOP('',(#22870,#22871,#22872,#22873)); #16116=EDGE_LOOP('',(#22874,#22875,#22876,#22877,#22878)); #16117=EDGE_LOOP('',(#22879,#22880,#22881,#22882)); #16118=EDGE_LOOP('',(#22883,#22884,#22885,#22886)); #16119=EDGE_LOOP('',(#22887,#22888,#22889,#22890)); #16120=EDGE_LOOP('',(#22891,#22892,#22893,#22894,#22895)); #16121=EDGE_LOOP('',(#22896,#22897,#22898,#22899)); #16122=EDGE_LOOP('',(#22900,#22901,#22902,#22903,#22904)); #16123=EDGE_LOOP('',(#22905,#22906,#22907,#22908)); #16124=EDGE_LOOP('',(#22909,#22910,#22911,#22912)); #16125=EDGE_LOOP('',(#22913,#22914,#22915,#22916)); #16126=EDGE_LOOP('',(#22917,#22918,#22919,#22920,#22921)); #16127=EDGE_LOOP('',(#22922,#22923,#22924,#22925)); #16128=EDGE_LOOP('',(#22926,#22927,#22928,#22929,#22930)); #16129=EDGE_LOOP('',(#22931,#22932,#22933,#22934)); #16130=EDGE_LOOP('',(#22935,#22936,#22937,#22938)); #16131=EDGE_LOOP('',(#22939,#22940,#22941,#22942)); #16132=EDGE_LOOP('',(#22943,#22944,#22945,#22946,#22947)); #16133=EDGE_LOOP('',(#22948,#22949,#22950,#22951)); #16134=EDGE_LOOP('',(#22952,#22953,#22954,#22955,#22956)); #16135=EDGE_LOOP('',(#22957,#22958,#22959,#22960)); #16136=EDGE_LOOP('',(#22961,#22962,#22963,#22964)); #16137=EDGE_LOOP('',(#22965,#22966,#22967,#22968)); #16138=EDGE_LOOP('',(#22969,#22970,#22971,#22972,#22973)); #16139=EDGE_LOOP('',(#22974,#22975,#22976,#22977)); #16140=EDGE_LOOP('',(#22978,#22979,#22980,#22981,#22982)); #16141=EDGE_LOOP('',(#22983,#22984,#22985,#22986)); #16142=EDGE_LOOP('',(#22987,#22988,#22989,#22990)); #16143=EDGE_LOOP('',(#22991,#22992,#22993,#22994)); #16144=EDGE_LOOP('',(#22995,#22996,#22997,#22998,#22999)); #16145=EDGE_LOOP('',(#23000,#23001,#23002,#23003)); #16146=EDGE_LOOP('',(#23004,#23005,#23006,#23007,#23008)); #16147=EDGE_LOOP('',(#23009,#23010,#23011,#23012)); #16148=EDGE_LOOP('',(#23013,#23014,#23015,#23016)); #16149=EDGE_LOOP('',(#23017,#23018,#23019,#23020)); #16150=EDGE_LOOP('',(#23021,#23022,#23023,#23024,#23025)); #16151=EDGE_LOOP('',(#23026,#23027,#23028,#23029)); #16152=EDGE_LOOP('',(#23030,#23031,#23032,#23033)); #16153=EDGE_LOOP('',(#23034,#23035,#23036,#23037)); #16154=EDGE_LOOP('',(#23038,#23039,#23040,#23041)); #16155=EDGE_LOOP('',(#23042,#23043,#23044,#23045)); #16156=EDGE_LOOP('',(#23046,#23047,#23048,#23049)); #16157=EDGE_LOOP('',(#23050,#23051,#23052,#23053,#23054,#23055)); #16158=EDGE_LOOP('',(#23056,#23057,#23058,#23059,#23060,#23061,#23062,#23063)); #16159=EDGE_LOOP('',(#23064,#23065,#23066,#23067)); #16160=EDGE_LOOP('',(#23068,#23069,#23070,#23071,#23072)); #16161=EDGE_LOOP('',(#23073,#23074,#23075,#23076,#23077,#23078)); #16162=EDGE_LOOP('',(#23079,#23080,#23081,#23082,#23083,#23084)); #16163=EDGE_LOOP('',(#23085,#23086,#23087,#23088)); #16164=EDGE_LOOP('',(#23089,#23090,#23091,#23092)); #16165=EDGE_LOOP('',(#23093,#23094)); #16166=EDGE_LOOP('',(#23095,#23096,#23097,#23098,#23099,#23100,#23101,#23102, #23103,#23104,#23105,#23106,#23107,#23108,#23109,#23110,#23111,#23112,#23113, #23114,#23115,#23116,#23117,#23118,#23119,#23120,#23121,#23122,#23123,#23124, #23125,#23126,#23127,#23128,#23129,#23130,#23131,#23132,#23133,#23134,#23135, #23136,#23137,#23138,#23139,#23140,#23141,#23142,#23143,#23144,#23145,#23146, #23147,#23148,#23149,#23150,#23151,#23152,#23153,#23154,#23155,#23156,#23157, #23158,#23159,#23160,#23161,#23162,#23163,#23164,#23165,#23166)); #16167=EDGE_LOOP('',(#23167,#23168)); #16168=EDGE_LOOP('',(#23169,#23170,#23171,#23172)); #16169=EDGE_LOOP('',(#23173,#23174,#23175,#23176)); #16170=EDGE_LOOP('',(#23177,#23178,#23179,#23180,#23181,#23182)); #16171=EDGE_LOOP('',(#23183,#23184,#23185,#23186,#23187,#23188)); #16172=EDGE_LOOP('',(#23189,#23190,#23191,#23192,#23193)); #16173=EDGE_LOOP('',(#23194,#23195,#23196,#23197)); #16174=EDGE_LOOP('',(#23198,#23199,#23200,#23201,#23202,#23203,#23204,#23205)); #16175=EDGE_LOOP('',(#23206,#23207,#23208,#23209,#23210,#23211,#23212,#23213, #23214,#23215,#23216,#23217,#23218,#23219,#23220,#23221,#23222,#23223,#23224, #23225,#23226,#23227,#23228,#23229,#23230,#23231,#23232,#23233,#23234,#23235, #23236,#23237,#23238,#23239,#23240,#23241,#23242,#23243,#23244,#23245,#23246, #23247,#23248,#23249,#23250,#23251,#23252,#23253,#23254,#23255,#23256,#23257, #23258,#23259,#23260,#23261,#23262,#23263,#23264,#23265,#23266,#23267,#23268, #23269,#23270,#23271,#23272,#23273,#23274,#23275,#23276,#23277)); #16176=EDGE_LOOP('',(#23278,#23279,#23280,#23281,#23282,#23283)); #16177=EDGE_LOOP('',(#23284,#23285,#23286,#23287,#23288,#23289,#23290,#23291, #23292,#23293,#23294,#23295,#23296,#23297,#23298,#23299,#23300,#23301,#23302, #23303,#23304,#23305,#23306,#23307,#23308,#23309,#23310,#23311,#23312,#23313, #23314,#23315,#23316,#23317,#23318,#23319,#23320,#23321,#23322,#23323,#23324, #23325,#23326,#23327,#23328,#23329,#23330,#23331,#23332,#23333,#23334,#23335, #23336,#23337,#23338,#23339,#23340,#23341,#23342,#23343,#23344,#23345,#23346, #23347,#23348,#23349,#23350,#23351,#23352,#23353,#23354,#23355,#23356,#23357, #23358,#23359,#23360,#23361,#23362,#23363,#23364,#23365,#23366,#23367,#23368, #23369,#23370,#23371,#23372,#23373,#23374,#23375,#23376,#23377,#23378,#23379, #23380,#23381,#23382,#23383)); #16178=EDGE_LOOP('',(#23384,#23385,#23386,#23387)); #16179=EDGE_LOOP('',(#23388,#23389,#23390,#23391)); #16180=EDGE_LOOP('',(#23392,#23393,#23394,#23395)); #16181=EDGE_LOOP('',(#23396,#23397,#23398,#23399,#23400)); #16182=EDGE_LOOP('',(#23401,#23402,#23403,#23404,#23405,#23406,#23407,#23408, #23409,#23410,#23411,#23412,#23413,#23414,#23415,#23416,#23417,#23418,#23419, #23420)); #16183=EDGE_LOOP('',(#23421,#23422,#23423,#23424,#23425)); #16184=EDGE_LOOP('',(#23426,#23427,#23428,#23429)); #16185=EDGE_LOOP('',(#23430,#23431,#23432,#23433,#23434)); #16186=EDGE_LOOP('',(#23435,#23436,#23437,#23438)); #16187=EDGE_LOOP('',(#23439,#23440,#23441,#23442,#23443,#23444,#23445,#23446, #23447)); #16188=EDGE_LOOP('',(#23448,#23449,#23450)); #16189=EDGE_LOOP('',(#23451,#23452,#23453,#23454,#23455,#23456,#23457,#23458)); #16190=EDGE_LOOP('',(#23459,#23460,#23461,#23462)); #16191=EDGE_LOOP('',(#23463,#23464,#23465,#23466)); #16192=EDGE_LOOP('',(#23467,#23468,#23469,#23470)); #16193=EDGE_LOOP('',(#23471,#23472,#23473,#23474)); #16194=EDGE_LOOP('',(#23475,#23476,#23477,#23478)); #16195=EDGE_LOOP('',(#23479,#23480,#23481,#23482)); #16196=EDGE_LOOP('',(#23483,#23484,#23485,#23486)); #16197=EDGE_LOOP('',(#23487,#23488,#23489,#23490)); #16198=EDGE_LOOP('',(#23491,#23492,#23493,#23494)); #16199=EDGE_LOOP('',(#23495,#23496,#23497,#23498,#23499,#23500,#23501,#23502, #23503,#23504,#23505,#23506,#23507,#23508,#23509,#23510)); #16200=EDGE_LOOP('',(#23511)); #16201=EDGE_LOOP('',(#23512,#23513,#23514,#23515)); #16202=EDGE_LOOP('',(#23516,#23517,#23518,#23519)); #16203=EDGE_LOOP('',(#23520,#23521,#23522,#23523)); #16204=EDGE_LOOP('',(#23524,#23525,#23526,#23527)); #16205=EDGE_LOOP('',(#23528,#23529,#23530,#23531)); #16206=EDGE_LOOP('',(#23532,#23533,#23534,#23535)); #16207=EDGE_LOOP('',(#23536,#23537,#23538,#23539)); #16208=EDGE_LOOP('',(#23540,#23541,#23542,#23543)); #16209=EDGE_LOOP('',(#23544,#23545,#23546,#23547)); #16210=EDGE_LOOP('',(#23548,#23549,#23550,#23551,#23552,#23553,#23554,#23555, #23556)); #16211=EDGE_LOOP('',(#23557,#23558,#23559,#23560,#23561,#23562,#23563)); #16212=EDGE_LOOP('',(#23564,#23565,#23566,#23567,#23568,#23569,#23570,#23571)); #16213=EDGE_LOOP('',(#23572,#23573,#23574,#23575,#23576,#23577,#23578,#23579, #23580)); #16214=EDGE_LOOP('',(#23581,#23582,#23583,#23584)); #16215=EDGE_LOOP('',(#23585,#23586,#23587,#23588,#23589)); #16216=EDGE_LOOP('',(#23590,#23591,#23592,#23593,#23594,#23595,#23596,#23597, #23598,#23599,#23600,#23601)); #16217=EDGE_LOOP('',(#23602,#23603,#23604,#23605,#23606,#23607,#23608,#23609, #23610,#23611,#23612,#23613)); #16218=EDGE_LOOP('',(#23614,#23615)); #16219=EDGE_LOOP('',(#23616,#23617,#23618,#23619)); #16220=EDGE_LOOP('',(#23620,#23621,#23622,#23623)); #16221=EDGE_LOOP('',(#23624,#23625,#23626,#23627,#23628,#23629,#23630,#23631, #23632,#23633,#23634,#23635)); #16222=EDGE_LOOP('',(#23636,#23637,#23638,#23639,#23640,#23641,#23642,#23643)); #16223=EDGE_LOOP('',(#23644,#23645,#23646,#23647,#23648,#23649,#23650,#23651, #23652,#23653,#23654,#23655)); #16224=EDGE_LOOP('',(#23656,#23657,#23658,#23659,#23660,#23661,#23662,#23663, #23664,#23665,#23666,#23667)); #16225=EDGE_LOOP('',(#23668,#23669,#23670,#23671)); #16226=EDGE_LOOP('',(#23672,#23673,#23674,#23675,#23676,#23677,#23678,#23679, #23680,#23681,#23682,#23683)); #16227=EDGE_LOOP('',(#23684,#23685,#23686,#23687,#23688,#23689,#23690,#23691)); #16228=EDGE_LOOP('',(#23692,#23693,#23694,#23695)); #16229=EDGE_LOOP('',(#23696,#23697,#23698,#23699)); #16230=EDGE_LOOP('',(#23700,#23701,#23702,#23703,#23704,#23705,#23706,#23707)); #16231=EDGE_LOOP('',(#23708,#23709,#23710,#23711,#23712,#23713,#23714,#23715)); #16232=EDGE_LOOP('',(#23716)); #16233=EDGE_LOOP('',(#23717,#23718,#23719,#23720,#23721,#23722,#23723,#23724, #23725,#23726,#23727,#23728,#23729,#23730,#23731,#23732,#23733,#23734)); #16234=EDGE_LOOP('',(#23735,#23736,#23737,#23738)); #16235=EDGE_LOOP('',(#23739,#23740,#23741,#23742)); #16236=EDGE_LOOP('',(#23743,#23744,#23745,#23746)); #16237=EDGE_LOOP('',(#23747,#23748,#23749,#23750)); #16238=EDGE_LOOP('',(#23751,#23752,#23753,#23754)); #16239=EDGE_LOOP('',(#23755,#23756,#23757,#23758)); #16240=EDGE_LOOP('',(#23759,#23760,#23761,#23762)); #16241=EDGE_LOOP('',(#23763,#23764,#23765,#23766)); #16242=EDGE_LOOP('',(#23767,#23768,#23769,#23770)); #16243=EDGE_LOOP('',(#23771,#23772,#23773,#23774)); #16244=EDGE_LOOP('',(#23775,#23776,#23777,#23778)); #16245=EDGE_LOOP('',(#23779,#23780,#23781,#23782)); #16246=EDGE_LOOP('',(#23783,#23784,#23785,#23786)); #16247=EDGE_LOOP('',(#23787,#23788,#23789,#23790)); #16248=EDGE_LOOP('',(#23791,#23792,#23793,#23794)); #16249=EDGE_LOOP('',(#23795,#23796,#23797,#23798)); #16250=EDGE_LOOP('',(#23799,#23800,#23801,#23802)); #16251=EDGE_LOOP('',(#23803,#23804,#23805,#23806)); #16252=EDGE_LOOP('',(#23807,#23808,#23809,#23810)); #16253=EDGE_LOOP('',(#23811,#23812,#23813,#23814)); #16254=EDGE_LOOP('',(#23815,#23816,#23817,#23818)); #16255=EDGE_LOOP('',(#23819,#23820,#23821,#23822)); #16256=EDGE_LOOP('',(#23823,#23824,#23825,#23826)); #16257=EDGE_LOOP('',(#23827,#23828,#23829,#23830)); #16258=EDGE_LOOP('',(#23831,#23832,#23833,#23834)); #16259=EDGE_LOOP('',(#23835,#23836,#23837,#23838)); #16260=EDGE_LOOP('',(#23839,#23840,#23841,#23842)); #16261=EDGE_LOOP('',(#23843,#23844,#23845,#23846)); #16262=EDGE_LOOP('',(#23847,#23848,#23849,#23850)); #16263=EDGE_LOOP('',(#23851,#23852,#23853,#23854)); #16264=EDGE_LOOP('',(#23855,#23856,#23857,#23858)); #16265=EDGE_LOOP('',(#23859,#23860,#23861,#23862)); #16266=EDGE_LOOP('',(#23863,#23864,#23865,#23866)); #16267=EDGE_LOOP('',(#23867,#23868,#23869,#23870)); #16268=EDGE_LOOP('',(#23871,#23872,#23873,#23874)); #16269=EDGE_LOOP('',(#23875,#23876,#23877,#23878)); #16270=EDGE_LOOP('',(#23879,#23880,#23881,#23882)); #16271=EDGE_LOOP('',(#23883,#23884,#23885,#23886)); #16272=EDGE_LOOP('',(#23887,#23888,#23889,#23890)); #16273=EDGE_LOOP('',(#23891,#23892,#23893,#23894)); #16274=EDGE_LOOP('',(#23895,#23896,#23897,#23898)); #16275=EDGE_LOOP('',(#23899,#23900,#23901,#23902)); #16276=EDGE_LOOP('',(#23903,#23904,#23905,#23906)); #16277=EDGE_LOOP('',(#23907,#23908,#23909,#23910,#23911,#23912)); #16278=EDGE_LOOP('',(#23913,#23914,#23915,#23916,#23917,#23918)); #16279=EDGE_LOOP('',(#23919,#23920,#23921,#23922)); #16280=EDGE_LOOP('',(#23923,#23924,#23925,#23926)); #16281=EDGE_LOOP('',(#23927,#23928,#23929,#23930)); #16282=EDGE_LOOP('',(#23931,#23932,#23933,#23934)); #16283=EDGE_LOOP('',(#23935,#23936,#23937,#23938,#23939,#23940)); #16284=EDGE_LOOP('',(#23941)); #16285=EDGE_LOOP('',(#23942)); #16286=EDGE_LOOP('',(#23943,#23944,#23945,#23946)); #16287=EDGE_LOOP('',(#23947,#23948,#23949,#23950)); #16288=EDGE_LOOP('',(#23951,#23952,#23953,#23954)); #16289=EDGE_LOOP('',(#23955,#23956,#23957,#23958)); #16290=EDGE_LOOP('',(#23959,#23960,#23961,#23962)); #16291=EDGE_LOOP('',(#23963,#23964,#23965,#23966)); #16292=EDGE_LOOP('',(#23967,#23968,#23969,#23970)); #16293=EDGE_LOOP('',(#23971,#23972,#23973,#23974)); #16294=EDGE_LOOP('',(#23975,#23976,#23977,#23978)); #16295=EDGE_LOOP('',(#23979,#23980,#23981,#23982)); #16296=EDGE_LOOP('',(#23983,#23984,#23985,#23986)); #16297=EDGE_LOOP('',(#23987,#23988,#23989,#23990)); #16298=EDGE_LOOP('',(#23991,#23992,#23993,#23994)); #16299=EDGE_LOOP('',(#23995,#23996,#23997,#23998)); #16300=EDGE_LOOP('',(#23999,#24000,#24001,#24002)); #16301=EDGE_LOOP('',(#24003,#24004,#24005,#24006)); #16302=EDGE_LOOP('',(#24007,#24008,#24009,#24010)); #16303=EDGE_LOOP('',(#24011,#24012,#24013,#24014)); #16304=EDGE_LOOP('',(#24015,#24016,#24017,#24018)); #16305=EDGE_LOOP('',(#24019,#24020,#24021,#24022)); #16306=EDGE_LOOP('',(#24023,#24024,#24025,#24026)); #16307=EDGE_LOOP('',(#24027,#24028,#24029,#24030)); #16308=EDGE_LOOP('',(#24031,#24032,#24033,#24034)); #16309=EDGE_LOOP('',(#24035,#24036,#24037,#24038)); #16310=EDGE_LOOP('',(#24039,#24040,#24041,#24042)); #16311=EDGE_LOOP('',(#24043,#24044,#24045,#24046)); #16312=EDGE_LOOP('',(#24047,#24048,#24049,#24050)); #16313=EDGE_LOOP('',(#24051,#24052,#24053,#24054)); #16314=EDGE_LOOP('',(#24055,#24056,#24057,#24058)); #16315=EDGE_LOOP('',(#24059,#24060,#24061,#24062)); #16316=EDGE_LOOP('',(#24063,#24064,#24065,#24066)); #16317=EDGE_LOOP('',(#24067,#24068,#24069,#24070)); #16318=EDGE_LOOP('',(#24071,#24072,#24073,#24074)); #16319=EDGE_LOOP('',(#24075,#24076,#24077,#24078)); #16320=EDGE_LOOP('',(#24079,#24080,#24081,#24082)); #16321=EDGE_LOOP('',(#24083,#24084,#24085,#24086)); #16322=EDGE_LOOP('',(#24087,#24088,#24089,#24090)); #16323=EDGE_LOOP('',(#24091,#24092,#24093,#24094)); #16324=EDGE_LOOP('',(#24095,#24096,#24097,#24098)); #16325=EDGE_LOOP('',(#24099,#24100,#24101,#24102)); #16326=EDGE_LOOP('',(#24103,#24104,#24105,#24106)); #16327=EDGE_LOOP('',(#24107,#24108,#24109,#24110)); #16328=EDGE_LOOP('',(#24111,#24112,#24113,#24114)); #16329=EDGE_LOOP('',(#24115,#24116,#24117,#24118)); #16330=EDGE_LOOP('',(#24119,#24120,#24121,#24122)); #16331=EDGE_LOOP('',(#24123,#24124,#24125,#24126)); #16332=EDGE_LOOP('',(#24127,#24128,#24129,#24130)); #16333=EDGE_LOOP('',(#24131,#24132,#24133,#24134)); #16334=EDGE_LOOP('',(#24135,#24136,#24137,#24138)); #16335=EDGE_LOOP('',(#24139,#24140,#24141,#24142)); #16336=EDGE_LOOP('',(#24143,#24144,#24145,#24146)); #16337=EDGE_LOOP('',(#24147,#24148,#24149,#24150)); #16338=EDGE_LOOP('',(#24151,#24152,#24153,#24154)); #16339=EDGE_LOOP('',(#24155,#24156,#24157,#24158)); #16340=EDGE_LOOP('',(#24159,#24160,#24161,#24162)); #16341=EDGE_LOOP('',(#24163,#24164,#24165,#24166)); #16342=EDGE_LOOP('',(#24167,#24168,#24169,#24170)); #16343=EDGE_LOOP('',(#24171,#24172,#24173,#24174)); #16344=EDGE_LOOP('',(#24175,#24176,#24177,#24178)); #16345=EDGE_LOOP('',(#24179,#24180,#24181,#24182)); #16346=EDGE_LOOP('',(#24183,#24184,#24185,#24186)); #16347=EDGE_LOOP('',(#24187,#24188,#24189,#24190)); #16348=EDGE_LOOP('',(#24191,#24192,#24193,#24194)); #16349=EDGE_LOOP('',(#24195,#24196,#24197,#24198)); #16350=EDGE_LOOP('',(#24199,#24200,#24201,#24202)); #16351=EDGE_LOOP('',(#24203,#24204,#24205,#24206)); #16352=EDGE_LOOP('',(#24207,#24208,#24209,#24210)); #16353=EDGE_LOOP('',(#24211,#24212,#24213,#24214)); #16354=EDGE_LOOP('',(#24215,#24216,#24217,#24218)); #16355=EDGE_LOOP('',(#24219,#24220,#24221,#24222)); #16356=EDGE_LOOP('',(#24223,#24224,#24225,#24226)); #16357=EDGE_LOOP('',(#24227,#24228,#24229,#24230)); #16358=EDGE_LOOP('',(#24231,#24232,#24233,#24234)); #16359=EDGE_LOOP('',(#24235,#24236,#24237,#24238,#24239,#24240,#24241,#24242)); #16360=EDGE_LOOP('',(#24243,#24244,#24245,#24246)); #16361=EDGE_LOOP('',(#24247,#24248,#24249,#24250)); #16362=EDGE_LOOP('',(#24251,#24252,#24253,#24254)); #16363=EDGE_LOOP('',(#24255,#24256,#24257,#24258)); #16364=EDGE_LOOP('',(#24259,#24260,#24261,#24262)); #16365=EDGE_LOOP('',(#24263,#24264,#24265,#24266)); #16366=EDGE_LOOP('',(#24267,#24268,#24269,#24270)); #16367=EDGE_LOOP('',(#24271,#24272,#24273,#24274)); #16368=EDGE_LOOP('',(#24275,#24276,#24277,#24278)); #16369=EDGE_LOOP('',(#24279,#24280,#24281,#24282)); #16370=EDGE_LOOP('',(#24283,#24284,#24285,#24286)); #16371=EDGE_LOOP('',(#24287,#24288,#24289,#24290)); #16372=EDGE_LOOP('',(#24291,#24292,#24293,#24294)); #16373=EDGE_LOOP('',(#24295,#24296,#24297,#24298)); #16374=EDGE_LOOP('',(#24299,#24300,#24301,#24302)); #16375=EDGE_LOOP('',(#24303,#24304,#24305,#24306)); #16376=EDGE_LOOP('',(#24307,#24308,#24309,#24310)); #16377=EDGE_LOOP('',(#24311,#24312,#24313,#24314)); #16378=EDGE_LOOP('',(#24315,#24316,#24317,#24318)); #16379=EDGE_LOOP('',(#24319,#24320,#24321,#24322)); #16380=EDGE_LOOP('',(#24323,#24324,#24325,#24326)); #16381=EDGE_LOOP('',(#24327,#24328,#24329,#24330)); #16382=EDGE_LOOP('',(#24331,#24332,#24333,#24334)); #16383=EDGE_LOOP('',(#24335,#24336,#24337,#24338)); #16384=EDGE_LOOP('',(#24339,#24340,#24341,#24342)); #16385=EDGE_LOOP('',(#24343,#24344,#24345,#24346)); #16386=EDGE_LOOP('',(#24347,#24348,#24349,#24350)); #16387=EDGE_LOOP('',(#24351,#24352,#24353,#24354)); #16388=EDGE_LOOP('',(#24355,#24356,#24357,#24358)); #16389=EDGE_LOOP('',(#24359,#24360,#24361,#24362,#24363,#24364,#24365,#24366, #24367)); #16390=EDGE_LOOP('',(#24368,#24369,#24370,#24371)); #16391=EDGE_LOOP('',(#24372,#24373,#24374,#24375)); #16392=EDGE_LOOP('',(#24376,#24377,#24378,#24379)); #16393=EDGE_LOOP('',(#24380,#24381,#24382,#24383)); #16394=EDGE_LOOP('',(#24384,#24385,#24386,#24387)); #16395=EDGE_LOOP('',(#24388,#24389,#24390,#24391)); #16396=EDGE_LOOP('',(#24392,#24393,#24394,#24395)); #16397=EDGE_LOOP('',(#24396,#24397,#24398,#24399)); #16398=EDGE_LOOP('',(#24400,#24401,#24402,#24403)); #16399=EDGE_LOOP('',(#24404,#24405,#24406,#24407)); #16400=EDGE_LOOP('',(#24408,#24409,#24410,#24411,#24412,#24413,#24414)); #16401=EDGE_LOOP('',(#24415,#24416,#24417)); #16402=EDGE_LOOP('',(#24418,#24419,#24420)); #16403=EDGE_LOOP('',(#24421,#24422,#24423,#24424)); #16404=EDGE_LOOP('',(#24425,#24426,#24427,#24428)); #16405=EDGE_LOOP('',(#24429,#24430,#24431,#24432)); #16406=EDGE_LOOP('',(#24433,#24434,#24435,#24436)); #16407=EDGE_LOOP('',(#24437,#24438,#24439,#24440)); #16408=EDGE_LOOP('',(#24441,#24442,#24443,#24444)); #16409=EDGE_LOOP('',(#24445,#24446,#24447,#24448)); #16410=EDGE_LOOP('',(#24449,#24450,#24451,#24452)); #16411=EDGE_LOOP('',(#24453,#24454,#24455,#24456,#24457,#24458,#24459,#24460)); #16412=EDGE_LOOP('',(#24461,#24462,#24463,#24464)); #16413=EDGE_LOOP('',(#24465,#24466,#24467,#24468)); #16414=EDGE_LOOP('',(#24469,#24470,#24471,#24472)); #16415=EDGE_LOOP('',(#24473,#24474,#24475,#24476)); #16416=EDGE_LOOP('',(#24477,#24478,#24479,#24480)); #16417=EDGE_LOOP('',(#24481,#24482,#24483,#24484)); #16418=EDGE_LOOP('',(#24485,#24486,#24487,#24488)); #16419=EDGE_LOOP('',(#24489,#24490,#24491,#24492)); #16420=EDGE_LOOP('',(#24493,#24494,#24495,#24496)); #16421=EDGE_LOOP('',(#24497,#24498,#24499,#24500,#24501,#24502,#24503,#24504, #24505)); #16422=EDGE_LOOP('',(#24506,#24507,#24508,#24509)); #16423=EDGE_LOOP('',(#24510,#24511,#24512,#24513)); #16424=EDGE_LOOP('',(#24514,#24515,#24516,#24517)); #16425=EDGE_LOOP('',(#24518,#24519,#24520,#24521)); #16426=EDGE_LOOP('',(#24522,#24523,#24524,#24525)); #16427=EDGE_LOOP('',(#24526,#24527,#24528,#24529)); #16428=EDGE_LOOP('',(#24530,#24531,#24532,#24533)); #16429=EDGE_LOOP('',(#24534,#24535,#24536,#24537)); #16430=EDGE_LOOP('',(#24538,#24539,#24540,#24541)); #16431=EDGE_LOOP('',(#24542,#24543,#24544,#24545)); #16432=EDGE_LOOP('',(#24546,#24547,#24548,#24549,#24550)); #16433=EDGE_LOOP('',(#24551,#24552,#24553,#24554)); #16434=EDGE_LOOP('',(#24555,#24556,#24557,#24558)); #16435=EDGE_LOOP('',(#24559,#24560,#24561,#24562)); #16436=EDGE_LOOP('',(#24563,#24564,#24565,#24566)); #16437=EDGE_LOOP('',(#24567,#24568,#24569,#24570)); #16438=EDGE_LOOP('',(#24571,#24572,#24573,#24574)); #16439=EDGE_LOOP('',(#24575,#24576,#24577,#24578)); #16440=EDGE_LOOP('',(#24579,#24580,#24581,#24582)); #16441=EDGE_LOOP('',(#24583,#24584,#24585,#24586)); #16442=EDGE_LOOP('',(#24587,#24588,#24589,#24590)); #16443=EDGE_LOOP('',(#24591,#24592,#24593,#24594)); #16444=EDGE_LOOP('',(#24595,#24596,#24597,#24598)); #16445=EDGE_LOOP('',(#24599,#24600,#24601,#24602,#24603,#24604,#24605,#24606, #24607,#24608,#24609,#24610)); #16446=EDGE_LOOP('',(#24611,#24612,#24613,#24614)); #16447=EDGE_LOOP('',(#24615,#24616,#24617,#24618)); #16448=EDGE_LOOP('',(#24619,#24620,#24621,#24622)); #16449=EDGE_LOOP('',(#24623,#24624,#24625,#24626)); #16450=EDGE_LOOP('',(#24627,#24628,#24629,#24630)); #16451=EDGE_LOOP('',(#24631,#24632,#24633,#24634)); #16452=EDGE_LOOP('',(#24635,#24636,#24637,#24638)); #16453=EDGE_LOOP('',(#24639,#24640,#24641,#24642)); #16454=EDGE_LOOP('',(#24643,#24644,#24645,#24646)); #16455=EDGE_LOOP('',(#24647,#24648,#24649,#24650)); #16456=EDGE_LOOP('',(#24651,#24652,#24653,#24654)); #16457=EDGE_LOOP('',(#24655,#24656,#24657,#24658)); #16458=EDGE_LOOP('',(#24659,#24660,#24661,#24662,#24663,#24664,#24665,#24666, #24667,#24668,#24669,#24670)); #16459=EDGE_LOOP('',(#24671,#24672,#24673,#24674)); #16460=EDGE_LOOP('',(#24675,#24676,#24677,#24678)); #16461=EDGE_LOOP('',(#24679,#24680,#24681,#24682)); #16462=EDGE_LOOP('',(#24683,#24684,#24685,#24686)); #16463=EDGE_LOOP('',(#24687,#24688,#24689,#24690)); #16464=EDGE_LOOP('',(#24691,#24692)); #16465=EDGE_LOOP('',(#24693,#24694,#24695)); #16466=EDGE_LOOP('',(#24696,#24697,#24698,#24699)); #16467=EDGE_LOOP('',(#24700,#24701,#24702,#24703)); #16468=EDGE_LOOP('',(#24704,#24705,#24706,#24707)); #16469=EDGE_LOOP('',(#24708,#24709,#24710,#24711)); #16470=EDGE_LOOP('',(#24712,#24713,#24714,#24715)); #16471=EDGE_LOOP('',(#24716,#24717,#24718,#24719)); #16472=EDGE_LOOP('',(#24720,#24721,#24722,#24723)); #16473=EDGE_LOOP('',(#24724,#24725,#24726,#24727)); #16474=EDGE_LOOP('',(#24728,#24729,#24730,#24731)); #16475=EDGE_LOOP('',(#24732,#24733,#24734,#24735)); #16476=EDGE_LOOP('',(#24736,#24737,#24738,#24739)); #16477=EDGE_LOOP('',(#24740,#24741,#24742,#24743)); #16478=EDGE_LOOP('',(#24744,#24745,#24746,#24747)); #16479=EDGE_LOOP('',(#24748,#24749,#24750,#24751)); #16480=EDGE_LOOP('',(#24752,#24753,#24754,#24755)); #16481=EDGE_LOOP('',(#24756,#24757,#24758,#24759)); #16482=EDGE_LOOP('',(#24760,#24761,#24762,#24763)); #16483=EDGE_LOOP('',(#24764,#24765,#24766,#24767)); #16484=EDGE_LOOP('',(#24768,#24769,#24770,#24771)); #16485=EDGE_LOOP('',(#24772,#24773,#24774,#24775,#24776,#24777,#24778,#24779, #24780)); #16486=EDGE_LOOP('',(#24781,#24782,#24783,#24784,#24785)); #16487=EDGE_LOOP('',(#24786,#24787,#24788,#24789,#24790)); #16488=EDGE_LOOP('',(#24791,#24792,#24793,#24794)); #16489=EDGE_LOOP('',(#24795,#24796,#24797,#24798)); #16490=EDGE_LOOP('',(#24799,#24800,#24801,#24802)); #16491=EDGE_LOOP('',(#24803,#24804,#24805,#24806)); #16492=EDGE_LOOP('',(#24807,#24808,#24809,#24810)); #16493=ORIENTED_EDGE('',*,*,#27549,.T.); #16494=ORIENTED_EDGE('',*,*,#27550,.T.); #16495=ORIENTED_EDGE('',*,*,#27551,.T.); #16496=ORIENTED_EDGE('',*,*,#27552,.T.); #16497=ORIENTED_EDGE('',*,*,#27553,.T.); #16498=ORIENTED_EDGE('',*,*,#27554,.T.); #16499=ORIENTED_EDGE('',*,*,#27555,.T.); #16500=ORIENTED_EDGE('',*,*,#27556,.T.); #16501=ORIENTED_EDGE('',*,*,#27557,.T.); #16502=ORIENTED_EDGE('',*,*,#27558,.T.); #16503=ORIENTED_EDGE('',*,*,#27559,.T.); #16504=ORIENTED_EDGE('',*,*,#27560,.T.); #16505=ORIENTED_EDGE('',*,*,#27561,.T.); #16506=ORIENTED_EDGE('',*,*,#27562,.T.); #16507=ORIENTED_EDGE('',*,*,#27563,.T.); #16508=ORIENTED_EDGE('',*,*,#27564,.T.); #16509=ORIENTED_EDGE('',*,*,#27565,.T.); #16510=ORIENTED_EDGE('',*,*,#27566,.T.); #16511=ORIENTED_EDGE('',*,*,#27567,.T.); #16512=ORIENTED_EDGE('',*,*,#27568,.T.); #16513=ORIENTED_EDGE('',*,*,#27569,.T.); #16514=ORIENTED_EDGE('',*,*,#27570,.T.); #16515=ORIENTED_EDGE('',*,*,#27571,.T.); #16516=ORIENTED_EDGE('',*,*,#27572,.T.); #16517=ORIENTED_EDGE('',*,*,#27573,.T.); #16518=ORIENTED_EDGE('',*,*,#27574,.T.); #16519=ORIENTED_EDGE('',*,*,#27575,.T.); #16520=ORIENTED_EDGE('',*,*,#27576,.T.); #16521=ORIENTED_EDGE('',*,*,#27577,.T.); #16522=ORIENTED_EDGE('',*,*,#27578,.T.); #16523=ORIENTED_EDGE('',*,*,#27579,.T.); #16524=ORIENTED_EDGE('',*,*,#27580,.T.); #16525=ORIENTED_EDGE('',*,*,#27581,.T.); #16526=ORIENTED_EDGE('',*,*,#27582,.T.); #16527=ORIENTED_EDGE('',*,*,#27583,.T.); #16528=ORIENTED_EDGE('',*,*,#27584,.T.); #16529=ORIENTED_EDGE('',*,*,#27585,.T.); #16530=ORIENTED_EDGE('',*,*,#27586,.T.); #16531=ORIENTED_EDGE('',*,*,#27587,.T.); #16532=ORIENTED_EDGE('',*,*,#27588,.T.); #16533=ORIENTED_EDGE('',*,*,#27589,.T.); #16534=ORIENTED_EDGE('',*,*,#27590,.T.); #16535=ORIENTED_EDGE('',*,*,#27591,.T.); #16536=ORIENTED_EDGE('',*,*,#27592,.T.); #16537=ORIENTED_EDGE('',*,*,#27593,.T.); #16538=ORIENTED_EDGE('',*,*,#27594,.T.); #16539=ORIENTED_EDGE('',*,*,#27595,.T.); #16540=ORIENTED_EDGE('',*,*,#27596,.T.); #16541=ORIENTED_EDGE('',*,*,#27597,.T.); #16542=ORIENTED_EDGE('',*,*,#27598,.T.); #16543=ORIENTED_EDGE('',*,*,#27599,.T.); #16544=ORIENTED_EDGE('',*,*,#27600,.T.); #16545=ORIENTED_EDGE('',*,*,#27601,.T.); #16546=ORIENTED_EDGE('',*,*,#27602,.T.); #16547=ORIENTED_EDGE('',*,*,#27603,.T.); #16548=ORIENTED_EDGE('',*,*,#27604,.T.); #16549=ORIENTED_EDGE('',*,*,#27605,.T.); #16550=ORIENTED_EDGE('',*,*,#27606,.T.); #16551=ORIENTED_EDGE('',*,*,#27607,.T.); #16552=ORIENTED_EDGE('',*,*,#27608,.T.); #16553=ORIENTED_EDGE('',*,*,#27609,.T.); #16554=ORIENTED_EDGE('',*,*,#27610,.T.); #16555=ORIENTED_EDGE('',*,*,#27611,.T.); #16556=ORIENTED_EDGE('',*,*,#27612,.T.); #16557=ORIENTED_EDGE('',*,*,#27613,.T.); #16558=ORIENTED_EDGE('',*,*,#27614,.F.); #16559=ORIENTED_EDGE('',*,*,#27615,.T.); #16560=ORIENTED_EDGE('',*,*,#27616,.T.); #16561=ORIENTED_EDGE('',*,*,#27617,.T.); #16562=ORIENTED_EDGE('',*,*,#27618,.F.); #16563=ORIENTED_EDGE('',*,*,#27619,.T.); #16564=ORIENTED_EDGE('',*,*,#27620,.T.); #16565=ORIENTED_EDGE('',*,*,#27621,.T.); #16566=ORIENTED_EDGE('',*,*,#27622,.F.); #16567=ORIENTED_EDGE('',*,*,#27623,.T.); #16568=ORIENTED_EDGE('',*,*,#27624,.T.); #16569=ORIENTED_EDGE('',*,*,#27625,.T.); #16570=ORIENTED_EDGE('',*,*,#27626,.F.); #16571=ORIENTED_EDGE('',*,*,#27627,.T.); #16572=ORIENTED_EDGE('',*,*,#27628,.T.); #16573=ORIENTED_EDGE('',*,*,#27629,.F.); #16574=ORIENTED_EDGE('',*,*,#27630,.T.); #16575=ORIENTED_EDGE('',*,*,#27631,.T.); #16576=ORIENTED_EDGE('',*,*,#27632,.T.); #16577=ORIENTED_EDGE('',*,*,#27633,.T.); #16578=ORIENTED_EDGE('',*,*,#27634,.T.); #16579=ORIENTED_EDGE('',*,*,#27635,.T.); #16580=ORIENTED_EDGE('',*,*,#27636,.T.); #16581=ORIENTED_EDGE('',*,*,#27637,.T.); #16582=ORIENTED_EDGE('',*,*,#27638,.T.); #16583=ORIENTED_EDGE('',*,*,#27639,.T.); #16584=ORIENTED_EDGE('',*,*,#27640,.T.); #16585=ORIENTED_EDGE('',*,*,#27641,.T.); #16586=ORIENTED_EDGE('',*,*,#27642,.T.); #16587=ORIENTED_EDGE('',*,*,#27643,.T.); #16588=ORIENTED_EDGE('',*,*,#27644,.T.); #16589=ORIENTED_EDGE('',*,*,#27645,.T.); #16590=ORIENTED_EDGE('',*,*,#27646,.T.); #16591=ORIENTED_EDGE('',*,*,#27647,.T.); #16592=ORIENTED_EDGE('',*,*,#27648,.T.); #16593=ORIENTED_EDGE('',*,*,#27649,.T.); #16594=ORIENTED_EDGE('',*,*,#27650,.T.); #16595=ORIENTED_EDGE('',*,*,#27651,.T.); #16596=ORIENTED_EDGE('',*,*,#27652,.T.); #16597=ORIENTED_EDGE('',*,*,#27653,.T.); #16598=ORIENTED_EDGE('',*,*,#27654,.T.); #16599=ORIENTED_EDGE('',*,*,#27655,.T.); #16600=ORIENTED_EDGE('',*,*,#27656,.T.); #16601=ORIENTED_EDGE('',*,*,#27657,.T.); #16602=ORIENTED_EDGE('',*,*,#27658,.T.); #16603=ORIENTED_EDGE('',*,*,#27659,.T.); #16604=ORIENTED_EDGE('',*,*,#27660,.T.); #16605=ORIENTED_EDGE('',*,*,#27661,.T.); #16606=ORIENTED_EDGE('',*,*,#27662,.T.); #16607=ORIENTED_EDGE('',*,*,#27663,.T.); #16608=ORIENTED_EDGE('',*,*,#27664,.T.); #16609=ORIENTED_EDGE('',*,*,#27665,.T.); #16610=ORIENTED_EDGE('',*,*,#27666,.T.); #16611=ORIENTED_EDGE('',*,*,#27667,.T.); #16612=ORIENTED_EDGE('',*,*,#27668,.T.); #16613=ORIENTED_EDGE('',*,*,#27669,.F.); #16614=ORIENTED_EDGE('',*,*,#27670,.T.); #16615=ORIENTED_EDGE('',*,*,#27671,.T.); #16616=ORIENTED_EDGE('',*,*,#27672,.T.); #16617=ORIENTED_EDGE('',*,*,#27673,.T.); #16618=ORIENTED_EDGE('',*,*,#27674,.T.); #16619=ORIENTED_EDGE('',*,*,#27675,.T.); #16620=ORIENTED_EDGE('',*,*,#27676,.T.); #16621=ORIENTED_EDGE('',*,*,#27677,.T.); #16622=ORIENTED_EDGE('',*,*,#27678,.T.); #16623=ORIENTED_EDGE('',*,*,#27679,.F.); #16624=ORIENTED_EDGE('',*,*,#27680,.F.); #16625=ORIENTED_EDGE('',*,*,#27681,.T.); #16626=ORIENTED_EDGE('',*,*,#27682,.T.); #16627=ORIENTED_EDGE('',*,*,#27683,.T.); #16628=ORIENTED_EDGE('',*,*,#27684,.T.); #16629=ORIENTED_EDGE('',*,*,#27685,.T.); #16630=ORIENTED_EDGE('',*,*,#27686,.F.); #16631=ORIENTED_EDGE('',*,*,#27687,.T.); #16632=ORIENTED_EDGE('',*,*,#27688,.F.); #16633=ORIENTED_EDGE('',*,*,#27689,.T.); #16634=ORIENTED_EDGE('',*,*,#27690,.T.); #16635=ORIENTED_EDGE('',*,*,#27691,.T.); #16636=ORIENTED_EDGE('',*,*,#27692,.T.); #16637=ORIENTED_EDGE('',*,*,#27693,.F.); #16638=ORIENTED_EDGE('',*,*,#27694,.T.); #16639=ORIENTED_EDGE('',*,*,#27677,.F.); #16640=ORIENTED_EDGE('',*,*,#27695,.T.); #16641=ORIENTED_EDGE('',*,*,#27696,.F.); #16642=ORIENTED_EDGE('',*,*,#27697,.T.); #16643=ORIENTED_EDGE('',*,*,#27698,.F.); #16644=ORIENTED_EDGE('',*,*,#27682,.F.); #16645=ORIENTED_EDGE('',*,*,#27699,.T.); #16646=ORIENTED_EDGE('',*,*,#27700,.F.); #16647=ORIENTED_EDGE('',*,*,#27701,.T.); #16648=ORIENTED_EDGE('',*,*,#27671,.F.); #16649=ORIENTED_EDGE('',*,*,#27702,.T.); #16650=ORIENTED_EDGE('',*,*,#27703,.T.); #16651=ORIENTED_EDGE('',*,*,#27692,.F.); #16652=ORIENTED_EDGE('',*,*,#27704,.T.); #16653=ORIENTED_EDGE('',*,*,#27705,.T.); #16654=ORIENTED_EDGE('',*,*,#27706,.F.); #16655=ORIENTED_EDGE('',*,*,#27707,.F.); #16656=ORIENTED_EDGE('',*,*,#27708,.F.); #16657=ORIENTED_EDGE('',*,*,#27709,.T.); #16658=ORIENTED_EDGE('',*,*,#27710,.F.); #16659=ORIENTED_EDGE('',*,*,#27711,.F.); #16660=ORIENTED_EDGE('',*,*,#27712,.F.); #16661=ORIENTED_EDGE('',*,*,#27713,.T.); #16662=ORIENTED_EDGE('',*,*,#27714,.F.); #16663=ORIENTED_EDGE('',*,*,#27715,.F.); #16664=ORIENTED_EDGE('',*,*,#27716,.F.); #16665=ORIENTED_EDGE('',*,*,#27697,.F.); #16666=ORIENTED_EDGE('',*,*,#27717,.T.); #16667=ORIENTED_EDGE('',*,*,#27718,.T.); #16668=ORIENTED_EDGE('',*,*,#27719,.F.); #16669=ORIENTED_EDGE('',*,*,#27720,.F.); #16670=ORIENTED_EDGE('',*,*,#27721,.F.); #16671=ORIENTED_EDGE('',*,*,#27722,.T.); #16672=ORIENTED_EDGE('',*,*,#27687,.F.); #16673=ORIENTED_EDGE('',*,*,#27723,.T.); #16674=ORIENTED_EDGE('',*,*,#27724,.F.); #16675=ORIENTED_EDGE('',*,*,#27725,.F.); #16676=ORIENTED_EDGE('',*,*,#27726,.F.); #16677=ORIENTED_EDGE('',*,*,#27727,.T.); #16678=ORIENTED_EDGE('',*,*,#27702,.F.); #16679=ORIENTED_EDGE('',*,*,#27670,.F.); #16680=ORIENTED_EDGE('',*,*,#27701,.F.); #16681=ORIENTED_EDGE('',*,*,#27728,.T.); #16682=ORIENTED_EDGE('',*,*,#27722,.F.); #16683=ORIENTED_EDGE('',*,*,#27729,.F.); #16684=ORIENTED_EDGE('',*,*,#27672,.F.); #16685=ORIENTED_EDGE('',*,*,#27718,.F.); #16686=ORIENTED_EDGE('',*,*,#27730,.T.); #16687=ORIENTED_EDGE('',*,*,#27695,.F.); #16688=ORIENTED_EDGE('',*,*,#27676,.F.); #16689=ORIENTED_EDGE('',*,*,#27731,.F.); #16690=ORIENTED_EDGE('',*,*,#27694,.F.); #16691=ORIENTED_EDGE('',*,*,#27732,.T.); #16692=ORIENTED_EDGE('',*,*,#27733,.F.); #16693=ORIENTED_EDGE('',*,*,#27678,.F.); #16694=ORIENTED_EDGE('',*,*,#27734,.F.); #16695=ORIENTED_EDGE('',*,*,#27735,.T.); #16696=ORIENTED_EDGE('',*,*,#27699,.F.); #16697=ORIENTED_EDGE('',*,*,#27681,.F.); #16698=ORIENTED_EDGE('',*,*,#27736,.T.); #16699=ORIENTED_EDGE('',*,*,#27737,.T.); #16700=ORIENTED_EDGE('',*,*,#27738,.F.); #16701=ORIENTED_EDGE('',*,*,#27739,.F.); #16702=ORIENTED_EDGE('',*,*,#27740,.F.); #16703=ORIENTED_EDGE('',*,*,#27741,.T.); #16704=ORIENTED_EDGE('',*,*,#27742,.T.); #16705=ORIENTED_EDGE('',*,*,#27611,.F.); #16706=ORIENTED_EDGE('',*,*,#27604,.F.); #16707=ORIENTED_EDGE('',*,*,#27743,.T.); #16708=ORIENTED_EDGE('',*,*,#27744,.F.); #16709=ORIENTED_EDGE('',*,*,#27745,.T.); #16710=ORIENTED_EDGE('',*,*,#27746,.T.); #16711=ORIENTED_EDGE('',*,*,#27747,.T.); #16712=ORIENTED_EDGE('',*,*,#27748,.T.); #16713=ORIENTED_EDGE('',*,*,#27749,.T.); #16714=ORIENTED_EDGE('',*,*,#27710,.T.); #16715=ORIENTED_EDGE('',*,*,#27750,.T.); #16716=ORIENTED_EDGE('',*,*,#27751,.T.); #16717=ORIENTED_EDGE('',*,*,#27752,.F.); #16718=ORIENTED_EDGE('',*,*,#27753,.F.); #16719=ORIENTED_EDGE('',*,*,#27754,.F.); #16720=ORIENTED_EDGE('',*,*,#27755,.F.); #16721=ORIENTED_EDGE('',*,*,#27756,.T.); #16722=ORIENTED_EDGE('',*,*,#27736,.F.); #16723=ORIENTED_EDGE('',*,*,#27757,.F.); #16724=ORIENTED_EDGE('',*,*,#27758,.T.); #16725=ORIENTED_EDGE('',*,*,#27759,.F.); #16726=ORIENTED_EDGE('',*,*,#27760,.T.); #16727=ORIENTED_EDGE('',*,*,#27630,.F.); #16728=ORIENTED_EDGE('',*,*,#27761,.F.); #16729=ORIENTED_EDGE('',*,*,#27762,.T.); #16730=ORIENTED_EDGE('',*,*,#27763,.F.); #16731=ORIENTED_EDGE('',*,*,#27764,.F.); #16732=ORIENTED_EDGE('',*,*,#27765,.T.); #16733=ORIENTED_EDGE('',*,*,#27766,.F.); #16734=ORIENTED_EDGE('',*,*,#27767,.T.); #16735=ORIENTED_EDGE('',*,*,#27768,.T.); #16736=ORIENTED_EDGE('',*,*,#27769,.T.); #16737=ORIENTED_EDGE('',*,*,#27770,.T.); #16738=ORIENTED_EDGE('',*,*,#27771,.T.); #16739=ORIENTED_EDGE('',*,*,#27772,.T.); #16740=ORIENTED_EDGE('',*,*,#27773,.T.); #16741=ORIENTED_EDGE('',*,*,#27600,.F.); #16742=ORIENTED_EDGE('',*,*,#27774,.T.); #16743=ORIENTED_EDGE('',*,*,#27775,.F.); #16744=ORIENTED_EDGE('',*,*,#27776,.T.); #16745=ORIENTED_EDGE('',*,*,#27777,.T.); #16746=ORIENTED_EDGE('',*,*,#27778,.T.); #16747=ORIENTED_EDGE('',*,*,#27779,.T.); #16748=ORIENTED_EDGE('',*,*,#27780,.T.); #16749=ORIENTED_EDGE('',*,*,#27766,.T.); #16750=ORIENTED_EDGE('',*,*,#27781,.T.); #16751=ORIENTED_EDGE('',*,*,#27782,.T.); #16752=ORIENTED_EDGE('',*,*,#27783,.T.); #16753=ORIENTED_EDGE('',*,*,#27784,.F.); #16754=ORIENTED_EDGE('',*,*,#27785,.T.); #16755=ORIENTED_EDGE('',*,*,#27786,.T.); #16756=ORIENTED_EDGE('',*,*,#27787,.T.); #16757=ORIENTED_EDGE('',*,*,#27739,.T.); #16758=ORIENTED_EDGE('',*,*,#27788,.F.); #16759=ORIENTED_EDGE('',*,*,#27789,.F.); #16760=ORIENTED_EDGE('',*,*,#27790,.T.); #16761=ORIENTED_EDGE('',*,*,#27791,.T.); #16762=ORIENTED_EDGE('',*,*,#27764,.T.); #16763=ORIENTED_EDGE('',*,*,#27792,.T.); #16764=ORIENTED_EDGE('',*,*,#27793,.T.); #16765=ORIENTED_EDGE('',*,*,#27794,.T.); #16766=ORIENTED_EDGE('',*,*,#27795,.F.); #16767=ORIENTED_EDGE('',*,*,#27796,.T.); #16768=ORIENTED_EDGE('',*,*,#27757,.T.); #16769=ORIENTED_EDGE('',*,*,#27759,.T.); #16770=ORIENTED_EDGE('',*,*,#27797,.T.); #16771=ORIENTED_EDGE('',*,*,#27798,.T.); #16772=ORIENTED_EDGE('',*,*,#27799,.T.); #16773=ORIENTED_EDGE('',*,*,#27800,.T.); #16774=ORIENTED_EDGE('',*,*,#27801,.T.); #16775=ORIENTED_EDGE('',*,*,#27775,.T.); #16776=ORIENTED_EDGE('',*,*,#27802,.T.); #16777=ORIENTED_EDGE('',*,*,#27803,.T.); #16778=ORIENTED_EDGE('',*,*,#27804,.T.); #16779=ORIENTED_EDGE('',*,*,#27805,.F.); #16780=ORIENTED_EDGE('',*,*,#27806,.T.); #16781=ORIENTED_EDGE('',*,*,#27740,.T.); #16782=ORIENTED_EDGE('',*,*,#27610,.F.); #16783=ORIENTED_EDGE('',*,*,#27807,.T.); #16784=ORIENTED_EDGE('',*,*,#27782,.F.); #16785=ORIENTED_EDGE('',*,*,#27808,.T.); #16786=ORIENTED_EDGE('',*,*,#27790,.F.); #16787=ORIENTED_EDGE('',*,*,#27809,.F.); #16788=ORIENTED_EDGE('',*,*,#27810,.T.); #16789=ORIENTED_EDGE('',*,*,#27809,.T.); #16790=ORIENTED_EDGE('',*,*,#27811,.T.); #16791=ORIENTED_EDGE('',*,*,#27763,.T.); #16792=ORIENTED_EDGE('',*,*,#27812,.F.); #16793=ORIENTED_EDGE('',*,*,#27813,.T.); #16794=ORIENTED_EDGE('',*,*,#27814,.T.); #16795=ORIENTED_EDGE('',*,*,#27815,.T.); #16796=ORIENTED_EDGE('',*,*,#27816,.T.); #16797=ORIENTED_EDGE('',*,*,#27817,.T.); #16798=ORIENTED_EDGE('',*,*,#27818,.T.); #16799=ORIENTED_EDGE('',*,*,#27819,.T.); #16800=ORIENTED_EDGE('',*,*,#27777,.F.); #16801=ORIENTED_EDGE('',*,*,#27820,.T.); #16802=ORIENTED_EDGE('',*,*,#27821,.T.); #16803=ORIENTED_EDGE('',*,*,#27822,.F.); #16804=ORIENTED_EDGE('',*,*,#27823,.T.); #16805=ORIENTED_EDGE('',*,*,#27779,.F.); #16806=ORIENTED_EDGE('',*,*,#27824,.T.); #16807=ORIENTED_EDGE('',*,*,#27825,.T.); #16808=ORIENTED_EDGE('',*,*,#27826,.T.); #16809=ORIENTED_EDGE('',*,*,#27827,.T.); #16810=ORIENTED_EDGE('',*,*,#27828,.T.); #16811=ORIENTED_EDGE('',*,*,#27829,.T.); #16812=ORIENTED_EDGE('',*,*,#27746,.F.); #16813=ORIENTED_EDGE('',*,*,#27830,.T.); #16814=ORIENTED_EDGE('',*,*,#27831,.F.); #16815=ORIENTED_EDGE('',*,*,#27832,.T.); #16816=ORIENTED_EDGE('',*,*,#27833,.T.); #16817=ORIENTED_EDGE('',*,*,#27834,.T.); #16818=ORIENTED_EDGE('',*,*,#27835,.T.); #16819=ORIENTED_EDGE('',*,*,#27836,.T.); #16820=ORIENTED_EDGE('',*,*,#27837,.T.); #16821=ORIENTED_EDGE('',*,*,#27838,.T.); #16822=ORIENTED_EDGE('',*,*,#27768,.F.); #16823=ORIENTED_EDGE('',*,*,#27839,.T.); #16824=ORIENTED_EDGE('',*,*,#27840,.T.); #16825=ORIENTED_EDGE('',*,*,#27841,.T.); #16826=ORIENTED_EDGE('',*,*,#27842,.T.); #16827=ORIENTED_EDGE('',*,*,#27843,.T.); #16828=ORIENTED_EDGE('',*,*,#27844,.T.); #16829=ORIENTED_EDGE('',*,*,#27845,.T.); #16830=ORIENTED_EDGE('',*,*,#27770,.F.); #16831=ORIENTED_EDGE('',*,*,#27846,.T.); #16832=ORIENTED_EDGE('',*,*,#27814,.F.); #16833=ORIENTED_EDGE('',*,*,#27847,.T.); #16834=ORIENTED_EDGE('',*,*,#27841,.F.); #16835=ORIENTED_EDGE('',*,*,#27848,.T.); #16836=ORIENTED_EDGE('',*,*,#27837,.F.); #16837=ORIENTED_EDGE('',*,*,#27849,.T.); #16838=ORIENTED_EDGE('',*,*,#27833,.F.); #16839=ORIENTED_EDGE('',*,*,#27850,.T.); #16840=ORIENTED_EDGE('',*,*,#27825,.F.); #16841=ORIENTED_EDGE('',*,*,#27851,.T.); #16842=ORIENTED_EDGE('',*,*,#27818,.F.); #16843=ORIENTED_EDGE('',*,*,#27852,.T.); #16844=ORIENTED_EDGE('',*,*,#27798,.F.); #16845=ORIENTED_EDGE('',*,*,#27853,.T.); #16846=ORIENTED_EDGE('',*,*,#27795,.T.); #16847=ORIENTED_EDGE('',*,*,#27854,.T.); #16848=ORIENTED_EDGE('',*,*,#27855,.T.); #16849=ORIENTED_EDGE('',*,*,#27812,.T.); #16850=ORIENTED_EDGE('',*,*,#27856,.T.); #16851=ORIENTED_EDGE('',*,*,#27857,.T.); #16852=ORIENTED_EDGE('',*,*,#27811,.F.); #16853=ORIENTED_EDGE('',*,*,#27784,.T.); #16854=ORIENTED_EDGE('',*,*,#27858,.T.); #16855=ORIENTED_EDGE('',*,*,#27608,.F.); #16856=ORIENTED_EDGE('',*,*,#27859,.T.); #16857=ORIENTED_EDGE('',*,*,#27860,.T.); #16858=ORIENTED_EDGE('',*,*,#27831,.T.); #16859=ORIENTED_EDGE('',*,*,#27861,.T.); #16860=ORIENTED_EDGE('',*,*,#27862,.T.); #16861=ORIENTED_EDGE('',*,*,#27810,.F.); #16862=ORIENTED_EDGE('',*,*,#27840,.F.); #16863=ORIENTED_EDGE('',*,*,#27863,.T.); #16864=ORIENTED_EDGE('',*,*,#27786,.F.); #16865=ORIENTED_EDGE('',*,*,#27864,.T.); #16866=ORIENTED_EDGE('',*,*,#27606,.F.); #16867=ORIENTED_EDGE('',*,*,#27865,.T.); #16868=ORIENTED_EDGE('',*,*,#27828,.F.); #16869=ORIENTED_EDGE('',*,*,#27866,.T.); #16870=ORIENTED_EDGE('',*,*,#27793,.F.); #16871=ORIENTED_EDGE('',*,*,#27867,.T.); #16872=ORIENTED_EDGE('',*,*,#27844,.F.); #16873=ORIENTED_EDGE('',*,*,#27868,.T.); #16874=ORIENTED_EDGE('',*,*,#27821,.F.); #16875=ORIENTED_EDGE('',*,*,#27869,.T.); #16876=ORIENTED_EDGE('',*,*,#27800,.F.); #16877=ORIENTED_EDGE('',*,*,#27870,.T.); #16878=ORIENTED_EDGE('',*,*,#27835,.F.); #16879=ORIENTED_EDGE('',*,*,#27864,.F.); #16880=ORIENTED_EDGE('',*,*,#27785,.F.); #16881=ORIENTED_EDGE('',*,*,#27862,.F.); #16882=ORIENTED_EDGE('',*,*,#27871,.T.); #16883=ORIENTED_EDGE('',*,*,#27827,.F.); #16884=ORIENTED_EDGE('',*,*,#27872,.T.); #16885=ORIENTED_EDGE('',*,*,#27859,.F.); #16886=ORIENTED_EDGE('',*,*,#27607,.F.); #16887=ORIENTED_EDGE('',*,*,#27866,.F.); #16888=ORIENTED_EDGE('',*,*,#27794,.F.); #16889=ORIENTED_EDGE('',*,*,#27868,.F.); #16890=ORIENTED_EDGE('',*,*,#27843,.F.); #16891=ORIENTED_EDGE('',*,*,#27873,.T.); #16892=ORIENTED_EDGE('',*,*,#27854,.F.); #16893=ORIENTED_EDGE('',*,*,#27799,.F.); #16894=ORIENTED_EDGE('',*,*,#27857,.F.); #16895=ORIENTED_EDGE('',*,*,#27874,.T.); #16896=ORIENTED_EDGE('',*,*,#27816,.F.); #16897=ORIENTED_EDGE('',*,*,#27870,.F.); #16898=ORIENTED_EDGE('',*,*,#27829,.F.); #16899=ORIENTED_EDGE('',*,*,#27865,.F.); #16900=ORIENTED_EDGE('',*,*,#27605,.F.); #16901=ORIENTED_EDGE('',*,*,#27747,.F.); #16902=ORIENTED_EDGE('',*,*,#27787,.F.); #16903=ORIENTED_EDGE('',*,*,#27863,.F.); #16904=ORIENTED_EDGE('',*,*,#27839,.F.); #16905=ORIENTED_EDGE('',*,*,#27767,.F.); #16906=ORIENTED_EDGE('',*,*,#27845,.F.); #16907=ORIENTED_EDGE('',*,*,#27867,.F.); #16908=ORIENTED_EDGE('',*,*,#27792,.F.); #16909=ORIENTED_EDGE('',*,*,#27771,.F.); #16910=ORIENTED_EDGE('',*,*,#27801,.F.); #16911=ORIENTED_EDGE('',*,*,#27869,.F.); #16912=ORIENTED_EDGE('',*,*,#27820,.F.); #16913=ORIENTED_EDGE('',*,*,#27776,.F.); #16914=ORIENTED_EDGE('',*,*,#27847,.F.); #16915=ORIENTED_EDGE('',*,*,#27813,.F.); #16916=ORIENTED_EDGE('',*,*,#27855,.F.); #16917=ORIENTED_EDGE('',*,*,#27873,.F.); #16918=ORIENTED_EDGE('',*,*,#27842,.F.); #16919=ORIENTED_EDGE('',*,*,#27852,.F.); #16920=ORIENTED_EDGE('',*,*,#27817,.F.); #16921=ORIENTED_EDGE('',*,*,#27874,.F.); #16922=ORIENTED_EDGE('',*,*,#27856,.F.); #16923=ORIENTED_EDGE('',*,*,#27815,.F.); #16924=ORIENTED_EDGE('',*,*,#27851,.F.); #16925=ORIENTED_EDGE('',*,*,#27824,.F.); #16926=ORIENTED_EDGE('',*,*,#27778,.F.); #16927=ORIENTED_EDGE('',*,*,#27819,.F.); #16928=ORIENTED_EDGE('',*,*,#27850,.F.); #16929=ORIENTED_EDGE('',*,*,#27832,.F.); #16930=ORIENTED_EDGE('',*,*,#27860,.F.); #16931=ORIENTED_EDGE('',*,*,#27872,.F.); #16932=ORIENTED_EDGE('',*,*,#27826,.F.); #16933=ORIENTED_EDGE('',*,*,#27849,.F.); #16934=ORIENTED_EDGE('',*,*,#27836,.F.); #16935=ORIENTED_EDGE('',*,*,#27871,.F.); #16936=ORIENTED_EDGE('',*,*,#27861,.F.); #16937=ORIENTED_EDGE('',*,*,#27834,.F.); #16938=ORIENTED_EDGE('',*,*,#27848,.F.); #16939=ORIENTED_EDGE('',*,*,#27846,.F.); #16940=ORIENTED_EDGE('',*,*,#27769,.F.); #16941=ORIENTED_EDGE('',*,*,#27838,.F.); #16942=ORIENTED_EDGE('',*,*,#27808,.F.); #16943=ORIENTED_EDGE('',*,*,#27781,.F.); #16944=ORIENTED_EDGE('',*,*,#27765,.F.); #16945=ORIENTED_EDGE('',*,*,#27791,.F.); #16946=ORIENTED_EDGE('',*,*,#27807,.F.); #16947=ORIENTED_EDGE('',*,*,#27609,.F.); #16948=ORIENTED_EDGE('',*,*,#27858,.F.); #16949=ORIENTED_EDGE('',*,*,#27783,.F.); #16950=ORIENTED_EDGE('',*,*,#27758,.F.); #16951=ORIENTED_EDGE('',*,*,#27796,.F.); #16952=ORIENTED_EDGE('',*,*,#27853,.F.); #16953=ORIENTED_EDGE('',*,*,#27797,.F.); #16954=ORIENTED_EDGE('',*,*,#27760,.F.); #16955=ORIENTED_EDGE('',*,*,#27802,.F.); #16956=ORIENTED_EDGE('',*,*,#27774,.F.); #16957=ORIENTED_EDGE('',*,*,#27631,.F.); #16958=ORIENTED_EDGE('',*,*,#27875,.F.); #16959=ORIENTED_EDGE('',*,*,#27876,.T.); #16960=ORIENTED_EDGE('',*,*,#27761,.T.); #16961=ORIENTED_EDGE('',*,*,#27629,.T.); #16962=ORIENTED_EDGE('',*,*,#27877,.F.); #16963=ORIENTED_EDGE('',*,*,#27616,.F.); #16964=ORIENTED_EDGE('',*,*,#27878,.T.); #16965=ORIENTED_EDGE('',*,*,#27879,.T.); #16966=ORIENTED_EDGE('',*,*,#27880,.T.); #16967=ORIENTED_EDGE('',*,*,#27612,.F.); #16968=ORIENTED_EDGE('',*,*,#27742,.F.); #16969=ORIENTED_EDGE('',*,*,#27881,.T.); #16970=ORIENTED_EDGE('',*,*,#27882,.T.); #16971=ORIENTED_EDGE('',*,*,#27883,.T.); #16972=ORIENTED_EDGE('',*,*,#27884,.F.); #16973=ORIENTED_EDGE('',*,*,#27788,.T.); #16974=ORIENTED_EDGE('',*,*,#27738,.T.); #16975=ORIENTED_EDGE('',*,*,#27885,.T.); #16976=ORIENTED_EDGE('',*,*,#27886,.T.); #16977=ORIENTED_EDGE('',*,*,#27887,.T.); #16978=ORIENTED_EDGE('',*,*,#27875,.T.); #16979=ORIENTED_EDGE('',*,*,#27628,.F.); #16980=ORIENTED_EDGE('',*,*,#27888,.T.); #16981=ORIENTED_EDGE('',*,*,#27889,.T.); #16982=ORIENTED_EDGE('',*,*,#27890,.T.); #16983=ORIENTED_EDGE('',*,*,#27624,.F.); #16984=ORIENTED_EDGE('',*,*,#27891,.T.); #16985=ORIENTED_EDGE('',*,*,#27892,.T.); #16986=ORIENTED_EDGE('',*,*,#27893,.T.); #16987=ORIENTED_EDGE('',*,*,#27620,.F.); #16988=ORIENTED_EDGE('',*,*,#27894,.T.); #16989=ORIENTED_EDGE('',*,*,#27895,.T.); #16990=ORIENTED_EDGE('',*,*,#27896,.T.); #16991=ORIENTED_EDGE('',*,*,#27884,.T.); #16992=ORIENTED_EDGE('',*,*,#27897,.T.); #16993=ORIENTED_EDGE('',*,*,#27803,.F.); #16994=ORIENTED_EDGE('',*,*,#27789,.T.); #16995=ORIENTED_EDGE('',*,*,#27898,.T.); #16996=ORIENTED_EDGE('',*,*,#27877,.T.); #16997=ORIENTED_EDGE('',*,*,#27898,.F.); #16998=ORIENTED_EDGE('',*,*,#27749,.F.); #16999=ORIENTED_EDGE('',*,*,#27698,.T.); #17000=ORIENTED_EDGE('',*,*,#27716,.T.); #17001=ORIENTED_EDGE('',*,*,#27899,.F.); #17002=ORIENTED_EDGE('',*,*,#27683,.F.); #17003=ORIENTED_EDGE('',*,*,#27715,.T.); #17004=ORIENTED_EDGE('',*,*,#27900,.T.); #17005=ORIENTED_EDGE('',*,*,#27901,.T.); #17006=ORIENTED_EDGE('',*,*,#27684,.F.); #17007=ORIENTED_EDGE('',*,*,#27899,.T.); #17008=ORIENTED_EDGE('',*,*,#27902,.T.); #17009=ORIENTED_EDGE('',*,*,#27903,.T.); #17010=ORIENTED_EDGE('',*,*,#27904,.T.); #17011=ORIENTED_EDGE('',*,*,#27905,.F.); #17012=ORIENTED_EDGE('',*,*,#27906,.F.); #17013=ORIENTED_EDGE('',*,*,#27907,.T.); #17014=ORIENTED_EDGE('',*,*,#27905,.T.); #17015=ORIENTED_EDGE('',*,*,#27908,.T.); #17016=ORIENTED_EDGE('',*,*,#27909,.T.); #17017=ORIENTED_EDGE('',*,*,#27910,.T.); #17018=ORIENTED_EDGE('',*,*,#27753,.T.); #17019=ORIENTED_EDGE('',*,*,#27911,.T.); #17020=ORIENTED_EDGE('',*,*,#27912,.T.); #17021=ORIENTED_EDGE('',*,*,#27913,.T.); #17022=ORIENTED_EDGE('',*,*,#27914,.T.); #17023=ORIENTED_EDGE('',*,*,#27915,.T.); #17024=ORIENTED_EDGE('',*,*,#27688,.T.); #17025=ORIENTED_EDGE('',*,*,#27725,.T.); #17026=ORIENTED_EDGE('',*,*,#27916,.F.); #17027=ORIENTED_EDGE('',*,*,#27917,.F.); #17028=ORIENTED_EDGE('',*,*,#27918,.F.); #17029=ORIENTED_EDGE('',*,*,#27919,.T.); #17030=ORIENTED_EDGE('',*,*,#27720,.T.); #17031=ORIENTED_EDGE('',*,*,#27920,.T.); #17032=ORIENTED_EDGE('',*,*,#27674,.F.); #17033=ORIENTED_EDGE('',*,*,#27921,.T.); #17034=ORIENTED_EDGE('',*,*,#27711,.T.); #17035=ORIENTED_EDGE('',*,*,#27754,.T.); #17036=ORIENTED_EDGE('',*,*,#27910,.F.); #17037=ORIENTED_EDGE('',*,*,#27752,.T.); #17038=ORIENTED_EDGE('',*,*,#27922,.T.); #17039=ORIENTED_EDGE('',*,*,#27923,.T.); #17040=ORIENTED_EDGE('',*,*,#27911,.F.); #17041=ORIENTED_EDGE('',*,*,#27924,.T.); #17042=ORIENTED_EDGE('',*,*,#27925,.T.); #17043=ORIENTED_EDGE('',*,*,#27926,.T.); #17044=ORIENTED_EDGE('',*,*,#27913,.F.); #17045=ORIENTED_EDGE('',*,*,#27719,.T.); #17046=ORIENTED_EDGE('',*,*,#27731,.T.); #17047=ORIENTED_EDGE('',*,*,#27675,.F.); #17048=ORIENTED_EDGE('',*,*,#27920,.F.); #17049=ORIENTED_EDGE('',*,*,#27673,.F.); #17050=ORIENTED_EDGE('',*,*,#27729,.T.); #17051=ORIENTED_EDGE('',*,*,#27721,.T.); #17052=ORIENTED_EDGE('',*,*,#27919,.F.); #17053=ORIENTED_EDGE('',*,*,#27927,.T.); #17054=ORIENTED_EDGE('',*,*,#27928,.T.); #17055=ORIENTED_EDGE('',*,*,#27914,.F.); #17056=ORIENTED_EDGE('',*,*,#27926,.F.); #17057=ORIENTED_EDGE('',*,*,#27923,.F.); #17058=ORIENTED_EDGE('',*,*,#27929,.T.); #17059=ORIENTED_EDGE('',*,*,#27924,.F.); #17060=ORIENTED_EDGE('',*,*,#27912,.F.); #17061=ORIENTED_EDGE('',*,*,#27909,.F.); #17062=ORIENTED_EDGE('',*,*,#27930,.T.); #17063=ORIENTED_EDGE('',*,*,#27712,.T.); #17064=ORIENTED_EDGE('',*,*,#27921,.F.); #17065=ORIENTED_EDGE('',*,*,#27705,.F.); #17066=ORIENTED_EDGE('',*,*,#27931,.T.); #17067=ORIENTED_EDGE('',*,*,#27932,.T.); #17068=ORIENTED_EDGE('',*,*,#27933,.T.); #17069=ORIENTED_EDGE('',*,*,#27690,.F.); #17070=ORIENTED_EDGE('',*,*,#27934,.T.); #17071=ORIENTED_EDGE('',*,*,#27927,.F.); #17072=ORIENTED_EDGE('',*,*,#27925,.F.); #17073=ORIENTED_EDGE('',*,*,#27929,.F.); #17074=ORIENTED_EDGE('',*,*,#27922,.F.); #17075=ORIENTED_EDGE('',*,*,#27751,.F.); #17076=ORIENTED_EDGE('',*,*,#27935,.T.); #17077=ORIENTED_EDGE('',*,*,#27936,.T.); #17078=ORIENTED_EDGE('',*,*,#27937,.F.); #17079=ORIENTED_EDGE('',*,*,#27938,.T.); #17080=ORIENTED_EDGE('',*,*,#27932,.F.); #17081=ORIENTED_EDGE('',*,*,#27939,.T.); #17082=ORIENTED_EDGE('',*,*,#27940,.T.); #17083=ORIENTED_EDGE('',*,*,#27707,.T.); #17084=ORIENTED_EDGE('',*,*,#27941,.T.); #17085=ORIENTED_EDGE('',*,*,#27937,.T.); #17086=ORIENTED_EDGE('',*,*,#27748,.F.); #17087=ORIENTED_EDGE('',*,*,#27941,.F.); #17088=ORIENTED_EDGE('',*,*,#27706,.T.); #17089=ORIENTED_EDGE('',*,*,#27933,.F.); #17090=ORIENTED_EDGE('',*,*,#27938,.F.); #17091=ORIENTED_EDGE('',*,*,#27936,.F.); #17092=ORIENTED_EDGE('',*,*,#27942,.T.); #17093=ORIENTED_EDGE('',*,*,#27708,.T.); #17094=ORIENTED_EDGE('',*,*,#27940,.F.); #17095=ORIENTED_EDGE('',*,*,#27943,.F.); #17096=ORIENTED_EDGE('',*,*,#27713,.F.); #17097=ORIENTED_EDGE('',*,*,#27930,.F.); #17098=ORIENTED_EDGE('',*,*,#27908,.F.); #17099=ORIENTED_EDGE('',*,*,#27904,.F.); #17100=ORIENTED_EDGE('',*,*,#27944,.F.); #17101=ORIENTED_EDGE('',*,*,#27902,.F.); #17102=ORIENTED_EDGE('',*,*,#27907,.F.); #17103=ORIENTED_EDGE('',*,*,#27945,.F.); #17104=ORIENTED_EDGE('',*,*,#27946,.F.); #17105=ORIENTED_EDGE('',*,*,#27947,.F.); #17106=ORIENTED_EDGE('',*,*,#27685,.F.); #17107=ORIENTED_EDGE('',*,*,#27901,.F.); #17108=ORIENTED_EDGE('',*,*,#27935,.F.); #17109=ORIENTED_EDGE('',*,*,#27750,.F.); #17110=ORIENTED_EDGE('',*,*,#27709,.F.); #17111=ORIENTED_EDGE('',*,*,#27942,.F.); #17112=ORIENTED_EDGE('',*,*,#27915,.F.); #17113=ORIENTED_EDGE('',*,*,#27928,.F.); #17114=ORIENTED_EDGE('',*,*,#27934,.F.); #17115=ORIENTED_EDGE('',*,*,#27689,.F.); #17116=ORIENTED_EDGE('',*,*,#27704,.F.); #17117=ORIENTED_EDGE('',*,*,#27691,.F.); #17118=ORIENTED_EDGE('',*,*,#27939,.F.); #17119=ORIENTED_EDGE('',*,*,#27931,.F.); #17120=ORIENTED_EDGE('',*,*,#27626,.T.); #17121=ORIENTED_EDGE('',*,*,#27948,.T.); #17122=ORIENTED_EDGE('',*,*,#27889,.F.); #17123=ORIENTED_EDGE('',*,*,#27949,.T.); #17124=ORIENTED_EDGE('',*,*,#27622,.T.); #17125=ORIENTED_EDGE('',*,*,#27950,.T.); #17126=ORIENTED_EDGE('',*,*,#27892,.F.); #17127=ORIENTED_EDGE('',*,*,#27951,.T.); #17128=ORIENTED_EDGE('',*,*,#27618,.T.); #17129=ORIENTED_EDGE('',*,*,#27952,.T.); #17130=ORIENTED_EDGE('',*,*,#27895,.F.); #17131=ORIENTED_EDGE('',*,*,#27953,.T.); #17132=ORIENTED_EDGE('',*,*,#27614,.T.); #17133=ORIENTED_EDGE('',*,*,#27954,.T.); #17134=ORIENTED_EDGE('',*,*,#27879,.F.); #17135=ORIENTED_EDGE('',*,*,#27955,.T.); #17136=ORIENTED_EDGE('',*,*,#27954,.F.); #17137=ORIENTED_EDGE('',*,*,#27613,.F.); #17138=ORIENTED_EDGE('',*,*,#27880,.F.); #17139=ORIENTED_EDGE('',*,*,#27952,.F.); #17140=ORIENTED_EDGE('',*,*,#27617,.F.); #17141=ORIENTED_EDGE('',*,*,#27896,.F.); #17142=ORIENTED_EDGE('',*,*,#27950,.F.); #17143=ORIENTED_EDGE('',*,*,#27621,.F.); #17144=ORIENTED_EDGE('',*,*,#27893,.F.); #17145=ORIENTED_EDGE('',*,*,#27948,.F.); #17146=ORIENTED_EDGE('',*,*,#27625,.F.); #17147=ORIENTED_EDGE('',*,*,#27890,.F.); #17148=ORIENTED_EDGE('',*,*,#27615,.F.); #17149=ORIENTED_EDGE('',*,*,#27955,.F.); #17150=ORIENTED_EDGE('',*,*,#27878,.F.); #17151=ORIENTED_EDGE('',*,*,#27619,.F.); #17152=ORIENTED_EDGE('',*,*,#27953,.F.); #17153=ORIENTED_EDGE('',*,*,#27894,.F.); #17154=ORIENTED_EDGE('',*,*,#27623,.F.); #17155=ORIENTED_EDGE('',*,*,#27951,.F.); #17156=ORIENTED_EDGE('',*,*,#27891,.F.); #17157=ORIENTED_EDGE('',*,*,#27627,.F.); #17158=ORIENTED_EDGE('',*,*,#27949,.F.); #17159=ORIENTED_EDGE('',*,*,#27888,.F.); #17160=ORIENTED_EDGE('',*,*,#27744,.T.); #17161=ORIENTED_EDGE('',*,*,#27956,.T.); #17162=ORIENTED_EDGE('',*,*,#27957,.F.); #17163=ORIENTED_EDGE('',*,*,#27958,.T.); #17164=ORIENTED_EDGE('',*,*,#27822,.T.); #17165=ORIENTED_EDGE('',*,*,#27959,.T.); #17166=ORIENTED_EDGE('',*,*,#27957,.T.); #17167=ORIENTED_EDGE('',*,*,#27960,.T.); #17168=ORIENTED_EDGE('',*,*,#27602,.F.); #17169=ORIENTED_EDGE('',*,*,#27961,.T.); #17170=ORIENTED_EDGE('',*,*,#27962,.F.); #17171=ORIENTED_EDGE('',*,*,#27963,.T.); #17172=ORIENTED_EDGE('',*,*,#27962,.T.); #17173=ORIENTED_EDGE('',*,*,#27964,.T.); #17174=ORIENTED_EDGE('',*,*,#27772,.F.); #17175=ORIENTED_EDGE('',*,*,#27965,.T.); #17176=ORIENTED_EDGE('',*,*,#27773,.F.); #17177=ORIENTED_EDGE('',*,*,#27964,.F.); #17178=ORIENTED_EDGE('',*,*,#27961,.F.); #17179=ORIENTED_EDGE('',*,*,#27601,.F.); #17180=ORIENTED_EDGE('',*,*,#27780,.F.); #17181=ORIENTED_EDGE('',*,*,#27823,.F.); #17182=ORIENTED_EDGE('',*,*,#27963,.F.); #17183=ORIENTED_EDGE('',*,*,#27965,.F.); #17184=ORIENTED_EDGE('',*,*,#27743,.F.); #17185=ORIENTED_EDGE('',*,*,#27603,.F.); #17186=ORIENTED_EDGE('',*,*,#27960,.F.); #17187=ORIENTED_EDGE('',*,*,#27956,.F.); #17188=ORIENTED_EDGE('',*,*,#27745,.F.); #17189=ORIENTED_EDGE('',*,*,#27958,.F.); #17190=ORIENTED_EDGE('',*,*,#27959,.F.); #17191=ORIENTED_EDGE('',*,*,#27830,.F.); #17192=ORIENTED_EDGE('',*,*,#27805,.T.); #17193=ORIENTED_EDGE('',*,*,#27966,.T.); #17194=ORIENTED_EDGE('',*,*,#27882,.F.); #17195=ORIENTED_EDGE('',*,*,#27967,.T.); #17196=ORIENTED_EDGE('',*,*,#27886,.F.); #17197=ORIENTED_EDGE('',*,*,#27968,.T.); #17198=ORIENTED_EDGE('',*,*,#27755,.T.); #17199=ORIENTED_EDGE('',*,*,#27969,.T.); #17200=ORIENTED_EDGE('',*,*,#27883,.F.); #17201=ORIENTED_EDGE('',*,*,#27966,.F.); #17202=ORIENTED_EDGE('',*,*,#27804,.F.); #17203=ORIENTED_EDGE('',*,*,#27897,.F.); #17204=ORIENTED_EDGE('',*,*,#27885,.F.); #17205=ORIENTED_EDGE('',*,*,#27737,.F.); #17206=ORIENTED_EDGE('',*,*,#27756,.F.); #17207=ORIENTED_EDGE('',*,*,#27968,.F.); #17208=ORIENTED_EDGE('',*,*,#27887,.F.); #17209=ORIENTED_EDGE('',*,*,#27969,.F.); #17210=ORIENTED_EDGE('',*,*,#27762,.F.); #17211=ORIENTED_EDGE('',*,*,#27876,.F.); #17212=ORIENTED_EDGE('',*,*,#27881,.F.); #17213=ORIENTED_EDGE('',*,*,#27741,.F.); #17214=ORIENTED_EDGE('',*,*,#27806,.F.); #17215=ORIENTED_EDGE('',*,*,#27967,.F.); #17216=ORIENTED_EDGE('',*,*,#27717,.F.); #17217=ORIENTED_EDGE('',*,*,#27696,.T.); #17218=ORIENTED_EDGE('',*,*,#27735,.F.); #17219=ORIENTED_EDGE('',*,*,#27970,.T.); #17220=ORIENTED_EDGE('',*,*,#27732,.F.); #17221=ORIENTED_EDGE('',*,*,#27693,.T.); #17222=ORIENTED_EDGE('',*,*,#27730,.F.); #17223=ORIENTED_EDGE('',*,*,#27686,.T.); #17224=ORIENTED_EDGE('',*,*,#27703,.F.); #17225=ORIENTED_EDGE('',*,*,#27728,.F.); #17226=ORIENTED_EDGE('',*,*,#27700,.T.); #17227=ORIENTED_EDGE('',*,*,#27727,.F.); #17228=ORIENTED_EDGE('',*,*,#27971,.T.); #17229=ORIENTED_EDGE('',*,*,#27723,.F.); #17230=ORIENTED_EDGE('',*,*,#27943,.T.); #17231=ORIENTED_EDGE('',*,*,#27903,.F.); #17232=ORIENTED_EDGE('',*,*,#27944,.T.); #17233=ORIENTED_EDGE('',*,*,#27972,.F.); #17234=ORIENTED_EDGE('',*,*,#27946,.T.); #17235=ORIENTED_EDGE('',*,*,#27900,.F.); #17236=ORIENTED_EDGE('',*,*,#27714,.T.); #17237=ORIENTED_EDGE('',*,*,#27970,.F.); #17238=ORIENTED_EDGE('',*,*,#27734,.T.); #17239=ORIENTED_EDGE('',*,*,#27680,.T.); #17240=ORIENTED_EDGE('',*,*,#27973,.F.); #17241=ORIENTED_EDGE('',*,*,#27974,.F.); #17242=ORIENTED_EDGE('',*,*,#27975,.T.); #17243=ORIENTED_EDGE('',*,*,#27916,.T.); #17244=ORIENTED_EDGE('',*,*,#27724,.T.); #17245=ORIENTED_EDGE('',*,*,#27971,.F.); #17246=ORIENTED_EDGE('',*,*,#27726,.T.); #17247=ORIENTED_EDGE('',*,*,#27669,.T.); #17248=ORIENTED_EDGE('',*,*,#27733,.T.); #17249=ORIENTED_EDGE('',*,*,#27679,.T.); #17250=ORIENTED_EDGE('',*,*,#27947,.T.); #17251=ORIENTED_EDGE('',*,*,#27972,.T.); #17252=ORIENTED_EDGE('',*,*,#27945,.T.); #17253=ORIENTED_EDGE('',*,*,#27906,.T.); #17254=ORIENTED_EDGE('',*,*,#27976,.F.); #17255=ORIENTED_EDGE('',*,*,#27977,.F.); #17256=ORIENTED_EDGE('',*,*,#27973,.T.); #17257=ORIENTED_EDGE('',*,*,#27918,.T.); #17258=ORIENTED_EDGE('',*,*,#27978,.F.); #17259=ORIENTED_EDGE('',*,*,#27979,.F.); #17260=ORIENTED_EDGE('',*,*,#27976,.T.); #17261=ORIENTED_EDGE('',*,*,#27917,.T.); #17262=ORIENTED_EDGE('',*,*,#27975,.F.); #17263=ORIENTED_EDGE('',*,*,#27980,.F.); #17264=ORIENTED_EDGE('',*,*,#27978,.T.); #17265=ORIENTED_EDGE('',*,*,#27979,.T.); #17266=ORIENTED_EDGE('',*,*,#27980,.T.); #17267=ORIENTED_EDGE('',*,*,#27974,.T.); #17268=ORIENTED_EDGE('',*,*,#27977,.T.); #17269=ORIENTED_EDGE('',*,*,#27981,.F.); #17270=ORIENTED_EDGE('',*,*,#27982,.F.); #17271=ORIENTED_EDGE('',*,*,#27983,.T.); #17272=ORIENTED_EDGE('',*,*,#27984,.T.); #17273=ORIENTED_EDGE('',*,*,#27633,.F.); #17274=ORIENTED_EDGE('',*,*,#27985,.F.); #17275=ORIENTED_EDGE('',*,*,#27986,.T.); #17276=ORIENTED_EDGE('',*,*,#27987,.T.); #17277=ORIENTED_EDGE('',*,*,#27634,.F.); #17278=ORIENTED_EDGE('',*,*,#27987,.F.); #17279=ORIENTED_EDGE('',*,*,#27988,.T.); #17280=ORIENTED_EDGE('',*,*,#27989,.T.); #17281=ORIENTED_EDGE('',*,*,#27990,.T.); #17282=ORIENTED_EDGE('',*,*,#27991,.F.); #17283=ORIENTED_EDGE('',*,*,#27632,.F.); #17284=ORIENTED_EDGE('',*,*,#27989,.F.); #17285=ORIENTED_EDGE('',*,*,#27992,.T.); #17286=ORIENTED_EDGE('',*,*,#27985,.T.); #17287=ORIENTED_EDGE('',*,*,#27993,.F.); #17288=ORIENTED_EDGE('',*,*,#27984,.F.); #17289=ORIENTED_EDGE('',*,*,#27994,.T.); #17290=ORIENTED_EDGE('',*,*,#27982,.T.); #17291=ORIENTED_EDGE('',*,*,#27986,.F.); #17292=ORIENTED_EDGE('',*,*,#27992,.F.); #17293=ORIENTED_EDGE('',*,*,#27988,.F.); #17294=ORIENTED_EDGE('',*,*,#27990,.F.); #17295=ORIENTED_EDGE('',*,*,#27983,.F.); #17296=ORIENTED_EDGE('',*,*,#27994,.F.); #17297=ORIENTED_EDGE('',*,*,#27993,.T.); #17298=ORIENTED_EDGE('',*,*,#27981,.T.); #17299=ORIENTED_EDGE('',*,*,#27991,.T.); #17300=ORIENTED_EDGE('',*,*,#27595,.F.); #17301=ORIENTED_EDGE('',*,*,#27995,.F.); #17302=ORIENTED_EDGE('',*,*,#27996,.T.); #17303=ORIENTED_EDGE('',*,*,#27997,.T.); #17304=ORIENTED_EDGE('',*,*,#27596,.F.); #17305=ORIENTED_EDGE('',*,*,#27997,.F.); #17306=ORIENTED_EDGE('',*,*,#27998,.T.); #17307=ORIENTED_EDGE('',*,*,#27999,.T.); #17308=ORIENTED_EDGE('',*,*,#27597,.F.); #17309=ORIENTED_EDGE('',*,*,#27999,.F.); #17310=ORIENTED_EDGE('',*,*,#28000,.T.); #17311=ORIENTED_EDGE('',*,*,#28001,.T.); #17312=ORIENTED_EDGE('',*,*,#27598,.F.); #17313=ORIENTED_EDGE('',*,*,#28001,.F.); #17314=ORIENTED_EDGE('',*,*,#28002,.T.); #17315=ORIENTED_EDGE('',*,*,#28003,.T.); #17316=ORIENTED_EDGE('',*,*,#27599,.F.); #17317=ORIENTED_EDGE('',*,*,#28003,.F.); #17318=ORIENTED_EDGE('',*,*,#28004,.T.); #17319=ORIENTED_EDGE('',*,*,#28005,.T.); #17320=ORIENTED_EDGE('',*,*,#27594,.F.); #17321=ORIENTED_EDGE('',*,*,#28005,.F.); #17322=ORIENTED_EDGE('',*,*,#28006,.T.); #17323=ORIENTED_EDGE('',*,*,#27995,.T.); #17324=ORIENTED_EDGE('',*,*,#27996,.F.); #17325=ORIENTED_EDGE('',*,*,#28006,.F.); #17326=ORIENTED_EDGE('',*,*,#28004,.F.); #17327=ORIENTED_EDGE('',*,*,#28002,.F.); #17328=ORIENTED_EDGE('',*,*,#28000,.F.); #17329=ORIENTED_EDGE('',*,*,#27998,.F.); #17330=ORIENTED_EDGE('',*,*,#28007,.F.); #17331=ORIENTED_EDGE('',*,*,#28008,.F.); #17332=ORIENTED_EDGE('',*,*,#28009,.T.); #17333=ORIENTED_EDGE('',*,*,#28010,.T.); #17334=ORIENTED_EDGE('',*,*,#28011,.F.); #17335=ORIENTED_EDGE('',*,*,#28010,.F.); #17336=ORIENTED_EDGE('',*,*,#28012,.T.); #17337=ORIENTED_EDGE('',*,*,#28013,.T.); #17338=ORIENTED_EDGE('',*,*,#28014,.F.); #17339=ORIENTED_EDGE('',*,*,#28013,.F.); #17340=ORIENTED_EDGE('',*,*,#28015,.T.); #17341=ORIENTED_EDGE('',*,*,#28016,.T.); #17342=ORIENTED_EDGE('',*,*,#27636,.F.); #17343=ORIENTED_EDGE('',*,*,#28017,.F.); #17344=ORIENTED_EDGE('',*,*,#28018,.T.); #17345=ORIENTED_EDGE('',*,*,#28019,.T.); #17346=ORIENTED_EDGE('',*,*,#27637,.F.); #17347=ORIENTED_EDGE('',*,*,#28019,.F.); #17348=ORIENTED_EDGE('',*,*,#28020,.T.); #17349=ORIENTED_EDGE('',*,*,#28021,.T.); #17350=ORIENTED_EDGE('',*,*,#27638,.F.); #17351=ORIENTED_EDGE('',*,*,#28021,.F.); #17352=ORIENTED_EDGE('',*,*,#28022,.T.); #17353=ORIENTED_EDGE('',*,*,#28023,.T.); #17354=ORIENTED_EDGE('',*,*,#27639,.F.); #17355=ORIENTED_EDGE('',*,*,#28023,.F.); #17356=ORIENTED_EDGE('',*,*,#28024,.T.); #17357=ORIENTED_EDGE('',*,*,#28025,.T.); #17358=ORIENTED_EDGE('',*,*,#27635,.F.); #17359=ORIENTED_EDGE('',*,*,#28025,.F.); #17360=ORIENTED_EDGE('',*,*,#28026,.T.); #17361=ORIENTED_EDGE('',*,*,#28017,.T.); #17362=ORIENTED_EDGE('',*,*,#28027,.F.); #17363=ORIENTED_EDGE('',*,*,#28016,.F.); #17364=ORIENTED_EDGE('',*,*,#28028,.T.); #17365=ORIENTED_EDGE('',*,*,#28008,.T.); #17366=ORIENTED_EDGE('',*,*,#28018,.F.); #17367=ORIENTED_EDGE('',*,*,#28026,.F.); #17368=ORIENTED_EDGE('',*,*,#28024,.F.); #17369=ORIENTED_EDGE('',*,*,#28022,.F.); #17370=ORIENTED_EDGE('',*,*,#28020,.F.); #17371=ORIENTED_EDGE('',*,*,#28009,.F.); #17372=ORIENTED_EDGE('',*,*,#28028,.F.); #17373=ORIENTED_EDGE('',*,*,#28015,.F.); #17374=ORIENTED_EDGE('',*,*,#28012,.F.); #17375=ORIENTED_EDGE('',*,*,#28027,.T.); #17376=ORIENTED_EDGE('',*,*,#28007,.T.); #17377=ORIENTED_EDGE('',*,*,#28011,.T.); #17378=ORIENTED_EDGE('',*,*,#28014,.T.); #17379=ORIENTED_EDGE('',*,*,#27591,.F.); #17380=ORIENTED_EDGE('',*,*,#28029,.F.); #17381=ORIENTED_EDGE('',*,*,#28030,.T.); #17382=ORIENTED_EDGE('',*,*,#28031,.T.); #17383=ORIENTED_EDGE('',*,*,#27592,.F.); #17384=ORIENTED_EDGE('',*,*,#28031,.F.); #17385=ORIENTED_EDGE('',*,*,#28032,.T.); #17386=ORIENTED_EDGE('',*,*,#28033,.T.); #17387=ORIENTED_EDGE('',*,*,#27593,.F.); #17388=ORIENTED_EDGE('',*,*,#28033,.F.); #17389=ORIENTED_EDGE('',*,*,#28034,.T.); #17390=ORIENTED_EDGE('',*,*,#28035,.T.); #17391=ORIENTED_EDGE('',*,*,#27590,.F.); #17392=ORIENTED_EDGE('',*,*,#28035,.F.); #17393=ORIENTED_EDGE('',*,*,#28036,.T.); #17394=ORIENTED_EDGE('',*,*,#28029,.T.); #17395=ORIENTED_EDGE('',*,*,#28037,.T.); #17396=ORIENTED_EDGE('',*,*,#28038,.F.); #17397=ORIENTED_EDGE('',*,*,#28030,.F.); #17398=ORIENTED_EDGE('',*,*,#28036,.F.); #17399=ORIENTED_EDGE('',*,*,#28034,.F.); #17400=ORIENTED_EDGE('',*,*,#28032,.F.); #17401=ORIENTED_EDGE('',*,*,#28037,.F.); #17402=ORIENTED_EDGE('',*,*,#28038,.T.); #17403=ORIENTED_EDGE('',*,*,#27642,.F.); #17404=ORIENTED_EDGE('',*,*,#28039,.F.); #17405=ORIENTED_EDGE('',*,*,#28040,.T.); #17406=ORIENTED_EDGE('',*,*,#28041,.T.); #17407=ORIENTED_EDGE('',*,*,#27643,.F.); #17408=ORIENTED_EDGE('',*,*,#28041,.F.); #17409=ORIENTED_EDGE('',*,*,#28042,.T.); #17410=ORIENTED_EDGE('',*,*,#28043,.T.); #17411=ORIENTED_EDGE('',*,*,#27644,.F.); #17412=ORIENTED_EDGE('',*,*,#28043,.F.); #17413=ORIENTED_EDGE('',*,*,#28044,.T.); #17414=ORIENTED_EDGE('',*,*,#28045,.T.); #17415=ORIENTED_EDGE('',*,*,#27645,.F.); #17416=ORIENTED_EDGE('',*,*,#28045,.F.); #17417=ORIENTED_EDGE('',*,*,#28046,.T.); #17418=ORIENTED_EDGE('',*,*,#28047,.T.); #17419=ORIENTED_EDGE('',*,*,#27646,.F.); #17420=ORIENTED_EDGE('',*,*,#28047,.F.); #17421=ORIENTED_EDGE('',*,*,#28048,.T.); #17422=ORIENTED_EDGE('',*,*,#28049,.T.); #17423=ORIENTED_EDGE('',*,*,#27647,.F.); #17424=ORIENTED_EDGE('',*,*,#28049,.F.); #17425=ORIENTED_EDGE('',*,*,#28050,.T.); #17426=ORIENTED_EDGE('',*,*,#28051,.T.); #17427=ORIENTED_EDGE('',*,*,#27640,.F.); #17428=ORIENTED_EDGE('',*,*,#28051,.F.); #17429=ORIENTED_EDGE('',*,*,#28052,.T.); #17430=ORIENTED_EDGE('',*,*,#28053,.T.); #17431=ORIENTED_EDGE('',*,*,#27641,.F.); #17432=ORIENTED_EDGE('',*,*,#28053,.F.); #17433=ORIENTED_EDGE('',*,*,#28054,.T.); #17434=ORIENTED_EDGE('',*,*,#28039,.T.); #17435=ORIENTED_EDGE('',*,*,#28040,.F.); #17436=ORIENTED_EDGE('',*,*,#28054,.F.); #17437=ORIENTED_EDGE('',*,*,#28052,.F.); #17438=ORIENTED_EDGE('',*,*,#28050,.F.); #17439=ORIENTED_EDGE('',*,*,#28048,.F.); #17440=ORIENTED_EDGE('',*,*,#28046,.F.); #17441=ORIENTED_EDGE('',*,*,#28044,.F.); #17442=ORIENTED_EDGE('',*,*,#28042,.F.); #17443=ORIENTED_EDGE('',*,*,#28055,.F.); #17444=ORIENTED_EDGE('',*,*,#28056,.F.); #17445=ORIENTED_EDGE('',*,*,#28057,.T.); #17446=ORIENTED_EDGE('',*,*,#28058,.T.); #17447=ORIENTED_EDGE('',*,*,#28059,.F.); #17448=ORIENTED_EDGE('',*,*,#28058,.F.); #17449=ORIENTED_EDGE('',*,*,#28060,.T.); #17450=ORIENTED_EDGE('',*,*,#28061,.T.); #17451=ORIENTED_EDGE('',*,*,#27581,.F.); #17452=ORIENTED_EDGE('',*,*,#28062,.F.); #17453=ORIENTED_EDGE('',*,*,#28063,.T.); #17454=ORIENTED_EDGE('',*,*,#28064,.T.); #17455=ORIENTED_EDGE('',*,*,#27582,.F.); #17456=ORIENTED_EDGE('',*,*,#28064,.F.); #17457=ORIENTED_EDGE('',*,*,#28065,.T.); #17458=ORIENTED_EDGE('',*,*,#28066,.T.); #17459=ORIENTED_EDGE('',*,*,#27583,.F.); #17460=ORIENTED_EDGE('',*,*,#28066,.F.); #17461=ORIENTED_EDGE('',*,*,#28067,.T.); #17462=ORIENTED_EDGE('',*,*,#28068,.T.); #17463=ORIENTED_EDGE('',*,*,#27584,.F.); #17464=ORIENTED_EDGE('',*,*,#28068,.F.); #17465=ORIENTED_EDGE('',*,*,#28069,.T.); #17466=ORIENTED_EDGE('',*,*,#28070,.T.); #17467=ORIENTED_EDGE('',*,*,#27585,.F.); #17468=ORIENTED_EDGE('',*,*,#28070,.F.); #17469=ORIENTED_EDGE('',*,*,#28071,.T.); #17470=ORIENTED_EDGE('',*,*,#28072,.T.); #17471=ORIENTED_EDGE('',*,*,#27586,.F.); #17472=ORIENTED_EDGE('',*,*,#28072,.F.); #17473=ORIENTED_EDGE('',*,*,#28073,.T.); #17474=ORIENTED_EDGE('',*,*,#28074,.T.); #17475=ORIENTED_EDGE('',*,*,#27587,.F.); #17476=ORIENTED_EDGE('',*,*,#28074,.F.); #17477=ORIENTED_EDGE('',*,*,#28075,.T.); #17478=ORIENTED_EDGE('',*,*,#28076,.T.); #17479=ORIENTED_EDGE('',*,*,#27588,.F.); #17480=ORIENTED_EDGE('',*,*,#28076,.F.); #17481=ORIENTED_EDGE('',*,*,#28077,.T.); #17482=ORIENTED_EDGE('',*,*,#28078,.T.); #17483=ORIENTED_EDGE('',*,*,#27589,.F.); #17484=ORIENTED_EDGE('',*,*,#28078,.F.); #17485=ORIENTED_EDGE('',*,*,#28079,.T.); #17486=ORIENTED_EDGE('',*,*,#28080,.T.); #17487=ORIENTED_EDGE('',*,*,#27580,.F.); #17488=ORIENTED_EDGE('',*,*,#28080,.F.); #17489=ORIENTED_EDGE('',*,*,#28081,.T.); #17490=ORIENTED_EDGE('',*,*,#28062,.T.); #17491=ORIENTED_EDGE('',*,*,#28082,.F.); #17492=ORIENTED_EDGE('',*,*,#28061,.F.); #17493=ORIENTED_EDGE('',*,*,#28083,.T.); #17494=ORIENTED_EDGE('',*,*,#28056,.T.); #17495=ORIENTED_EDGE('',*,*,#28063,.F.); #17496=ORIENTED_EDGE('',*,*,#28081,.F.); #17497=ORIENTED_EDGE('',*,*,#28079,.F.); #17498=ORIENTED_EDGE('',*,*,#28077,.F.); #17499=ORIENTED_EDGE('',*,*,#28075,.F.); #17500=ORIENTED_EDGE('',*,*,#28073,.F.); #17501=ORIENTED_EDGE('',*,*,#28071,.F.); #17502=ORIENTED_EDGE('',*,*,#28069,.F.); #17503=ORIENTED_EDGE('',*,*,#28067,.F.); #17504=ORIENTED_EDGE('',*,*,#28065,.F.); #17505=ORIENTED_EDGE('',*,*,#28057,.F.); #17506=ORIENTED_EDGE('',*,*,#28083,.F.); #17507=ORIENTED_EDGE('',*,*,#28060,.F.); #17508=ORIENTED_EDGE('',*,*,#28082,.T.); #17509=ORIENTED_EDGE('',*,*,#28055,.T.); #17510=ORIENTED_EDGE('',*,*,#28059,.T.); #17511=ORIENTED_EDGE('',*,*,#28084,.F.); #17512=ORIENTED_EDGE('',*,*,#28085,.F.); #17513=ORIENTED_EDGE('',*,*,#28086,.T.); #17514=ORIENTED_EDGE('',*,*,#28087,.T.); #17515=ORIENTED_EDGE('',*,*,#28088,.T.); #17516=ORIENTED_EDGE('',*,*,#27648,.F.); #17517=ORIENTED_EDGE('',*,*,#28089,.F.); #17518=ORIENTED_EDGE('',*,*,#28087,.F.); #17519=ORIENTED_EDGE('',*,*,#28090,.T.); #17520=ORIENTED_EDGE('',*,*,#28085,.T.); #17521=ORIENTED_EDGE('',*,*,#28088,.F.); #17522=ORIENTED_EDGE('',*,*,#28086,.F.); #17523=ORIENTED_EDGE('',*,*,#28090,.F.); #17524=ORIENTED_EDGE('',*,*,#28089,.T.); #17525=ORIENTED_EDGE('',*,*,#28084,.T.); #17526=ORIENTED_EDGE('',*,*,#27571,.F.); #17527=ORIENTED_EDGE('',*,*,#28091,.F.); #17528=ORIENTED_EDGE('',*,*,#28092,.T.); #17529=ORIENTED_EDGE('',*,*,#28093,.T.); #17530=ORIENTED_EDGE('',*,*,#27572,.F.); #17531=ORIENTED_EDGE('',*,*,#28093,.F.); #17532=ORIENTED_EDGE('',*,*,#28094,.T.); #17533=ORIENTED_EDGE('',*,*,#28095,.T.); #17534=ORIENTED_EDGE('',*,*,#27573,.F.); #17535=ORIENTED_EDGE('',*,*,#28095,.F.); #17536=ORIENTED_EDGE('',*,*,#28096,.T.); #17537=ORIENTED_EDGE('',*,*,#28097,.T.); #17538=ORIENTED_EDGE('',*,*,#27574,.F.); #17539=ORIENTED_EDGE('',*,*,#28097,.F.); #17540=ORIENTED_EDGE('',*,*,#28098,.T.); #17541=ORIENTED_EDGE('',*,*,#28099,.T.); #17542=ORIENTED_EDGE('',*,*,#27575,.F.); #17543=ORIENTED_EDGE('',*,*,#28099,.F.); #17544=ORIENTED_EDGE('',*,*,#28100,.T.); #17545=ORIENTED_EDGE('',*,*,#28101,.T.); #17546=ORIENTED_EDGE('',*,*,#27576,.F.); #17547=ORIENTED_EDGE('',*,*,#28101,.F.); #17548=ORIENTED_EDGE('',*,*,#28102,.T.); #17549=ORIENTED_EDGE('',*,*,#28103,.T.); #17550=ORIENTED_EDGE('',*,*,#27577,.F.); #17551=ORIENTED_EDGE('',*,*,#28103,.F.); #17552=ORIENTED_EDGE('',*,*,#28104,.T.); #17553=ORIENTED_EDGE('',*,*,#28105,.T.); #17554=ORIENTED_EDGE('',*,*,#27578,.F.); #17555=ORIENTED_EDGE('',*,*,#28105,.F.); #17556=ORIENTED_EDGE('',*,*,#28106,.T.); #17557=ORIENTED_EDGE('',*,*,#28107,.T.); #17558=ORIENTED_EDGE('',*,*,#27579,.F.); #17559=ORIENTED_EDGE('',*,*,#28107,.F.); #17560=ORIENTED_EDGE('',*,*,#28108,.T.); #17561=ORIENTED_EDGE('',*,*,#28109,.T.); #17562=ORIENTED_EDGE('',*,*,#27570,.F.); #17563=ORIENTED_EDGE('',*,*,#28109,.F.); #17564=ORIENTED_EDGE('',*,*,#28110,.T.); #17565=ORIENTED_EDGE('',*,*,#28091,.T.); #17566=ORIENTED_EDGE('',*,*,#28092,.F.); #17567=ORIENTED_EDGE('',*,*,#28110,.F.); #17568=ORIENTED_EDGE('',*,*,#28108,.F.); #17569=ORIENTED_EDGE('',*,*,#28106,.F.); #17570=ORIENTED_EDGE('',*,*,#28104,.F.); #17571=ORIENTED_EDGE('',*,*,#28102,.F.); #17572=ORIENTED_EDGE('',*,*,#28100,.F.); #17573=ORIENTED_EDGE('',*,*,#28098,.F.); #17574=ORIENTED_EDGE('',*,*,#28096,.F.); #17575=ORIENTED_EDGE('',*,*,#28094,.F.); #17576=ORIENTED_EDGE('',*,*,#28111,.F.); #17577=ORIENTED_EDGE('',*,*,#28112,.F.); #17578=ORIENTED_EDGE('',*,*,#28113,.T.); #17579=ORIENTED_EDGE('',*,*,#28114,.T.); #17580=ORIENTED_EDGE('',*,*,#28115,.F.); #17581=ORIENTED_EDGE('',*,*,#28114,.F.); #17582=ORIENTED_EDGE('',*,*,#28116,.T.); #17583=ORIENTED_EDGE('',*,*,#28117,.T.); #17584=ORIENTED_EDGE('',*,*,#27650,.F.); #17585=ORIENTED_EDGE('',*,*,#28118,.F.); #17586=ORIENTED_EDGE('',*,*,#28119,.T.); #17587=ORIENTED_EDGE('',*,*,#28120,.T.); #17588=ORIENTED_EDGE('',*,*,#27651,.F.); #17589=ORIENTED_EDGE('',*,*,#28120,.F.); #17590=ORIENTED_EDGE('',*,*,#28121,.T.); #17591=ORIENTED_EDGE('',*,*,#28122,.T.); #17592=ORIENTED_EDGE('',*,*,#27652,.F.); #17593=ORIENTED_EDGE('',*,*,#28122,.F.); #17594=ORIENTED_EDGE('',*,*,#28123,.T.); #17595=ORIENTED_EDGE('',*,*,#28124,.T.); #17596=ORIENTED_EDGE('',*,*,#27653,.F.); #17597=ORIENTED_EDGE('',*,*,#28124,.F.); #17598=ORIENTED_EDGE('',*,*,#28125,.T.); #17599=ORIENTED_EDGE('',*,*,#28126,.T.); #17600=ORIENTED_EDGE('',*,*,#27654,.F.); #17601=ORIENTED_EDGE('',*,*,#28126,.F.); #17602=ORIENTED_EDGE('',*,*,#28127,.T.); #17603=ORIENTED_EDGE('',*,*,#28128,.T.); #17604=ORIENTED_EDGE('',*,*,#27655,.F.); #17605=ORIENTED_EDGE('',*,*,#28128,.F.); #17606=ORIENTED_EDGE('',*,*,#28129,.T.); #17607=ORIENTED_EDGE('',*,*,#28130,.T.); #17608=ORIENTED_EDGE('',*,*,#27656,.F.); #17609=ORIENTED_EDGE('',*,*,#28130,.F.); #17610=ORIENTED_EDGE('',*,*,#28131,.T.); #17611=ORIENTED_EDGE('',*,*,#28132,.T.); #17612=ORIENTED_EDGE('',*,*,#27657,.F.); #17613=ORIENTED_EDGE('',*,*,#28132,.F.); #17614=ORIENTED_EDGE('',*,*,#28133,.T.); #17615=ORIENTED_EDGE('',*,*,#28134,.T.); #17616=ORIENTED_EDGE('',*,*,#27658,.F.); #17617=ORIENTED_EDGE('',*,*,#28134,.F.); #17618=ORIENTED_EDGE('',*,*,#28135,.T.); #17619=ORIENTED_EDGE('',*,*,#28136,.T.); #17620=ORIENTED_EDGE('',*,*,#27649,.F.); #17621=ORIENTED_EDGE('',*,*,#28136,.F.); #17622=ORIENTED_EDGE('',*,*,#28137,.T.); #17623=ORIENTED_EDGE('',*,*,#28118,.T.); #17624=ORIENTED_EDGE('',*,*,#28138,.F.); #17625=ORIENTED_EDGE('',*,*,#28117,.F.); #17626=ORIENTED_EDGE('',*,*,#28139,.T.); #17627=ORIENTED_EDGE('',*,*,#28112,.T.); #17628=ORIENTED_EDGE('',*,*,#28119,.F.); #17629=ORIENTED_EDGE('',*,*,#28137,.F.); #17630=ORIENTED_EDGE('',*,*,#28135,.F.); #17631=ORIENTED_EDGE('',*,*,#28133,.F.); #17632=ORIENTED_EDGE('',*,*,#28131,.F.); #17633=ORIENTED_EDGE('',*,*,#28129,.F.); #17634=ORIENTED_EDGE('',*,*,#28127,.F.); #17635=ORIENTED_EDGE('',*,*,#28125,.F.); #17636=ORIENTED_EDGE('',*,*,#28123,.F.); #17637=ORIENTED_EDGE('',*,*,#28121,.F.); #17638=ORIENTED_EDGE('',*,*,#28113,.F.); #17639=ORIENTED_EDGE('',*,*,#28139,.F.); #17640=ORIENTED_EDGE('',*,*,#28116,.F.); #17641=ORIENTED_EDGE('',*,*,#28138,.T.); #17642=ORIENTED_EDGE('',*,*,#28111,.T.); #17643=ORIENTED_EDGE('',*,*,#28115,.T.); #17644=ORIENTED_EDGE('',*,*,#27558,.F.); #17645=ORIENTED_EDGE('',*,*,#28140,.F.); #17646=ORIENTED_EDGE('',*,*,#28141,.T.); #17647=ORIENTED_EDGE('',*,*,#28142,.T.); #17648=ORIENTED_EDGE('',*,*,#27559,.F.); #17649=ORIENTED_EDGE('',*,*,#28142,.F.); #17650=ORIENTED_EDGE('',*,*,#28143,.T.); #17651=ORIENTED_EDGE('',*,*,#28144,.T.); #17652=ORIENTED_EDGE('',*,*,#27560,.F.); #17653=ORIENTED_EDGE('',*,*,#28144,.F.); #17654=ORIENTED_EDGE('',*,*,#28145,.T.); #17655=ORIENTED_EDGE('',*,*,#28146,.T.); #17656=ORIENTED_EDGE('',*,*,#27561,.F.); #17657=ORIENTED_EDGE('',*,*,#28146,.F.); #17658=ORIENTED_EDGE('',*,*,#28147,.T.); #17659=ORIENTED_EDGE('',*,*,#28148,.T.); #17660=ORIENTED_EDGE('',*,*,#27562,.F.); #17661=ORIENTED_EDGE('',*,*,#28148,.F.); #17662=ORIENTED_EDGE('',*,*,#28149,.T.); #17663=ORIENTED_EDGE('',*,*,#28150,.T.); #17664=ORIENTED_EDGE('',*,*,#27563,.F.); #17665=ORIENTED_EDGE('',*,*,#28150,.F.); #17666=ORIENTED_EDGE('',*,*,#28151,.T.); #17667=ORIENTED_EDGE('',*,*,#28152,.T.); #17668=ORIENTED_EDGE('',*,*,#27564,.F.); #17669=ORIENTED_EDGE('',*,*,#28152,.F.); #17670=ORIENTED_EDGE('',*,*,#28153,.T.); #17671=ORIENTED_EDGE('',*,*,#28154,.T.); #17672=ORIENTED_EDGE('',*,*,#27565,.F.); #17673=ORIENTED_EDGE('',*,*,#28154,.F.); #17674=ORIENTED_EDGE('',*,*,#28155,.T.); #17675=ORIENTED_EDGE('',*,*,#28156,.T.); #17676=ORIENTED_EDGE('',*,*,#27566,.F.); #17677=ORIENTED_EDGE('',*,*,#28156,.F.); #17678=ORIENTED_EDGE('',*,*,#28157,.T.); #17679=ORIENTED_EDGE('',*,*,#28158,.T.); #17680=ORIENTED_EDGE('',*,*,#27567,.F.); #17681=ORIENTED_EDGE('',*,*,#28158,.F.); #17682=ORIENTED_EDGE('',*,*,#28159,.T.); #17683=ORIENTED_EDGE('',*,*,#28160,.T.); #17684=ORIENTED_EDGE('',*,*,#27568,.F.); #17685=ORIENTED_EDGE('',*,*,#28160,.F.); #17686=ORIENTED_EDGE('',*,*,#28161,.T.); #17687=ORIENTED_EDGE('',*,*,#28162,.T.); #17688=ORIENTED_EDGE('',*,*,#27569,.F.); #17689=ORIENTED_EDGE('',*,*,#28162,.F.); #17690=ORIENTED_EDGE('',*,*,#28163,.T.); #17691=ORIENTED_EDGE('',*,*,#28164,.T.); #17692=ORIENTED_EDGE('',*,*,#27557,.F.); #17693=ORIENTED_EDGE('',*,*,#28164,.F.); #17694=ORIENTED_EDGE('',*,*,#28165,.T.); #17695=ORIENTED_EDGE('',*,*,#28140,.T.); #17696=ORIENTED_EDGE('',*,*,#28141,.F.); #17697=ORIENTED_EDGE('',*,*,#28165,.F.); #17698=ORIENTED_EDGE('',*,*,#28163,.F.); #17699=ORIENTED_EDGE('',*,*,#28161,.F.); #17700=ORIENTED_EDGE('',*,*,#28159,.F.); #17701=ORIENTED_EDGE('',*,*,#28157,.F.); #17702=ORIENTED_EDGE('',*,*,#28155,.F.); #17703=ORIENTED_EDGE('',*,*,#28153,.F.); #17704=ORIENTED_EDGE('',*,*,#28151,.F.); #17705=ORIENTED_EDGE('',*,*,#28149,.F.); #17706=ORIENTED_EDGE('',*,*,#28147,.F.); #17707=ORIENTED_EDGE('',*,*,#28145,.F.); #17708=ORIENTED_EDGE('',*,*,#28143,.F.); #17709=ORIENTED_EDGE('',*,*,#28166,.F.); #17710=ORIENTED_EDGE('',*,*,#28167,.F.); #17711=ORIENTED_EDGE('',*,*,#28168,.T.); #17712=ORIENTED_EDGE('',*,*,#28169,.T.); #17713=ORIENTED_EDGE('',*,*,#27660,.F.); #17714=ORIENTED_EDGE('',*,*,#28170,.F.); #17715=ORIENTED_EDGE('',*,*,#28171,.T.); #17716=ORIENTED_EDGE('',*,*,#28172,.T.); #17717=ORIENTED_EDGE('',*,*,#27659,.F.); #17718=ORIENTED_EDGE('',*,*,#28172,.F.); #17719=ORIENTED_EDGE('',*,*,#28173,.T.); #17720=ORIENTED_EDGE('',*,*,#28170,.T.); #17721=ORIENTED_EDGE('',*,*,#28174,.F.); #17722=ORIENTED_EDGE('',*,*,#28169,.F.); #17723=ORIENTED_EDGE('',*,*,#28175,.T.); #17724=ORIENTED_EDGE('',*,*,#28167,.T.); #17725=ORIENTED_EDGE('',*,*,#28171,.F.); #17726=ORIENTED_EDGE('',*,*,#28173,.F.); #17727=ORIENTED_EDGE('',*,*,#28168,.F.); #17728=ORIENTED_EDGE('',*,*,#28175,.F.); #17729=ORIENTED_EDGE('',*,*,#28174,.T.); #17730=ORIENTED_EDGE('',*,*,#28166,.T.); #17731=ORIENTED_EDGE('',*,*,#27550,.F.); #17732=ORIENTED_EDGE('',*,*,#28176,.F.); #17733=ORIENTED_EDGE('',*,*,#28177,.T.); #17734=ORIENTED_EDGE('',*,*,#28178,.T.); #17735=ORIENTED_EDGE('',*,*,#27551,.F.); #17736=ORIENTED_EDGE('',*,*,#28178,.F.); #17737=ORIENTED_EDGE('',*,*,#28179,.T.); #17738=ORIENTED_EDGE('',*,*,#28180,.T.); #17739=ORIENTED_EDGE('',*,*,#27552,.F.); #17740=ORIENTED_EDGE('',*,*,#28180,.F.); #17741=ORIENTED_EDGE('',*,*,#28181,.T.); #17742=ORIENTED_EDGE('',*,*,#28182,.T.); #17743=ORIENTED_EDGE('',*,*,#27553,.F.); #17744=ORIENTED_EDGE('',*,*,#28182,.F.); #17745=ORIENTED_EDGE('',*,*,#28183,.T.); #17746=ORIENTED_EDGE('',*,*,#28184,.T.); #17747=ORIENTED_EDGE('',*,*,#27554,.F.); #17748=ORIENTED_EDGE('',*,*,#28184,.F.); #17749=ORIENTED_EDGE('',*,*,#28185,.T.); #17750=ORIENTED_EDGE('',*,*,#28186,.T.); #17751=ORIENTED_EDGE('',*,*,#27555,.F.); #17752=ORIENTED_EDGE('',*,*,#28186,.F.); #17753=ORIENTED_EDGE('',*,*,#28187,.T.); #17754=ORIENTED_EDGE('',*,*,#28188,.T.); #17755=ORIENTED_EDGE('',*,*,#27556,.F.); #17756=ORIENTED_EDGE('',*,*,#28188,.F.); #17757=ORIENTED_EDGE('',*,*,#28189,.T.); #17758=ORIENTED_EDGE('',*,*,#28190,.T.); #17759=ORIENTED_EDGE('',*,*,#27549,.F.); #17760=ORIENTED_EDGE('',*,*,#28190,.F.); #17761=ORIENTED_EDGE('',*,*,#28191,.T.); #17762=ORIENTED_EDGE('',*,*,#28176,.T.); #17763=ORIENTED_EDGE('',*,*,#28177,.F.); #17764=ORIENTED_EDGE('',*,*,#28191,.F.); #17765=ORIENTED_EDGE('',*,*,#28189,.F.); #17766=ORIENTED_EDGE('',*,*,#28187,.F.); #17767=ORIENTED_EDGE('',*,*,#28185,.F.); #17768=ORIENTED_EDGE('',*,*,#28183,.F.); #17769=ORIENTED_EDGE('',*,*,#28181,.F.); #17770=ORIENTED_EDGE('',*,*,#28179,.F.); #17771=ORIENTED_EDGE('',*,*,#28192,.F.); #17772=ORIENTED_EDGE('',*,*,#28193,.F.); #17773=ORIENTED_EDGE('',*,*,#28194,.T.); #17774=ORIENTED_EDGE('',*,*,#28195,.T.); #17775=ORIENTED_EDGE('',*,*,#28196,.F.); #17776=ORIENTED_EDGE('',*,*,#28195,.F.); #17777=ORIENTED_EDGE('',*,*,#28197,.T.); #17778=ORIENTED_EDGE('',*,*,#28198,.T.); #17779=ORIENTED_EDGE('',*,*,#27662,.F.); #17780=ORIENTED_EDGE('',*,*,#28199,.F.); #17781=ORIENTED_EDGE('',*,*,#28200,.T.); #17782=ORIENTED_EDGE('',*,*,#28201,.T.); #17783=ORIENTED_EDGE('',*,*,#27663,.F.); #17784=ORIENTED_EDGE('',*,*,#28201,.F.); #17785=ORIENTED_EDGE('',*,*,#28202,.T.); #17786=ORIENTED_EDGE('',*,*,#28203,.T.); #17787=ORIENTED_EDGE('',*,*,#27664,.F.); #17788=ORIENTED_EDGE('',*,*,#28203,.F.); #17789=ORIENTED_EDGE('',*,*,#28204,.T.); #17790=ORIENTED_EDGE('',*,*,#28205,.T.); #17791=ORIENTED_EDGE('',*,*,#27665,.F.); #17792=ORIENTED_EDGE('',*,*,#28205,.F.); #17793=ORIENTED_EDGE('',*,*,#28206,.T.); #17794=ORIENTED_EDGE('',*,*,#28207,.T.); #17795=ORIENTED_EDGE('',*,*,#27666,.F.); #17796=ORIENTED_EDGE('',*,*,#28207,.F.); #17797=ORIENTED_EDGE('',*,*,#28208,.T.); #17798=ORIENTED_EDGE('',*,*,#28209,.T.); #17799=ORIENTED_EDGE('',*,*,#27667,.F.); #17800=ORIENTED_EDGE('',*,*,#28209,.F.); #17801=ORIENTED_EDGE('',*,*,#28210,.T.); #17802=ORIENTED_EDGE('',*,*,#28211,.T.); #17803=ORIENTED_EDGE('',*,*,#27668,.F.); #17804=ORIENTED_EDGE('',*,*,#28211,.F.); #17805=ORIENTED_EDGE('',*,*,#28212,.T.); #17806=ORIENTED_EDGE('',*,*,#28213,.T.); #17807=ORIENTED_EDGE('',*,*,#27661,.F.); #17808=ORIENTED_EDGE('',*,*,#28213,.F.); #17809=ORIENTED_EDGE('',*,*,#28214,.T.); #17810=ORIENTED_EDGE('',*,*,#28199,.T.); #17811=ORIENTED_EDGE('',*,*,#28215,.F.); #17812=ORIENTED_EDGE('',*,*,#28198,.F.); #17813=ORIENTED_EDGE('',*,*,#28216,.T.); #17814=ORIENTED_EDGE('',*,*,#28193,.T.); #17815=ORIENTED_EDGE('',*,*,#28200,.F.); #17816=ORIENTED_EDGE('',*,*,#28214,.F.); #17817=ORIENTED_EDGE('',*,*,#28212,.F.); #17818=ORIENTED_EDGE('',*,*,#28210,.F.); #17819=ORIENTED_EDGE('',*,*,#28208,.F.); #17820=ORIENTED_EDGE('',*,*,#28206,.F.); #17821=ORIENTED_EDGE('',*,*,#28204,.F.); #17822=ORIENTED_EDGE('',*,*,#28202,.F.); #17823=ORIENTED_EDGE('',*,*,#28194,.F.); #17824=ORIENTED_EDGE('',*,*,#28216,.F.); #17825=ORIENTED_EDGE('',*,*,#28197,.F.); #17826=ORIENTED_EDGE('',*,*,#28215,.T.); #17827=ORIENTED_EDGE('',*,*,#28192,.T.); #17828=ORIENTED_EDGE('',*,*,#28196,.T.); #17829=ORIENTED_EDGE('',*,*,#28217,.T.); #17830=ORIENTED_EDGE('',*,*,#28218,.T.); #17831=ORIENTED_EDGE('',*,*,#28219,.T.); #17832=ORIENTED_EDGE('',*,*,#28220,.T.); #17833=ORIENTED_EDGE('',*,*,#28221,.T.); #17834=ORIENTED_EDGE('',*,*,#28222,.F.); #17835=ORIENTED_EDGE('',*,*,#28223,.F.); #17836=ORIENTED_EDGE('',*,*,#28224,.F.); #17837=ORIENTED_EDGE('',*,*,#28225,.T.); #17838=ORIENTED_EDGE('',*,*,#28226,.T.); #17839=ORIENTED_EDGE('',*,*,#28227,.F.); #17840=ORIENTED_EDGE('',*,*,#28228,.T.); #17841=ORIENTED_EDGE('',*,*,#28229,.F.); #17842=ORIENTED_EDGE('',*,*,#28219,.F.); #17843=ORIENTED_EDGE('',*,*,#28230,.T.); #17844=ORIENTED_EDGE('',*,*,#28231,.T.); #17845=ORIENTED_EDGE('',*,*,#28232,.F.); #17846=ORIENTED_EDGE('',*,*,#28217,.F.); #17847=ORIENTED_EDGE('',*,*,#28229,.T.); #17848=ORIENTED_EDGE('',*,*,#28233,.T.); #17849=ORIENTED_EDGE('',*,*,#28230,.F.); #17850=ORIENTED_EDGE('',*,*,#28218,.F.); #17851=ORIENTED_EDGE('',*,*,#28232,.T.); #17852=ORIENTED_EDGE('',*,*,#28234,.T.); #17853=ORIENTED_EDGE('',*,*,#28235,.T.); #17854=ORIENTED_EDGE('',*,*,#28223,.T.); #17855=ORIENTED_EDGE('',*,*,#28236,.T.); #17856=ORIENTED_EDGE('',*,*,#28237,.F.); #17857=ORIENTED_EDGE('',*,*,#28221,.F.); #17858=ORIENTED_EDGE('',*,*,#28238,.F.); #17859=ORIENTED_EDGE('',*,*,#28239,.F.); #17860=ORIENTED_EDGE('',*,*,#28222,.T.); #17861=ORIENTED_EDGE('',*,*,#28237,.T.); #17862=ORIENTED_EDGE('',*,*,#28240,.F.); #17863=ORIENTED_EDGE('',*,*,#28236,.F.); #17864=ORIENTED_EDGE('',*,*,#28241,.T.); #17865=ORIENTED_EDGE('',*,*,#28242,.F.); #17866=ORIENTED_EDGE('',*,*,#28243,.F.); #17867=ORIENTED_EDGE('',*,*,#28244,.T.); #17868=ORIENTED_EDGE('',*,*,#28245,.T.); #17869=ORIENTED_EDGE('',*,*,#28244,.F.); #17870=ORIENTED_EDGE('',*,*,#28246,.F.); #17871=ORIENTED_EDGE('',*,*,#28247,.T.); #17872=ORIENTED_EDGE('',*,*,#28248,.T.); #17873=ORIENTED_EDGE('',*,*,#28247,.F.); #17874=ORIENTED_EDGE('',*,*,#28249,.F.); #17875=ORIENTED_EDGE('',*,*,#28250,.T.); #17876=ORIENTED_EDGE('',*,*,#28251,.T.); #17877=ORIENTED_EDGE('',*,*,#28250,.F.); #17878=ORIENTED_EDGE('',*,*,#28252,.F.); #17879=ORIENTED_EDGE('',*,*,#28253,.T.); #17880=ORIENTED_EDGE('',*,*,#28254,.T.); #17881=ORIENTED_EDGE('',*,*,#28253,.F.); #17882=ORIENTED_EDGE('',*,*,#28255,.F.); #17883=ORIENTED_EDGE('',*,*,#28256,.T.); #17884=ORIENTED_EDGE('',*,*,#28257,.T.); #17885=ORIENTED_EDGE('',*,*,#28256,.F.); #17886=ORIENTED_EDGE('',*,*,#28258,.F.); #17887=ORIENTED_EDGE('',*,*,#28259,.T.); #17888=ORIENTED_EDGE('',*,*,#28260,.T.); #17889=ORIENTED_EDGE('',*,*,#28259,.F.); #17890=ORIENTED_EDGE('',*,*,#28261,.F.); #17891=ORIENTED_EDGE('',*,*,#28262,.T.); #17892=ORIENTED_EDGE('',*,*,#28263,.T.); #17893=ORIENTED_EDGE('',*,*,#28262,.F.); #17894=ORIENTED_EDGE('',*,*,#28264,.F.); #17895=ORIENTED_EDGE('',*,*,#28265,.T.); #17896=ORIENTED_EDGE('',*,*,#28266,.T.); #17897=ORIENTED_EDGE('',*,*,#28265,.F.); #17898=ORIENTED_EDGE('',*,*,#28267,.F.); #17899=ORIENTED_EDGE('',*,*,#28268,.T.); #17900=ORIENTED_EDGE('',*,*,#28269,.F.); #17901=ORIENTED_EDGE('',*,*,#28270,.F.); #17902=ORIENTED_EDGE('',*,*,#28242,.T.); #17903=ORIENTED_EDGE('',*,*,#28271,.T.); #17904=ORIENTED_EDGE('',*,*,#28272,.T.); #17905=ORIENTED_EDGE('',*,*,#28273,.F.); #17906=ORIENTED_EDGE('',*,*,#28274,.F.); #17907=ORIENTED_EDGE('',*,*,#28275,.T.); #17908=ORIENTED_EDGE('',*,*,#28276,.T.); #17909=ORIENTED_EDGE('',*,*,#28275,.F.); #17910=ORIENTED_EDGE('',*,*,#28277,.F.); #17911=ORIENTED_EDGE('',*,*,#28278,.T.); #17912=ORIENTED_EDGE('',*,*,#28279,.T.); #17913=ORIENTED_EDGE('',*,*,#28278,.F.); #17914=ORIENTED_EDGE('',*,*,#28280,.F.); #17915=ORIENTED_EDGE('',*,*,#28281,.T.); #17916=ORIENTED_EDGE('',*,*,#28282,.T.); #17917=ORIENTED_EDGE('',*,*,#28281,.F.); #17918=ORIENTED_EDGE('',*,*,#28283,.F.); #17919=ORIENTED_EDGE('',*,*,#28284,.T.); #17920=ORIENTED_EDGE('',*,*,#28285,.T.); #17921=ORIENTED_EDGE('',*,*,#28284,.F.); #17922=ORIENTED_EDGE('',*,*,#28286,.F.); #17923=ORIENTED_EDGE('',*,*,#28287,.T.); #17924=ORIENTED_EDGE('',*,*,#28288,.T.); #17925=ORIENTED_EDGE('',*,*,#28287,.F.); #17926=ORIENTED_EDGE('',*,*,#28289,.F.); #17927=ORIENTED_EDGE('',*,*,#28290,.T.); #17928=ORIENTED_EDGE('',*,*,#28291,.T.); #17929=ORIENTED_EDGE('',*,*,#28290,.F.); #17930=ORIENTED_EDGE('',*,*,#28292,.F.); #17931=ORIENTED_EDGE('',*,*,#28293,.T.); #17932=ORIENTED_EDGE('',*,*,#28294,.T.); #17933=ORIENTED_EDGE('',*,*,#28293,.F.); #17934=ORIENTED_EDGE('',*,*,#28295,.F.); #17935=ORIENTED_EDGE('',*,*,#28296,.T.); #17936=ORIENTED_EDGE('',*,*,#28297,.T.); #17937=ORIENTED_EDGE('',*,*,#28296,.F.); #17938=ORIENTED_EDGE('',*,*,#28298,.F.); #17939=ORIENTED_EDGE('',*,*,#28299,.T.); #17940=ORIENTED_EDGE('',*,*,#28300,.F.); #17941=ORIENTED_EDGE('',*,*,#28301,.F.); #17942=ORIENTED_EDGE('',*,*,#28273,.T.); #17943=ORIENTED_EDGE('',*,*,#28302,.T.); #17944=ORIENTED_EDGE('',*,*,#28303,.T.); #17945=ORIENTED_EDGE('',*,*,#28304,.F.); #17946=ORIENTED_EDGE('',*,*,#28305,.F.); #17947=ORIENTED_EDGE('',*,*,#28306,.T.); #17948=ORIENTED_EDGE('',*,*,#28307,.T.); #17949=ORIENTED_EDGE('',*,*,#28306,.F.); #17950=ORIENTED_EDGE('',*,*,#28308,.F.); #17951=ORIENTED_EDGE('',*,*,#28309,.T.); #17952=ORIENTED_EDGE('',*,*,#28310,.T.); #17953=ORIENTED_EDGE('',*,*,#28309,.F.); #17954=ORIENTED_EDGE('',*,*,#28311,.F.); #17955=ORIENTED_EDGE('',*,*,#28312,.T.); #17956=ORIENTED_EDGE('',*,*,#28313,.T.); #17957=ORIENTED_EDGE('',*,*,#28312,.F.); #17958=ORIENTED_EDGE('',*,*,#28314,.F.); #17959=ORIENTED_EDGE('',*,*,#28315,.T.); #17960=ORIENTED_EDGE('',*,*,#28316,.T.); #17961=ORIENTED_EDGE('',*,*,#28315,.F.); #17962=ORIENTED_EDGE('',*,*,#28317,.F.); #17963=ORIENTED_EDGE('',*,*,#28318,.T.); #17964=ORIENTED_EDGE('',*,*,#28319,.T.); #17965=ORIENTED_EDGE('',*,*,#28318,.F.); #17966=ORIENTED_EDGE('',*,*,#28320,.F.); #17967=ORIENTED_EDGE('',*,*,#28321,.T.); #17968=ORIENTED_EDGE('',*,*,#28322,.T.); #17969=ORIENTED_EDGE('',*,*,#28321,.F.); #17970=ORIENTED_EDGE('',*,*,#28323,.F.); #17971=ORIENTED_EDGE('',*,*,#28324,.T.); #17972=ORIENTED_EDGE('',*,*,#28325,.T.); #17973=ORIENTED_EDGE('',*,*,#28324,.F.); #17974=ORIENTED_EDGE('',*,*,#28326,.F.); #17975=ORIENTED_EDGE('',*,*,#28327,.T.); #17976=ORIENTED_EDGE('',*,*,#28328,.T.); #17977=ORIENTED_EDGE('',*,*,#28327,.F.); #17978=ORIENTED_EDGE('',*,*,#28329,.F.); #17979=ORIENTED_EDGE('',*,*,#28330,.T.); #17980=ORIENTED_EDGE('',*,*,#28331,.F.); #17981=ORIENTED_EDGE('',*,*,#28332,.F.); #17982=ORIENTED_EDGE('',*,*,#28304,.T.); #17983=ORIENTED_EDGE('',*,*,#28333,.T.); #17984=ORIENTED_EDGE('',*,*,#28334,.T.); #17985=ORIENTED_EDGE('',*,*,#28335,.F.); #17986=ORIENTED_EDGE('',*,*,#28336,.F.); #17987=ORIENTED_EDGE('',*,*,#28337,.T.); #17988=ORIENTED_EDGE('',*,*,#28338,.T.); #17989=ORIENTED_EDGE('',*,*,#28337,.F.); #17990=ORIENTED_EDGE('',*,*,#28339,.F.); #17991=ORIENTED_EDGE('',*,*,#28340,.T.); #17992=ORIENTED_EDGE('',*,*,#28341,.T.); #17993=ORIENTED_EDGE('',*,*,#28340,.F.); #17994=ORIENTED_EDGE('',*,*,#28342,.F.); #17995=ORIENTED_EDGE('',*,*,#28343,.T.); #17996=ORIENTED_EDGE('',*,*,#28344,.T.); #17997=ORIENTED_EDGE('',*,*,#28343,.F.); #17998=ORIENTED_EDGE('',*,*,#28345,.F.); #17999=ORIENTED_EDGE('',*,*,#28346,.T.); #18000=ORIENTED_EDGE('',*,*,#28347,.T.); #18001=ORIENTED_EDGE('',*,*,#28346,.F.); #18002=ORIENTED_EDGE('',*,*,#28348,.F.); #18003=ORIENTED_EDGE('',*,*,#28349,.T.); #18004=ORIENTED_EDGE('',*,*,#28350,.T.); #18005=ORIENTED_EDGE('',*,*,#28349,.F.); #18006=ORIENTED_EDGE('',*,*,#28351,.F.); #18007=ORIENTED_EDGE('',*,*,#28352,.T.); #18008=ORIENTED_EDGE('',*,*,#28353,.T.); #18009=ORIENTED_EDGE('',*,*,#28352,.F.); #18010=ORIENTED_EDGE('',*,*,#28354,.F.); #18011=ORIENTED_EDGE('',*,*,#28355,.T.); #18012=ORIENTED_EDGE('',*,*,#28356,.T.); #18013=ORIENTED_EDGE('',*,*,#28355,.F.); #18014=ORIENTED_EDGE('',*,*,#28357,.F.); #18015=ORIENTED_EDGE('',*,*,#28358,.T.); #18016=ORIENTED_EDGE('',*,*,#28359,.T.); #18017=ORIENTED_EDGE('',*,*,#28358,.F.); #18018=ORIENTED_EDGE('',*,*,#28360,.F.); #18019=ORIENTED_EDGE('',*,*,#28361,.T.); #18020=ORIENTED_EDGE('',*,*,#28362,.F.); #18021=ORIENTED_EDGE('',*,*,#28363,.F.); #18022=ORIENTED_EDGE('',*,*,#28335,.T.); #18023=ORIENTED_EDGE('',*,*,#28364,.T.); #18024=ORIENTED_EDGE('',*,*,#28365,.T.); #18025=ORIENTED_EDGE('',*,*,#28366,.F.); #18026=ORIENTED_EDGE('',*,*,#28367,.F.); #18027=ORIENTED_EDGE('',*,*,#28368,.T.); #18028=ORIENTED_EDGE('',*,*,#28369,.T.); #18029=ORIENTED_EDGE('',*,*,#28368,.F.); #18030=ORIENTED_EDGE('',*,*,#28370,.F.); #18031=ORIENTED_EDGE('',*,*,#28371,.T.); #18032=ORIENTED_EDGE('',*,*,#28372,.T.); #18033=ORIENTED_EDGE('',*,*,#28371,.F.); #18034=ORIENTED_EDGE('',*,*,#28373,.F.); #18035=ORIENTED_EDGE('',*,*,#28374,.T.); #18036=ORIENTED_EDGE('',*,*,#28375,.T.); #18037=ORIENTED_EDGE('',*,*,#28374,.F.); #18038=ORIENTED_EDGE('',*,*,#28376,.F.); #18039=ORIENTED_EDGE('',*,*,#28377,.T.); #18040=ORIENTED_EDGE('',*,*,#28378,.T.); #18041=ORIENTED_EDGE('',*,*,#28377,.F.); #18042=ORIENTED_EDGE('',*,*,#28379,.F.); #18043=ORIENTED_EDGE('',*,*,#28380,.T.); #18044=ORIENTED_EDGE('',*,*,#28381,.T.); #18045=ORIENTED_EDGE('',*,*,#28380,.F.); #18046=ORIENTED_EDGE('',*,*,#28382,.F.); #18047=ORIENTED_EDGE('',*,*,#28383,.T.); #18048=ORIENTED_EDGE('',*,*,#28384,.T.); #18049=ORIENTED_EDGE('',*,*,#28383,.F.); #18050=ORIENTED_EDGE('',*,*,#28385,.F.); #18051=ORIENTED_EDGE('',*,*,#28386,.T.); #18052=ORIENTED_EDGE('',*,*,#28387,.T.); #18053=ORIENTED_EDGE('',*,*,#28386,.F.); #18054=ORIENTED_EDGE('',*,*,#28388,.F.); #18055=ORIENTED_EDGE('',*,*,#28389,.T.); #18056=ORIENTED_EDGE('',*,*,#28390,.T.); #18057=ORIENTED_EDGE('',*,*,#28389,.F.); #18058=ORIENTED_EDGE('',*,*,#28391,.F.); #18059=ORIENTED_EDGE('',*,*,#28392,.T.); #18060=ORIENTED_EDGE('',*,*,#28393,.F.); #18061=ORIENTED_EDGE('',*,*,#28394,.F.); #18062=ORIENTED_EDGE('',*,*,#28366,.T.); #18063=ORIENTED_EDGE('',*,*,#28395,.T.); #18064=ORIENTED_EDGE('',*,*,#28396,.T.); #18065=ORIENTED_EDGE('',*,*,#28397,.F.); #18066=ORIENTED_EDGE('',*,*,#28398,.F.); #18067=ORIENTED_EDGE('',*,*,#28399,.T.); #18068=ORIENTED_EDGE('',*,*,#28400,.T.); #18069=ORIENTED_EDGE('',*,*,#28399,.F.); #18070=ORIENTED_EDGE('',*,*,#28401,.F.); #18071=ORIENTED_EDGE('',*,*,#28402,.T.); #18072=ORIENTED_EDGE('',*,*,#28403,.T.); #18073=ORIENTED_EDGE('',*,*,#28402,.F.); #18074=ORIENTED_EDGE('',*,*,#28404,.F.); #18075=ORIENTED_EDGE('',*,*,#28405,.T.); #18076=ORIENTED_EDGE('',*,*,#28406,.T.); #18077=ORIENTED_EDGE('',*,*,#28405,.F.); #18078=ORIENTED_EDGE('',*,*,#28407,.F.); #18079=ORIENTED_EDGE('',*,*,#28408,.T.); #18080=ORIENTED_EDGE('',*,*,#28409,.T.); #18081=ORIENTED_EDGE('',*,*,#28408,.F.); #18082=ORIENTED_EDGE('',*,*,#28410,.F.); #18083=ORIENTED_EDGE('',*,*,#28411,.T.); #18084=ORIENTED_EDGE('',*,*,#28412,.T.); #18085=ORIENTED_EDGE('',*,*,#28411,.F.); #18086=ORIENTED_EDGE('',*,*,#28413,.F.); #18087=ORIENTED_EDGE('',*,*,#28414,.T.); #18088=ORIENTED_EDGE('',*,*,#28415,.T.); #18089=ORIENTED_EDGE('',*,*,#28414,.F.); #18090=ORIENTED_EDGE('',*,*,#28416,.F.); #18091=ORIENTED_EDGE('',*,*,#28417,.T.); #18092=ORIENTED_EDGE('',*,*,#28418,.T.); #18093=ORIENTED_EDGE('',*,*,#28417,.F.); #18094=ORIENTED_EDGE('',*,*,#28419,.F.); #18095=ORIENTED_EDGE('',*,*,#28420,.T.); #18096=ORIENTED_EDGE('',*,*,#28421,.T.); #18097=ORIENTED_EDGE('',*,*,#28420,.F.); #18098=ORIENTED_EDGE('',*,*,#28422,.F.); #18099=ORIENTED_EDGE('',*,*,#28423,.T.); #18100=ORIENTED_EDGE('',*,*,#28424,.F.); #18101=ORIENTED_EDGE('',*,*,#28425,.F.); #18102=ORIENTED_EDGE('',*,*,#28397,.T.); #18103=ORIENTED_EDGE('',*,*,#28426,.T.); #18104=ORIENTED_EDGE('',*,*,#28427,.T.); #18105=ORIENTED_EDGE('',*,*,#28428,.F.); #18106=ORIENTED_EDGE('',*,*,#28429,.F.); #18107=ORIENTED_EDGE('',*,*,#28430,.T.); #18108=ORIENTED_EDGE('',*,*,#28431,.T.); #18109=ORIENTED_EDGE('',*,*,#28430,.F.); #18110=ORIENTED_EDGE('',*,*,#28432,.F.); #18111=ORIENTED_EDGE('',*,*,#28433,.T.); #18112=ORIENTED_EDGE('',*,*,#28434,.T.); #18113=ORIENTED_EDGE('',*,*,#28433,.F.); #18114=ORIENTED_EDGE('',*,*,#28435,.F.); #18115=ORIENTED_EDGE('',*,*,#28436,.T.); #18116=ORIENTED_EDGE('',*,*,#28437,.T.); #18117=ORIENTED_EDGE('',*,*,#28436,.F.); #18118=ORIENTED_EDGE('',*,*,#28438,.F.); #18119=ORIENTED_EDGE('',*,*,#28439,.T.); #18120=ORIENTED_EDGE('',*,*,#28440,.T.); #18121=ORIENTED_EDGE('',*,*,#28439,.F.); #18122=ORIENTED_EDGE('',*,*,#28441,.F.); #18123=ORIENTED_EDGE('',*,*,#28442,.T.); #18124=ORIENTED_EDGE('',*,*,#28443,.T.); #18125=ORIENTED_EDGE('',*,*,#28442,.F.); #18126=ORIENTED_EDGE('',*,*,#28444,.F.); #18127=ORIENTED_EDGE('',*,*,#28445,.T.); #18128=ORIENTED_EDGE('',*,*,#28446,.T.); #18129=ORIENTED_EDGE('',*,*,#28445,.F.); #18130=ORIENTED_EDGE('',*,*,#28447,.F.); #18131=ORIENTED_EDGE('',*,*,#28448,.T.); #18132=ORIENTED_EDGE('',*,*,#28449,.T.); #18133=ORIENTED_EDGE('',*,*,#28448,.F.); #18134=ORIENTED_EDGE('',*,*,#28450,.F.); #18135=ORIENTED_EDGE('',*,*,#28451,.T.); #18136=ORIENTED_EDGE('',*,*,#28452,.T.); #18137=ORIENTED_EDGE('',*,*,#28451,.F.); #18138=ORIENTED_EDGE('',*,*,#28453,.F.); #18139=ORIENTED_EDGE('',*,*,#28454,.T.); #18140=ORIENTED_EDGE('',*,*,#28455,.F.); #18141=ORIENTED_EDGE('',*,*,#28456,.F.); #18142=ORIENTED_EDGE('',*,*,#28428,.T.); #18143=ORIENTED_EDGE('',*,*,#28457,.T.); #18144=ORIENTED_EDGE('',*,*,#28458,.T.); #18145=ORIENTED_EDGE('',*,*,#28459,.F.); #18146=ORIENTED_EDGE('',*,*,#28460,.F.); #18147=ORIENTED_EDGE('',*,*,#28461,.T.); #18148=ORIENTED_EDGE('',*,*,#28462,.T.); #18149=ORIENTED_EDGE('',*,*,#28461,.F.); #18150=ORIENTED_EDGE('',*,*,#28463,.F.); #18151=ORIENTED_EDGE('',*,*,#28464,.T.); #18152=ORIENTED_EDGE('',*,*,#28465,.T.); #18153=ORIENTED_EDGE('',*,*,#28464,.F.); #18154=ORIENTED_EDGE('',*,*,#28466,.F.); #18155=ORIENTED_EDGE('',*,*,#28467,.T.); #18156=ORIENTED_EDGE('',*,*,#28468,.T.); #18157=ORIENTED_EDGE('',*,*,#28467,.F.); #18158=ORIENTED_EDGE('',*,*,#28469,.F.); #18159=ORIENTED_EDGE('',*,*,#28470,.T.); #18160=ORIENTED_EDGE('',*,*,#28471,.T.); #18161=ORIENTED_EDGE('',*,*,#28470,.F.); #18162=ORIENTED_EDGE('',*,*,#28472,.F.); #18163=ORIENTED_EDGE('',*,*,#28473,.T.); #18164=ORIENTED_EDGE('',*,*,#28474,.T.); #18165=ORIENTED_EDGE('',*,*,#28473,.F.); #18166=ORIENTED_EDGE('',*,*,#28475,.F.); #18167=ORIENTED_EDGE('',*,*,#28476,.T.); #18168=ORIENTED_EDGE('',*,*,#28477,.T.); #18169=ORIENTED_EDGE('',*,*,#28476,.F.); #18170=ORIENTED_EDGE('',*,*,#28478,.F.); #18171=ORIENTED_EDGE('',*,*,#28479,.T.); #18172=ORIENTED_EDGE('',*,*,#28480,.T.); #18173=ORIENTED_EDGE('',*,*,#28479,.F.); #18174=ORIENTED_EDGE('',*,*,#28481,.F.); #18175=ORIENTED_EDGE('',*,*,#28482,.T.); #18176=ORIENTED_EDGE('',*,*,#28483,.T.); #18177=ORIENTED_EDGE('',*,*,#28482,.F.); #18178=ORIENTED_EDGE('',*,*,#28484,.F.); #18179=ORIENTED_EDGE('',*,*,#28485,.T.); #18180=ORIENTED_EDGE('',*,*,#28486,.F.); #18181=ORIENTED_EDGE('',*,*,#28487,.F.); #18182=ORIENTED_EDGE('',*,*,#28459,.T.); #18183=ORIENTED_EDGE('',*,*,#28488,.T.); #18184=ORIENTED_EDGE('',*,*,#28489,.T.); #18185=ORIENTED_EDGE('',*,*,#28490,.F.); #18186=ORIENTED_EDGE('',*,*,#28491,.F.); #18187=ORIENTED_EDGE('',*,*,#28492,.T.); #18188=ORIENTED_EDGE('',*,*,#28493,.T.); #18189=ORIENTED_EDGE('',*,*,#28492,.F.); #18190=ORIENTED_EDGE('',*,*,#28494,.F.); #18191=ORIENTED_EDGE('',*,*,#28495,.T.); #18192=ORIENTED_EDGE('',*,*,#28496,.T.); #18193=ORIENTED_EDGE('',*,*,#28495,.F.); #18194=ORIENTED_EDGE('',*,*,#28497,.F.); #18195=ORIENTED_EDGE('',*,*,#28498,.T.); #18196=ORIENTED_EDGE('',*,*,#28499,.T.); #18197=ORIENTED_EDGE('',*,*,#28498,.F.); #18198=ORIENTED_EDGE('',*,*,#28500,.F.); #18199=ORIENTED_EDGE('',*,*,#28501,.T.); #18200=ORIENTED_EDGE('',*,*,#28502,.T.); #18201=ORIENTED_EDGE('',*,*,#28501,.F.); #18202=ORIENTED_EDGE('',*,*,#28503,.F.); #18203=ORIENTED_EDGE('',*,*,#28504,.T.); #18204=ORIENTED_EDGE('',*,*,#28505,.T.); #18205=ORIENTED_EDGE('',*,*,#28504,.F.); #18206=ORIENTED_EDGE('',*,*,#28506,.F.); #18207=ORIENTED_EDGE('',*,*,#28507,.T.); #18208=ORIENTED_EDGE('',*,*,#28508,.T.); #18209=ORIENTED_EDGE('',*,*,#28507,.F.); #18210=ORIENTED_EDGE('',*,*,#28509,.F.); #18211=ORIENTED_EDGE('',*,*,#28510,.T.); #18212=ORIENTED_EDGE('',*,*,#28511,.T.); #18213=ORIENTED_EDGE('',*,*,#28510,.F.); #18214=ORIENTED_EDGE('',*,*,#28512,.F.); #18215=ORIENTED_EDGE('',*,*,#28513,.T.); #18216=ORIENTED_EDGE('',*,*,#28514,.T.); #18217=ORIENTED_EDGE('',*,*,#28513,.F.); #18218=ORIENTED_EDGE('',*,*,#28515,.F.); #18219=ORIENTED_EDGE('',*,*,#28516,.T.); #18220=ORIENTED_EDGE('',*,*,#28517,.F.); #18221=ORIENTED_EDGE('',*,*,#28518,.F.); #18222=ORIENTED_EDGE('',*,*,#28490,.T.); #18223=ORIENTED_EDGE('',*,*,#28519,.T.); #18224=ORIENTED_EDGE('',*,*,#28520,.T.); #18225=ORIENTED_EDGE('',*,*,#28521,.F.); #18226=ORIENTED_EDGE('',*,*,#28522,.F.); #18227=ORIENTED_EDGE('',*,*,#28523,.T.); #18228=ORIENTED_EDGE('',*,*,#28524,.T.); #18229=ORIENTED_EDGE('',*,*,#28523,.F.); #18230=ORIENTED_EDGE('',*,*,#28525,.F.); #18231=ORIENTED_EDGE('',*,*,#28526,.T.); #18232=ORIENTED_EDGE('',*,*,#28527,.T.); #18233=ORIENTED_EDGE('',*,*,#28526,.F.); #18234=ORIENTED_EDGE('',*,*,#28528,.F.); #18235=ORIENTED_EDGE('',*,*,#28529,.T.); #18236=ORIENTED_EDGE('',*,*,#28530,.T.); #18237=ORIENTED_EDGE('',*,*,#28529,.F.); #18238=ORIENTED_EDGE('',*,*,#28531,.F.); #18239=ORIENTED_EDGE('',*,*,#28532,.T.); #18240=ORIENTED_EDGE('',*,*,#28533,.T.); #18241=ORIENTED_EDGE('',*,*,#28532,.F.); #18242=ORIENTED_EDGE('',*,*,#28534,.F.); #18243=ORIENTED_EDGE('',*,*,#28535,.T.); #18244=ORIENTED_EDGE('',*,*,#28536,.T.); #18245=ORIENTED_EDGE('',*,*,#28535,.F.); #18246=ORIENTED_EDGE('',*,*,#28537,.F.); #18247=ORIENTED_EDGE('',*,*,#28538,.T.); #18248=ORIENTED_EDGE('',*,*,#28539,.T.); #18249=ORIENTED_EDGE('',*,*,#28538,.F.); #18250=ORIENTED_EDGE('',*,*,#28540,.F.); #18251=ORIENTED_EDGE('',*,*,#28541,.T.); #18252=ORIENTED_EDGE('',*,*,#28542,.T.); #18253=ORIENTED_EDGE('',*,*,#28541,.F.); #18254=ORIENTED_EDGE('',*,*,#28543,.F.); #18255=ORIENTED_EDGE('',*,*,#28544,.T.); #18256=ORIENTED_EDGE('',*,*,#28545,.T.); #18257=ORIENTED_EDGE('',*,*,#28544,.F.); #18258=ORIENTED_EDGE('',*,*,#28546,.F.); #18259=ORIENTED_EDGE('',*,*,#28547,.T.); #18260=ORIENTED_EDGE('',*,*,#28548,.F.); #18261=ORIENTED_EDGE('',*,*,#28549,.F.); #18262=ORIENTED_EDGE('',*,*,#28521,.T.); #18263=ORIENTED_EDGE('',*,*,#28550,.T.); #18264=ORIENTED_EDGE('',*,*,#28551,.T.); #18265=ORIENTED_EDGE('',*,*,#28552,.F.); #18266=ORIENTED_EDGE('',*,*,#28553,.F.); #18267=ORIENTED_EDGE('',*,*,#28554,.T.); #18268=ORIENTED_EDGE('',*,*,#28555,.T.); #18269=ORIENTED_EDGE('',*,*,#28554,.F.); #18270=ORIENTED_EDGE('',*,*,#28556,.F.); #18271=ORIENTED_EDGE('',*,*,#28557,.T.); #18272=ORIENTED_EDGE('',*,*,#28558,.T.); #18273=ORIENTED_EDGE('',*,*,#28557,.F.); #18274=ORIENTED_EDGE('',*,*,#28559,.F.); #18275=ORIENTED_EDGE('',*,*,#28560,.T.); #18276=ORIENTED_EDGE('',*,*,#28561,.T.); #18277=ORIENTED_EDGE('',*,*,#28560,.F.); #18278=ORIENTED_EDGE('',*,*,#28562,.F.); #18279=ORIENTED_EDGE('',*,*,#28563,.T.); #18280=ORIENTED_EDGE('',*,*,#28564,.T.); #18281=ORIENTED_EDGE('',*,*,#28563,.F.); #18282=ORIENTED_EDGE('',*,*,#28565,.F.); #18283=ORIENTED_EDGE('',*,*,#28566,.T.); #18284=ORIENTED_EDGE('',*,*,#28567,.T.); #18285=ORIENTED_EDGE('',*,*,#28566,.F.); #18286=ORIENTED_EDGE('',*,*,#28568,.F.); #18287=ORIENTED_EDGE('',*,*,#28569,.T.); #18288=ORIENTED_EDGE('',*,*,#28570,.T.); #18289=ORIENTED_EDGE('',*,*,#28569,.F.); #18290=ORIENTED_EDGE('',*,*,#28571,.F.); #18291=ORIENTED_EDGE('',*,*,#28572,.T.); #18292=ORIENTED_EDGE('',*,*,#28573,.T.); #18293=ORIENTED_EDGE('',*,*,#28572,.F.); #18294=ORIENTED_EDGE('',*,*,#28574,.F.); #18295=ORIENTED_EDGE('',*,*,#28575,.T.); #18296=ORIENTED_EDGE('',*,*,#28576,.T.); #18297=ORIENTED_EDGE('',*,*,#28575,.F.); #18298=ORIENTED_EDGE('',*,*,#28577,.F.); #18299=ORIENTED_EDGE('',*,*,#28578,.T.); #18300=ORIENTED_EDGE('',*,*,#28579,.F.); #18301=ORIENTED_EDGE('',*,*,#28580,.F.); #18302=ORIENTED_EDGE('',*,*,#28552,.T.); #18303=ORIENTED_EDGE('',*,*,#28581,.T.); #18304=ORIENTED_EDGE('',*,*,#28582,.T.); #18305=ORIENTED_EDGE('',*,*,#28583,.F.); #18306=ORIENTED_EDGE('',*,*,#28584,.F.); #18307=ORIENTED_EDGE('',*,*,#28585,.T.); #18308=ORIENTED_EDGE('',*,*,#28586,.T.); #18309=ORIENTED_EDGE('',*,*,#28585,.F.); #18310=ORIENTED_EDGE('',*,*,#28587,.F.); #18311=ORIENTED_EDGE('',*,*,#28588,.T.); #18312=ORIENTED_EDGE('',*,*,#28589,.T.); #18313=ORIENTED_EDGE('',*,*,#28588,.F.); #18314=ORIENTED_EDGE('',*,*,#28590,.F.); #18315=ORIENTED_EDGE('',*,*,#28591,.T.); #18316=ORIENTED_EDGE('',*,*,#28592,.T.); #18317=ORIENTED_EDGE('',*,*,#28591,.F.); #18318=ORIENTED_EDGE('',*,*,#28593,.F.); #18319=ORIENTED_EDGE('',*,*,#28594,.T.); #18320=ORIENTED_EDGE('',*,*,#28595,.T.); #18321=ORIENTED_EDGE('',*,*,#28594,.F.); #18322=ORIENTED_EDGE('',*,*,#28596,.F.); #18323=ORIENTED_EDGE('',*,*,#28597,.T.); #18324=ORIENTED_EDGE('',*,*,#28598,.T.); #18325=ORIENTED_EDGE('',*,*,#28597,.F.); #18326=ORIENTED_EDGE('',*,*,#28599,.F.); #18327=ORIENTED_EDGE('',*,*,#28600,.T.); #18328=ORIENTED_EDGE('',*,*,#28601,.T.); #18329=ORIENTED_EDGE('',*,*,#28600,.F.); #18330=ORIENTED_EDGE('',*,*,#28602,.F.); #18331=ORIENTED_EDGE('',*,*,#28603,.T.); #18332=ORIENTED_EDGE('',*,*,#28604,.T.); #18333=ORIENTED_EDGE('',*,*,#28603,.F.); #18334=ORIENTED_EDGE('',*,*,#28605,.F.); #18335=ORIENTED_EDGE('',*,*,#28606,.T.); #18336=ORIENTED_EDGE('',*,*,#28607,.T.); #18337=ORIENTED_EDGE('',*,*,#28606,.F.); #18338=ORIENTED_EDGE('',*,*,#28608,.F.); #18339=ORIENTED_EDGE('',*,*,#28609,.T.); #18340=ORIENTED_EDGE('',*,*,#28610,.F.); #18341=ORIENTED_EDGE('',*,*,#28611,.F.); #18342=ORIENTED_EDGE('',*,*,#28583,.T.); #18343=ORIENTED_EDGE('',*,*,#28612,.T.); #18344=ORIENTED_EDGE('',*,*,#28613,.T.); #18345=ORIENTED_EDGE('',*,*,#28614,.F.); #18346=ORIENTED_EDGE('',*,*,#28615,.F.); #18347=ORIENTED_EDGE('',*,*,#28616,.T.); #18348=ORIENTED_EDGE('',*,*,#28617,.T.); #18349=ORIENTED_EDGE('',*,*,#28616,.F.); #18350=ORIENTED_EDGE('',*,*,#28618,.F.); #18351=ORIENTED_EDGE('',*,*,#28619,.T.); #18352=ORIENTED_EDGE('',*,*,#28620,.T.); #18353=ORIENTED_EDGE('',*,*,#28619,.F.); #18354=ORIENTED_EDGE('',*,*,#28621,.F.); #18355=ORIENTED_EDGE('',*,*,#28622,.T.); #18356=ORIENTED_EDGE('',*,*,#28623,.T.); #18357=ORIENTED_EDGE('',*,*,#28622,.F.); #18358=ORIENTED_EDGE('',*,*,#28624,.F.); #18359=ORIENTED_EDGE('',*,*,#28625,.T.); #18360=ORIENTED_EDGE('',*,*,#28626,.T.); #18361=ORIENTED_EDGE('',*,*,#28625,.F.); #18362=ORIENTED_EDGE('',*,*,#28627,.F.); #18363=ORIENTED_EDGE('',*,*,#28628,.T.); #18364=ORIENTED_EDGE('',*,*,#28629,.T.); #18365=ORIENTED_EDGE('',*,*,#28628,.F.); #18366=ORIENTED_EDGE('',*,*,#28630,.F.); #18367=ORIENTED_EDGE('',*,*,#28631,.T.); #18368=ORIENTED_EDGE('',*,*,#28632,.T.); #18369=ORIENTED_EDGE('',*,*,#28631,.F.); #18370=ORIENTED_EDGE('',*,*,#28633,.F.); #18371=ORIENTED_EDGE('',*,*,#28634,.T.); #18372=ORIENTED_EDGE('',*,*,#28635,.T.); #18373=ORIENTED_EDGE('',*,*,#28634,.F.); #18374=ORIENTED_EDGE('',*,*,#28636,.F.); #18375=ORIENTED_EDGE('',*,*,#28637,.T.); #18376=ORIENTED_EDGE('',*,*,#28638,.T.); #18377=ORIENTED_EDGE('',*,*,#28637,.F.); #18378=ORIENTED_EDGE('',*,*,#28639,.F.); #18379=ORIENTED_EDGE('',*,*,#28640,.T.); #18380=ORIENTED_EDGE('',*,*,#28641,.F.); #18381=ORIENTED_EDGE('',*,*,#28642,.F.); #18382=ORIENTED_EDGE('',*,*,#28614,.T.); #18383=ORIENTED_EDGE('',*,*,#28643,.T.); #18384=ORIENTED_EDGE('',*,*,#28644,.T.); #18385=ORIENTED_EDGE('',*,*,#28645,.F.); #18386=ORIENTED_EDGE('',*,*,#28646,.F.); #18387=ORIENTED_EDGE('',*,*,#28647,.T.); #18388=ORIENTED_EDGE('',*,*,#28648,.T.); #18389=ORIENTED_EDGE('',*,*,#28647,.F.); #18390=ORIENTED_EDGE('',*,*,#28649,.F.); #18391=ORIENTED_EDGE('',*,*,#28650,.T.); #18392=ORIENTED_EDGE('',*,*,#28651,.T.); #18393=ORIENTED_EDGE('',*,*,#28650,.F.); #18394=ORIENTED_EDGE('',*,*,#28652,.F.); #18395=ORIENTED_EDGE('',*,*,#28653,.T.); #18396=ORIENTED_EDGE('',*,*,#28654,.T.); #18397=ORIENTED_EDGE('',*,*,#28653,.F.); #18398=ORIENTED_EDGE('',*,*,#28655,.F.); #18399=ORIENTED_EDGE('',*,*,#28656,.T.); #18400=ORIENTED_EDGE('',*,*,#28657,.T.); #18401=ORIENTED_EDGE('',*,*,#28656,.F.); #18402=ORIENTED_EDGE('',*,*,#28658,.F.); #18403=ORIENTED_EDGE('',*,*,#28659,.T.); #18404=ORIENTED_EDGE('',*,*,#28660,.T.); #18405=ORIENTED_EDGE('',*,*,#28659,.F.); #18406=ORIENTED_EDGE('',*,*,#28661,.F.); #18407=ORIENTED_EDGE('',*,*,#28662,.T.); #18408=ORIENTED_EDGE('',*,*,#28663,.T.); #18409=ORIENTED_EDGE('',*,*,#28662,.F.); #18410=ORIENTED_EDGE('',*,*,#28664,.F.); #18411=ORIENTED_EDGE('',*,*,#28665,.T.); #18412=ORIENTED_EDGE('',*,*,#28666,.T.); #18413=ORIENTED_EDGE('',*,*,#28665,.F.); #18414=ORIENTED_EDGE('',*,*,#28667,.F.); #18415=ORIENTED_EDGE('',*,*,#28668,.T.); #18416=ORIENTED_EDGE('',*,*,#28669,.T.); #18417=ORIENTED_EDGE('',*,*,#28668,.F.); #18418=ORIENTED_EDGE('',*,*,#28670,.F.); #18419=ORIENTED_EDGE('',*,*,#28671,.T.); #18420=ORIENTED_EDGE('',*,*,#28672,.F.); #18421=ORIENTED_EDGE('',*,*,#28673,.F.); #18422=ORIENTED_EDGE('',*,*,#28645,.T.); #18423=ORIENTED_EDGE('',*,*,#28674,.T.); #18424=ORIENTED_EDGE('',*,*,#28675,.T.); #18425=ORIENTED_EDGE('',*,*,#28676,.F.); #18426=ORIENTED_EDGE('',*,*,#28677,.F.); #18427=ORIENTED_EDGE('',*,*,#28678,.T.); #18428=ORIENTED_EDGE('',*,*,#28679,.T.); #18429=ORIENTED_EDGE('',*,*,#28678,.F.); #18430=ORIENTED_EDGE('',*,*,#28680,.F.); #18431=ORIENTED_EDGE('',*,*,#28681,.T.); #18432=ORIENTED_EDGE('',*,*,#28682,.T.); #18433=ORIENTED_EDGE('',*,*,#28681,.F.); #18434=ORIENTED_EDGE('',*,*,#28683,.F.); #18435=ORIENTED_EDGE('',*,*,#28684,.T.); #18436=ORIENTED_EDGE('',*,*,#28685,.T.); #18437=ORIENTED_EDGE('',*,*,#28684,.F.); #18438=ORIENTED_EDGE('',*,*,#28686,.F.); #18439=ORIENTED_EDGE('',*,*,#28687,.T.); #18440=ORIENTED_EDGE('',*,*,#28688,.T.); #18441=ORIENTED_EDGE('',*,*,#28687,.F.); #18442=ORIENTED_EDGE('',*,*,#28689,.F.); #18443=ORIENTED_EDGE('',*,*,#28690,.T.); #18444=ORIENTED_EDGE('',*,*,#28691,.T.); #18445=ORIENTED_EDGE('',*,*,#28690,.F.); #18446=ORIENTED_EDGE('',*,*,#28692,.F.); #18447=ORIENTED_EDGE('',*,*,#28693,.T.); #18448=ORIENTED_EDGE('',*,*,#28694,.T.); #18449=ORIENTED_EDGE('',*,*,#28693,.F.); #18450=ORIENTED_EDGE('',*,*,#28695,.F.); #18451=ORIENTED_EDGE('',*,*,#28696,.T.); #18452=ORIENTED_EDGE('',*,*,#28697,.T.); #18453=ORIENTED_EDGE('',*,*,#28696,.F.); #18454=ORIENTED_EDGE('',*,*,#28698,.F.); #18455=ORIENTED_EDGE('',*,*,#28699,.T.); #18456=ORIENTED_EDGE('',*,*,#28700,.T.); #18457=ORIENTED_EDGE('',*,*,#28699,.F.); #18458=ORIENTED_EDGE('',*,*,#28701,.F.); #18459=ORIENTED_EDGE('',*,*,#28702,.T.); #18460=ORIENTED_EDGE('',*,*,#28703,.F.); #18461=ORIENTED_EDGE('',*,*,#28704,.F.); #18462=ORIENTED_EDGE('',*,*,#28676,.T.); #18463=ORIENTED_EDGE('',*,*,#28705,.T.); #18464=ORIENTED_EDGE('',*,*,#28706,.T.); #18465=ORIENTED_EDGE('',*,*,#28707,.F.); #18466=ORIENTED_EDGE('',*,*,#28708,.F.); #18467=ORIENTED_EDGE('',*,*,#28709,.T.); #18468=ORIENTED_EDGE('',*,*,#28710,.T.); #18469=ORIENTED_EDGE('',*,*,#28709,.F.); #18470=ORIENTED_EDGE('',*,*,#28711,.F.); #18471=ORIENTED_EDGE('',*,*,#28712,.T.); #18472=ORIENTED_EDGE('',*,*,#28713,.T.); #18473=ORIENTED_EDGE('',*,*,#28712,.F.); #18474=ORIENTED_EDGE('',*,*,#28714,.F.); #18475=ORIENTED_EDGE('',*,*,#28715,.T.); #18476=ORIENTED_EDGE('',*,*,#28716,.T.); #18477=ORIENTED_EDGE('',*,*,#28715,.F.); #18478=ORIENTED_EDGE('',*,*,#28717,.F.); #18479=ORIENTED_EDGE('',*,*,#28718,.T.); #18480=ORIENTED_EDGE('',*,*,#28719,.T.); #18481=ORIENTED_EDGE('',*,*,#28718,.F.); #18482=ORIENTED_EDGE('',*,*,#28720,.F.); #18483=ORIENTED_EDGE('',*,*,#28721,.T.); #18484=ORIENTED_EDGE('',*,*,#28722,.T.); #18485=ORIENTED_EDGE('',*,*,#28721,.F.); #18486=ORIENTED_EDGE('',*,*,#28723,.F.); #18487=ORIENTED_EDGE('',*,*,#28724,.T.); #18488=ORIENTED_EDGE('',*,*,#28725,.T.); #18489=ORIENTED_EDGE('',*,*,#28724,.F.); #18490=ORIENTED_EDGE('',*,*,#28726,.F.); #18491=ORIENTED_EDGE('',*,*,#28727,.T.); #18492=ORIENTED_EDGE('',*,*,#28728,.T.); #18493=ORIENTED_EDGE('',*,*,#28727,.F.); #18494=ORIENTED_EDGE('',*,*,#28729,.F.); #18495=ORIENTED_EDGE('',*,*,#28730,.T.); #18496=ORIENTED_EDGE('',*,*,#28731,.T.); #18497=ORIENTED_EDGE('',*,*,#28730,.F.); #18498=ORIENTED_EDGE('',*,*,#28732,.F.); #18499=ORIENTED_EDGE('',*,*,#28733,.T.); #18500=ORIENTED_EDGE('',*,*,#28734,.F.); #18501=ORIENTED_EDGE('',*,*,#28735,.F.); #18502=ORIENTED_EDGE('',*,*,#28707,.T.); #18503=ORIENTED_EDGE('',*,*,#28736,.T.); #18504=ORIENTED_EDGE('',*,*,#28737,.F.); #18505=ORIENTED_EDGE('',*,*,#28227,.T.); #18506=ORIENTED_EDGE('',*,*,#28738,.T.); #18507=ORIENTED_EDGE('',*,*,#28739,.F.); #18508=ORIENTED_EDGE('',*,*,#28740,.F.); #18509=ORIENTED_EDGE('',*,*,#28741,.F.); #18510=ORIENTED_EDGE('',*,*,#28742,.T.); #18511=ORIENTED_EDGE('',*,*,#28743,.T.); #18512=ORIENTED_EDGE('',*,*,#28744,.F.); #18513=ORIENTED_EDGE('',*,*,#28745,.T.); #18514=ORIENTED_EDGE('',*,*,#28746,.T.); #18515=ORIENTED_EDGE('',*,*,#28740,.T.); #18516=ORIENTED_EDGE('',*,*,#28747,.F.); #18517=ORIENTED_EDGE('',*,*,#28748,.F.); #18518=ORIENTED_EDGE('',*,*,#28745,.F.); #18519=ORIENTED_EDGE('',*,*,#28749,.F.); #18520=ORIENTED_EDGE('',*,*,#28750,.F.); #18521=ORIENTED_EDGE('',*,*,#28751,.F.); #18522=ORIENTED_EDGE('',*,*,#28752,.F.); #18523=ORIENTED_EDGE('',*,*,#28753,.T.); #18524=ORIENTED_EDGE('',*,*,#28754,.F.); #18525=ORIENTED_EDGE('',*,*,#28755,.F.); #18526=ORIENTED_EDGE('',*,*,#28756,.F.); #18527=ORIENTED_EDGE('',*,*,#28757,.T.); #18528=ORIENTED_EDGE('',*,*,#28758,.F.); #18529=ORIENTED_EDGE('',*,*,#28759,.F.); #18530=ORIENTED_EDGE('',*,*,#28760,.F.); #18531=ORIENTED_EDGE('',*,*,#28761,.T.); #18532=ORIENTED_EDGE('',*,*,#28762,.F.); #18533=ORIENTED_EDGE('',*,*,#28763,.F.); #18534=ORIENTED_EDGE('',*,*,#28764,.F.); #18535=ORIENTED_EDGE('',*,*,#28765,.T.); #18536=ORIENTED_EDGE('',*,*,#28766,.F.); #18537=ORIENTED_EDGE('',*,*,#28767,.F.); #18538=ORIENTED_EDGE('',*,*,#28768,.F.); #18539=ORIENTED_EDGE('',*,*,#28769,.T.); #18540=ORIENTED_EDGE('',*,*,#28770,.F.); #18541=ORIENTED_EDGE('',*,*,#28771,.F.); #18542=ORIENTED_EDGE('',*,*,#28772,.F.); #18543=ORIENTED_EDGE('',*,*,#28773,.T.); #18544=ORIENTED_EDGE('',*,*,#28774,.F.); #18545=ORIENTED_EDGE('',*,*,#28775,.F.); #18546=ORIENTED_EDGE('',*,*,#28776,.F.); #18547=ORIENTED_EDGE('',*,*,#28777,.T.); #18548=ORIENTED_EDGE('',*,*,#28778,.F.); #18549=ORIENTED_EDGE('',*,*,#28779,.F.); #18550=ORIENTED_EDGE('',*,*,#28780,.F.); #18551=ORIENTED_EDGE('',*,*,#28781,.T.); #18552=ORIENTED_EDGE('',*,*,#28782,.F.); #18553=ORIENTED_EDGE('',*,*,#28783,.T.); #18554=ORIENTED_EDGE('',*,*,#28224,.T.); #18555=ORIENTED_EDGE('',*,*,#28737,.T.); #18556=ORIENTED_EDGE('',*,*,#28784,.F.); #18557=ORIENTED_EDGE('',*,*,#28785,.F.); #18558=ORIENTED_EDGE('',*,*,#28786,.F.); #18559=ORIENTED_EDGE('',*,*,#28787,.T.); #18560=ORIENTED_EDGE('',*,*,#28788,.F.); #18561=ORIENTED_EDGE('',*,*,#28789,.F.); #18562=ORIENTED_EDGE('',*,*,#28790,.F.); #18563=ORIENTED_EDGE('',*,*,#28791,.T.); #18564=ORIENTED_EDGE('',*,*,#28792,.F.); #18565=ORIENTED_EDGE('',*,*,#28793,.F.); #18566=ORIENTED_EDGE('',*,*,#28794,.F.); #18567=ORIENTED_EDGE('',*,*,#28795,.T.); #18568=ORIENTED_EDGE('',*,*,#28796,.F.); #18569=ORIENTED_EDGE('',*,*,#28797,.F.); #18570=ORIENTED_EDGE('',*,*,#28798,.F.); #18571=ORIENTED_EDGE('',*,*,#28799,.T.); #18572=ORIENTED_EDGE('',*,*,#28800,.F.); #18573=ORIENTED_EDGE('',*,*,#28801,.F.); #18574=ORIENTED_EDGE('',*,*,#28802,.F.); #18575=ORIENTED_EDGE('',*,*,#28803,.T.); #18576=ORIENTED_EDGE('',*,*,#28804,.F.); #18577=ORIENTED_EDGE('',*,*,#28805,.F.); #18578=ORIENTED_EDGE('',*,*,#28806,.F.); #18579=ORIENTED_EDGE('',*,*,#28807,.T.); #18580=ORIENTED_EDGE('',*,*,#28808,.F.); #18581=ORIENTED_EDGE('',*,*,#28809,.F.); #18582=ORIENTED_EDGE('',*,*,#28810,.F.); #18583=ORIENTED_EDGE('',*,*,#28811,.T.); #18584=ORIENTED_EDGE('',*,*,#28812,.F.); #18585=ORIENTED_EDGE('',*,*,#28813,.F.); #18586=ORIENTED_EDGE('',*,*,#28814,.F.); #18587=ORIENTED_EDGE('',*,*,#28815,.T.); #18588=ORIENTED_EDGE('',*,*,#28816,.T.); #18589=ORIENTED_EDGE('',*,*,#28225,.F.); #18590=ORIENTED_EDGE('',*,*,#28783,.F.); #18591=ORIENTED_EDGE('',*,*,#28817,.F.); #18592=ORIENTED_EDGE('',*,*,#28233,.F.); #18593=ORIENTED_EDGE('',*,*,#28231,.F.); #18594=ORIENTED_EDGE('',*,*,#28228,.F.); #18595=ORIENTED_EDGE('',*,*,#28226,.F.); #18596=ORIENTED_EDGE('',*,*,#28816,.F.); #18597=ORIENTED_EDGE('',*,*,#28818,.F.); #18598=ORIENTED_EDGE('',*,*,#28819,.F.); #18599=ORIENTED_EDGE('',*,*,#28820,.T.); #18600=ORIENTED_EDGE('',*,*,#28238,.T.); #18601=ORIENTED_EDGE('',*,*,#28220,.F.); #18602=ORIENTED_EDGE('',*,*,#28235,.F.); #18603=ORIENTED_EDGE('',*,*,#28821,.T.); #18604=ORIENTED_EDGE('',*,*,#28822,.T.); #18605=ORIENTED_EDGE('',*,*,#28823,.F.); #18606=ORIENTED_EDGE('',*,*,#28738,.F.); #18607=ORIENTED_EDGE('',*,*,#28240,.T.); #18608=ORIENTED_EDGE('',*,*,#28239,.T.); #18609=ORIENTED_EDGE('',*,*,#28820,.F.); #18610=ORIENTED_EDGE('',*,*,#28824,.F.); #18611=ORIENTED_EDGE('',*,*,#28825,.F.); #18612=ORIENTED_EDGE('',*,*,#28749,.T.); #18613=ORIENTED_EDGE('',*,*,#28744,.T.); #18614=ORIENTED_EDGE('',*,*,#28743,.F.); #18615=ORIENTED_EDGE('',*,*,#28826,.T.); #18616=ORIENTED_EDGE('',*,*,#28827,.T.); #18617=ORIENTED_EDGE('',*,*,#28821,.F.); #18618=ORIENTED_EDGE('',*,*,#28234,.F.); #18619=ORIENTED_EDGE('',*,*,#28828,.T.); #18620=ORIENTED_EDGE('',*,*,#28829,.F.); #18621=ORIENTED_EDGE('',*,*,#28830,.F.); #18622=ORIENTED_EDGE('',*,*,#28831,.T.); #18623=ORIENTED_EDGE('',*,*,#28832,.T.); #18624=ORIENTED_EDGE('',*,*,#28831,.F.); #18625=ORIENTED_EDGE('',*,*,#28833,.F.); #18626=ORIENTED_EDGE('',*,*,#28834,.T.); #18627=ORIENTED_EDGE('',*,*,#28835,.T.); #18628=ORIENTED_EDGE('',*,*,#28836,.F.); #18629=ORIENTED_EDGE('',*,*,#28837,.F.); #18630=ORIENTED_EDGE('',*,*,#28838,.T.); #18631=ORIENTED_EDGE('',*,*,#28839,.T.); #18632=ORIENTED_EDGE('',*,*,#28838,.F.); #18633=ORIENTED_EDGE('',*,*,#28840,.F.); #18634=ORIENTED_EDGE('',*,*,#28841,.T.); #18635=ORIENTED_EDGE('',*,*,#28842,.T.); #18636=ORIENTED_EDGE('',*,*,#28843,.F.); #18637=ORIENTED_EDGE('',*,*,#28844,.F.); #18638=ORIENTED_EDGE('',*,*,#28845,.T.); #18639=ORIENTED_EDGE('',*,*,#28846,.T.); #18640=ORIENTED_EDGE('',*,*,#28845,.F.); #18641=ORIENTED_EDGE('',*,*,#28847,.F.); #18642=ORIENTED_EDGE('',*,*,#28848,.T.); #18643=ORIENTED_EDGE('',*,*,#28849,.T.); #18644=ORIENTED_EDGE('',*,*,#28850,.F.); #18645=ORIENTED_EDGE('',*,*,#28851,.F.); #18646=ORIENTED_EDGE('',*,*,#28852,.T.); #18647=ORIENTED_EDGE('',*,*,#28853,.T.); #18648=ORIENTED_EDGE('',*,*,#28852,.F.); #18649=ORIENTED_EDGE('',*,*,#28854,.F.); #18650=ORIENTED_EDGE('',*,*,#28855,.T.); #18651=ORIENTED_EDGE('',*,*,#28856,.T.); #18652=ORIENTED_EDGE('',*,*,#28857,.F.); #18653=ORIENTED_EDGE('',*,*,#28858,.F.); #18654=ORIENTED_EDGE('',*,*,#28859,.T.); #18655=ORIENTED_EDGE('',*,*,#28860,.T.); #18656=ORIENTED_EDGE('',*,*,#28859,.F.); #18657=ORIENTED_EDGE('',*,*,#28861,.F.); #18658=ORIENTED_EDGE('',*,*,#28862,.T.); #18659=ORIENTED_EDGE('',*,*,#28863,.T.); #18660=ORIENTED_EDGE('',*,*,#28864,.F.); #18661=ORIENTED_EDGE('',*,*,#28865,.F.); #18662=ORIENTED_EDGE('',*,*,#28866,.T.); #18663=ORIENTED_EDGE('',*,*,#28867,.T.); #18664=ORIENTED_EDGE('',*,*,#28866,.F.); #18665=ORIENTED_EDGE('',*,*,#28868,.F.); #18666=ORIENTED_EDGE('',*,*,#28869,.T.); #18667=ORIENTED_EDGE('',*,*,#28870,.T.); #18668=ORIENTED_EDGE('',*,*,#28871,.F.); #18669=ORIENTED_EDGE('',*,*,#28872,.F.); #18670=ORIENTED_EDGE('',*,*,#28873,.T.); #18671=ORIENTED_EDGE('',*,*,#28874,.T.); #18672=ORIENTED_EDGE('',*,*,#28873,.F.); #18673=ORIENTED_EDGE('',*,*,#28875,.F.); #18674=ORIENTED_EDGE('',*,*,#28876,.T.); #18675=ORIENTED_EDGE('',*,*,#28877,.T.); #18676=ORIENTED_EDGE('',*,*,#28878,.F.); #18677=ORIENTED_EDGE('',*,*,#28879,.F.); #18678=ORIENTED_EDGE('',*,*,#28880,.T.); #18679=ORIENTED_EDGE('',*,*,#28881,.T.); #18680=ORIENTED_EDGE('',*,*,#28880,.F.); #18681=ORIENTED_EDGE('',*,*,#28882,.F.); #18682=ORIENTED_EDGE('',*,*,#28883,.T.); #18683=ORIENTED_EDGE('',*,*,#28884,.T.); #18684=ORIENTED_EDGE('',*,*,#28885,.F.); #18685=ORIENTED_EDGE('',*,*,#28886,.F.); #18686=ORIENTED_EDGE('',*,*,#28887,.T.); #18687=ORIENTED_EDGE('',*,*,#28888,.T.); #18688=ORIENTED_EDGE('',*,*,#28887,.F.); #18689=ORIENTED_EDGE('',*,*,#28889,.F.); #18690=ORIENTED_EDGE('',*,*,#28890,.T.); #18691=ORIENTED_EDGE('',*,*,#28891,.T.); #18692=ORIENTED_EDGE('',*,*,#28892,.F.); #18693=ORIENTED_EDGE('',*,*,#28893,.F.); #18694=ORIENTED_EDGE('',*,*,#28894,.T.); #18695=ORIENTED_EDGE('',*,*,#28895,.T.); #18696=ORIENTED_EDGE('',*,*,#28894,.F.); #18697=ORIENTED_EDGE('',*,*,#28896,.F.); #18698=ORIENTED_EDGE('',*,*,#28897,.T.); #18699=ORIENTED_EDGE('',*,*,#28898,.T.); #18700=ORIENTED_EDGE('',*,*,#28899,.F.); #18701=ORIENTED_EDGE('',*,*,#28900,.F.); #18702=ORIENTED_EDGE('',*,*,#28901,.T.); #18703=ORIENTED_EDGE('',*,*,#28902,.T.); #18704=ORIENTED_EDGE('',*,*,#28901,.F.); #18705=ORIENTED_EDGE('',*,*,#28903,.F.); #18706=ORIENTED_EDGE('',*,*,#28904,.T.); #18707=ORIENTED_EDGE('',*,*,#28905,.T.); #18708=ORIENTED_EDGE('',*,*,#28906,.F.); #18709=ORIENTED_EDGE('',*,*,#28907,.F.); #18710=ORIENTED_EDGE('',*,*,#28908,.T.); #18711=ORIENTED_EDGE('',*,*,#28909,.T.); #18712=ORIENTED_EDGE('',*,*,#28908,.F.); #18713=ORIENTED_EDGE('',*,*,#28910,.F.); #18714=ORIENTED_EDGE('',*,*,#28911,.T.); #18715=ORIENTED_EDGE('',*,*,#28912,.T.); #18716=ORIENTED_EDGE('',*,*,#28913,.F.); #18717=ORIENTED_EDGE('',*,*,#28914,.F.); #18718=ORIENTED_EDGE('',*,*,#28915,.T.); #18719=ORIENTED_EDGE('',*,*,#28916,.T.); #18720=ORIENTED_EDGE('',*,*,#28915,.F.); #18721=ORIENTED_EDGE('',*,*,#28917,.F.); #18722=ORIENTED_EDGE('',*,*,#28918,.T.); #18723=ORIENTED_EDGE('',*,*,#28919,.T.); #18724=ORIENTED_EDGE('',*,*,#28920,.F.); #18725=ORIENTED_EDGE('',*,*,#28921,.F.); #18726=ORIENTED_EDGE('',*,*,#28922,.T.); #18727=ORIENTED_EDGE('',*,*,#28923,.T.); #18728=ORIENTED_EDGE('',*,*,#28922,.F.); #18729=ORIENTED_EDGE('',*,*,#28924,.F.); #18730=ORIENTED_EDGE('',*,*,#28925,.T.); #18731=ORIENTED_EDGE('',*,*,#28926,.T.); #18732=ORIENTED_EDGE('',*,*,#28927,.F.); #18733=ORIENTED_EDGE('',*,*,#28928,.F.); #18734=ORIENTED_EDGE('',*,*,#28929,.T.); #18735=ORIENTED_EDGE('',*,*,#28930,.T.); #18736=ORIENTED_EDGE('',*,*,#28929,.F.); #18737=ORIENTED_EDGE('',*,*,#28931,.F.); #18738=ORIENTED_EDGE('',*,*,#28932,.T.); #18739=ORIENTED_EDGE('',*,*,#28933,.T.); #18740=ORIENTED_EDGE('',*,*,#28934,.F.); #18741=ORIENTED_EDGE('',*,*,#28935,.F.); #18742=ORIENTED_EDGE('',*,*,#28936,.T.); #18743=ORIENTED_EDGE('',*,*,#28937,.T.); #18744=ORIENTED_EDGE('',*,*,#28936,.F.); #18745=ORIENTED_EDGE('',*,*,#28938,.F.); #18746=ORIENTED_EDGE('',*,*,#28939,.T.); #18747=ORIENTED_EDGE('',*,*,#28940,.F.); #18748=ORIENTED_EDGE('',*,*,#28941,.T.); #18749=ORIENTED_EDGE('',*,*,#28942,.T.); #18750=ORIENTED_EDGE('',*,*,#28268,.F.); #18751=ORIENTED_EDGE('',*,*,#28943,.F.); #18752=ORIENTED_EDGE('',*,*,#28944,.T.); #18753=ORIENTED_EDGE('',*,*,#28271,.F.); #18754=ORIENTED_EDGE('',*,*,#28241,.F.); #18755=ORIENTED_EDGE('',*,*,#28245,.F.); #18756=ORIENTED_EDGE('',*,*,#28248,.F.); #18757=ORIENTED_EDGE('',*,*,#28251,.F.); #18758=ORIENTED_EDGE('',*,*,#28254,.F.); #18759=ORIENTED_EDGE('',*,*,#28257,.F.); #18760=ORIENTED_EDGE('',*,*,#28260,.F.); #18761=ORIENTED_EDGE('',*,*,#28263,.F.); #18762=ORIENTED_EDGE('',*,*,#28266,.F.); #18763=ORIENTED_EDGE('',*,*,#28942,.F.); #18764=ORIENTED_EDGE('',*,*,#28945,.F.); #18765=ORIENTED_EDGE('',*,*,#28946,.T.); #18766=ORIENTED_EDGE('',*,*,#28940,.T.); #18767=ORIENTED_EDGE('',*,*,#28267,.T.); #18768=ORIENTED_EDGE('',*,*,#28264,.T.); #18769=ORIENTED_EDGE('',*,*,#28261,.T.); #18770=ORIENTED_EDGE('',*,*,#28258,.T.); #18771=ORIENTED_EDGE('',*,*,#28255,.T.); #18772=ORIENTED_EDGE('',*,*,#28252,.T.); #18773=ORIENTED_EDGE('',*,*,#28249,.T.); #18774=ORIENTED_EDGE('',*,*,#28246,.T.); #18775=ORIENTED_EDGE('',*,*,#28243,.T.); #18776=ORIENTED_EDGE('',*,*,#28270,.T.); #18777=ORIENTED_EDGE('',*,*,#28947,.F.); #18778=ORIENTED_EDGE('',*,*,#28945,.T.); #18779=ORIENTED_EDGE('',*,*,#28269,.T.); #18780=ORIENTED_EDGE('',*,*,#28944,.F.); #18781=ORIENTED_EDGE('',*,*,#28948,.F.); #18782=ORIENTED_EDGE('',*,*,#28949,.F.); #18783=ORIENTED_EDGE('',*,*,#28950,.T.); #18784=ORIENTED_EDGE('',*,*,#28951,.F.); #18785=ORIENTED_EDGE('',*,*,#28952,.T.); #18786=ORIENTED_EDGE('',*,*,#28953,.F.); #18787=ORIENTED_EDGE('',*,*,#28954,.F.); #18788=ORIENTED_EDGE('',*,*,#28955,.F.); #18789=ORIENTED_EDGE('',*,*,#28956,.F.); #18790=ORIENTED_EDGE('',*,*,#28957,.T.); #18791=ORIENTED_EDGE('',*,*,#28958,.T.); #18792=ORIENTED_EDGE('',*,*,#28299,.F.); #18793=ORIENTED_EDGE('',*,*,#28959,.F.); #18794=ORIENTED_EDGE('',*,*,#28960,.T.); #18795=ORIENTED_EDGE('',*,*,#28302,.F.); #18796=ORIENTED_EDGE('',*,*,#28272,.F.); #18797=ORIENTED_EDGE('',*,*,#28276,.F.); #18798=ORIENTED_EDGE('',*,*,#28279,.F.); #18799=ORIENTED_EDGE('',*,*,#28282,.F.); #18800=ORIENTED_EDGE('',*,*,#28285,.F.); #18801=ORIENTED_EDGE('',*,*,#28288,.F.); #18802=ORIENTED_EDGE('',*,*,#28291,.F.); #18803=ORIENTED_EDGE('',*,*,#28294,.F.); #18804=ORIENTED_EDGE('',*,*,#28297,.F.); #18805=ORIENTED_EDGE('',*,*,#28958,.F.); #18806=ORIENTED_EDGE('',*,*,#28961,.F.); #18807=ORIENTED_EDGE('',*,*,#28962,.T.); #18808=ORIENTED_EDGE('',*,*,#28956,.T.); #18809=ORIENTED_EDGE('',*,*,#28298,.T.); #18810=ORIENTED_EDGE('',*,*,#28295,.T.); #18811=ORIENTED_EDGE('',*,*,#28292,.T.); #18812=ORIENTED_EDGE('',*,*,#28289,.T.); #18813=ORIENTED_EDGE('',*,*,#28286,.T.); #18814=ORIENTED_EDGE('',*,*,#28283,.T.); #18815=ORIENTED_EDGE('',*,*,#28280,.T.); #18816=ORIENTED_EDGE('',*,*,#28277,.T.); #18817=ORIENTED_EDGE('',*,*,#28274,.T.); #18818=ORIENTED_EDGE('',*,*,#28301,.T.); #18819=ORIENTED_EDGE('',*,*,#28963,.F.); #18820=ORIENTED_EDGE('',*,*,#28961,.T.); #18821=ORIENTED_EDGE('',*,*,#28300,.T.); #18822=ORIENTED_EDGE('',*,*,#28960,.F.); #18823=ORIENTED_EDGE('',*,*,#28964,.F.); #18824=ORIENTED_EDGE('',*,*,#28965,.F.); #18825=ORIENTED_EDGE('',*,*,#28966,.T.); #18826=ORIENTED_EDGE('',*,*,#28967,.F.); #18827=ORIENTED_EDGE('',*,*,#28968,.T.); #18828=ORIENTED_EDGE('',*,*,#28969,.F.); #18829=ORIENTED_EDGE('',*,*,#28970,.F.); #18830=ORIENTED_EDGE('',*,*,#28971,.F.); #18831=ORIENTED_EDGE('',*,*,#28972,.F.); #18832=ORIENTED_EDGE('',*,*,#28973,.T.); #18833=ORIENTED_EDGE('',*,*,#28974,.T.); #18834=ORIENTED_EDGE('',*,*,#28330,.F.); #18835=ORIENTED_EDGE('',*,*,#28975,.F.); #18836=ORIENTED_EDGE('',*,*,#28976,.T.); #18837=ORIENTED_EDGE('',*,*,#28333,.F.); #18838=ORIENTED_EDGE('',*,*,#28303,.F.); #18839=ORIENTED_EDGE('',*,*,#28307,.F.); #18840=ORIENTED_EDGE('',*,*,#28310,.F.); #18841=ORIENTED_EDGE('',*,*,#28313,.F.); #18842=ORIENTED_EDGE('',*,*,#28316,.F.); #18843=ORIENTED_EDGE('',*,*,#28319,.F.); #18844=ORIENTED_EDGE('',*,*,#28322,.F.); #18845=ORIENTED_EDGE('',*,*,#28325,.F.); #18846=ORIENTED_EDGE('',*,*,#28328,.F.); #18847=ORIENTED_EDGE('',*,*,#28974,.F.); #18848=ORIENTED_EDGE('',*,*,#28977,.F.); #18849=ORIENTED_EDGE('',*,*,#28978,.T.); #18850=ORIENTED_EDGE('',*,*,#28972,.T.); #18851=ORIENTED_EDGE('',*,*,#28329,.T.); #18852=ORIENTED_EDGE('',*,*,#28326,.T.); #18853=ORIENTED_EDGE('',*,*,#28323,.T.); #18854=ORIENTED_EDGE('',*,*,#28320,.T.); #18855=ORIENTED_EDGE('',*,*,#28317,.T.); #18856=ORIENTED_EDGE('',*,*,#28314,.T.); #18857=ORIENTED_EDGE('',*,*,#28311,.T.); #18858=ORIENTED_EDGE('',*,*,#28308,.T.); #18859=ORIENTED_EDGE('',*,*,#28305,.T.); #18860=ORIENTED_EDGE('',*,*,#28332,.T.); #18861=ORIENTED_EDGE('',*,*,#28979,.F.); #18862=ORIENTED_EDGE('',*,*,#28977,.T.); #18863=ORIENTED_EDGE('',*,*,#28331,.T.); #18864=ORIENTED_EDGE('',*,*,#28976,.F.); #18865=ORIENTED_EDGE('',*,*,#28980,.F.); #18866=ORIENTED_EDGE('',*,*,#28981,.F.); #18867=ORIENTED_EDGE('',*,*,#28982,.T.); #18868=ORIENTED_EDGE('',*,*,#28983,.F.); #18869=ORIENTED_EDGE('',*,*,#28984,.T.); #18870=ORIENTED_EDGE('',*,*,#28985,.F.); #18871=ORIENTED_EDGE('',*,*,#28986,.F.); #18872=ORIENTED_EDGE('',*,*,#28987,.F.); #18873=ORIENTED_EDGE('',*,*,#28988,.F.); #18874=ORIENTED_EDGE('',*,*,#28989,.T.); #18875=ORIENTED_EDGE('',*,*,#28990,.T.); #18876=ORIENTED_EDGE('',*,*,#28361,.F.); #18877=ORIENTED_EDGE('',*,*,#28991,.F.); #18878=ORIENTED_EDGE('',*,*,#28992,.T.); #18879=ORIENTED_EDGE('',*,*,#28364,.F.); #18880=ORIENTED_EDGE('',*,*,#28334,.F.); #18881=ORIENTED_EDGE('',*,*,#28338,.F.); #18882=ORIENTED_EDGE('',*,*,#28341,.F.); #18883=ORIENTED_EDGE('',*,*,#28344,.F.); #18884=ORIENTED_EDGE('',*,*,#28347,.F.); #18885=ORIENTED_EDGE('',*,*,#28350,.F.); #18886=ORIENTED_EDGE('',*,*,#28353,.F.); #18887=ORIENTED_EDGE('',*,*,#28356,.F.); #18888=ORIENTED_EDGE('',*,*,#28359,.F.); #18889=ORIENTED_EDGE('',*,*,#28990,.F.); #18890=ORIENTED_EDGE('',*,*,#28993,.F.); #18891=ORIENTED_EDGE('',*,*,#28994,.T.); #18892=ORIENTED_EDGE('',*,*,#28988,.T.); #18893=ORIENTED_EDGE('',*,*,#28360,.T.); #18894=ORIENTED_EDGE('',*,*,#28357,.T.); #18895=ORIENTED_EDGE('',*,*,#28354,.T.); #18896=ORIENTED_EDGE('',*,*,#28351,.T.); #18897=ORIENTED_EDGE('',*,*,#28348,.T.); #18898=ORIENTED_EDGE('',*,*,#28345,.T.); #18899=ORIENTED_EDGE('',*,*,#28342,.T.); #18900=ORIENTED_EDGE('',*,*,#28339,.T.); #18901=ORIENTED_EDGE('',*,*,#28336,.T.); #18902=ORIENTED_EDGE('',*,*,#28363,.T.); #18903=ORIENTED_EDGE('',*,*,#28995,.F.); #18904=ORIENTED_EDGE('',*,*,#28993,.T.); #18905=ORIENTED_EDGE('',*,*,#28362,.T.); #18906=ORIENTED_EDGE('',*,*,#28992,.F.); #18907=ORIENTED_EDGE('',*,*,#28996,.F.); #18908=ORIENTED_EDGE('',*,*,#28997,.F.); #18909=ORIENTED_EDGE('',*,*,#28998,.T.); #18910=ORIENTED_EDGE('',*,*,#28999,.F.); #18911=ORIENTED_EDGE('',*,*,#29000,.T.); #18912=ORIENTED_EDGE('',*,*,#29001,.F.); #18913=ORIENTED_EDGE('',*,*,#29002,.F.); #18914=ORIENTED_EDGE('',*,*,#29003,.F.); #18915=ORIENTED_EDGE('',*,*,#29004,.F.); #18916=ORIENTED_EDGE('',*,*,#29005,.T.); #18917=ORIENTED_EDGE('',*,*,#29006,.T.); #18918=ORIENTED_EDGE('',*,*,#28392,.F.); #18919=ORIENTED_EDGE('',*,*,#29007,.F.); #18920=ORIENTED_EDGE('',*,*,#29008,.T.); #18921=ORIENTED_EDGE('',*,*,#28395,.F.); #18922=ORIENTED_EDGE('',*,*,#28365,.F.); #18923=ORIENTED_EDGE('',*,*,#28369,.F.); #18924=ORIENTED_EDGE('',*,*,#28372,.F.); #18925=ORIENTED_EDGE('',*,*,#28375,.F.); #18926=ORIENTED_EDGE('',*,*,#28378,.F.); #18927=ORIENTED_EDGE('',*,*,#28381,.F.); #18928=ORIENTED_EDGE('',*,*,#28384,.F.); #18929=ORIENTED_EDGE('',*,*,#28387,.F.); #18930=ORIENTED_EDGE('',*,*,#28390,.F.); #18931=ORIENTED_EDGE('',*,*,#29006,.F.); #18932=ORIENTED_EDGE('',*,*,#29009,.F.); #18933=ORIENTED_EDGE('',*,*,#29010,.T.); #18934=ORIENTED_EDGE('',*,*,#29004,.T.); #18935=ORIENTED_EDGE('',*,*,#28391,.T.); #18936=ORIENTED_EDGE('',*,*,#28388,.T.); #18937=ORIENTED_EDGE('',*,*,#28385,.T.); #18938=ORIENTED_EDGE('',*,*,#28382,.T.); #18939=ORIENTED_EDGE('',*,*,#28379,.T.); #18940=ORIENTED_EDGE('',*,*,#28376,.T.); #18941=ORIENTED_EDGE('',*,*,#28373,.T.); #18942=ORIENTED_EDGE('',*,*,#28370,.T.); #18943=ORIENTED_EDGE('',*,*,#28367,.T.); #18944=ORIENTED_EDGE('',*,*,#28394,.T.); #18945=ORIENTED_EDGE('',*,*,#29011,.F.); #18946=ORIENTED_EDGE('',*,*,#29009,.T.); #18947=ORIENTED_EDGE('',*,*,#28393,.T.); #18948=ORIENTED_EDGE('',*,*,#29008,.F.); #18949=ORIENTED_EDGE('',*,*,#29012,.F.); #18950=ORIENTED_EDGE('',*,*,#29013,.F.); #18951=ORIENTED_EDGE('',*,*,#29014,.T.); #18952=ORIENTED_EDGE('',*,*,#29015,.F.); #18953=ORIENTED_EDGE('',*,*,#29016,.T.); #18954=ORIENTED_EDGE('',*,*,#29017,.F.); #18955=ORIENTED_EDGE('',*,*,#29018,.F.); #18956=ORIENTED_EDGE('',*,*,#29019,.F.); #18957=ORIENTED_EDGE('',*,*,#29020,.F.); #18958=ORIENTED_EDGE('',*,*,#29021,.T.); #18959=ORIENTED_EDGE('',*,*,#29022,.T.); #18960=ORIENTED_EDGE('',*,*,#28423,.F.); #18961=ORIENTED_EDGE('',*,*,#29023,.F.); #18962=ORIENTED_EDGE('',*,*,#29024,.T.); #18963=ORIENTED_EDGE('',*,*,#28426,.F.); #18964=ORIENTED_EDGE('',*,*,#28396,.F.); #18965=ORIENTED_EDGE('',*,*,#28400,.F.); #18966=ORIENTED_EDGE('',*,*,#28403,.F.); #18967=ORIENTED_EDGE('',*,*,#28406,.F.); #18968=ORIENTED_EDGE('',*,*,#28409,.F.); #18969=ORIENTED_EDGE('',*,*,#28412,.F.); #18970=ORIENTED_EDGE('',*,*,#28415,.F.); #18971=ORIENTED_EDGE('',*,*,#28418,.F.); #18972=ORIENTED_EDGE('',*,*,#28421,.F.); #18973=ORIENTED_EDGE('',*,*,#29022,.F.); #18974=ORIENTED_EDGE('',*,*,#29025,.F.); #18975=ORIENTED_EDGE('',*,*,#29026,.T.); #18976=ORIENTED_EDGE('',*,*,#29020,.T.); #18977=ORIENTED_EDGE('',*,*,#28422,.T.); #18978=ORIENTED_EDGE('',*,*,#28419,.T.); #18979=ORIENTED_EDGE('',*,*,#28416,.T.); #18980=ORIENTED_EDGE('',*,*,#28413,.T.); #18981=ORIENTED_EDGE('',*,*,#28410,.T.); #18982=ORIENTED_EDGE('',*,*,#28407,.T.); #18983=ORIENTED_EDGE('',*,*,#28404,.T.); #18984=ORIENTED_EDGE('',*,*,#28401,.T.); #18985=ORIENTED_EDGE('',*,*,#28398,.T.); #18986=ORIENTED_EDGE('',*,*,#28425,.T.); #18987=ORIENTED_EDGE('',*,*,#29027,.F.); #18988=ORIENTED_EDGE('',*,*,#29025,.T.); #18989=ORIENTED_EDGE('',*,*,#28424,.T.); #18990=ORIENTED_EDGE('',*,*,#29024,.F.); #18991=ORIENTED_EDGE('',*,*,#29028,.F.); #18992=ORIENTED_EDGE('',*,*,#29029,.F.); #18993=ORIENTED_EDGE('',*,*,#29030,.T.); #18994=ORIENTED_EDGE('',*,*,#29031,.F.); #18995=ORIENTED_EDGE('',*,*,#29032,.T.); #18996=ORIENTED_EDGE('',*,*,#29033,.F.); #18997=ORIENTED_EDGE('',*,*,#29034,.F.); #18998=ORIENTED_EDGE('',*,*,#29035,.F.); #18999=ORIENTED_EDGE('',*,*,#29036,.F.); #19000=ORIENTED_EDGE('',*,*,#29037,.T.); #19001=ORIENTED_EDGE('',*,*,#29038,.T.); #19002=ORIENTED_EDGE('',*,*,#28454,.F.); #19003=ORIENTED_EDGE('',*,*,#29039,.F.); #19004=ORIENTED_EDGE('',*,*,#29040,.T.); #19005=ORIENTED_EDGE('',*,*,#28457,.F.); #19006=ORIENTED_EDGE('',*,*,#28427,.F.); #19007=ORIENTED_EDGE('',*,*,#28431,.F.); #19008=ORIENTED_EDGE('',*,*,#28434,.F.); #19009=ORIENTED_EDGE('',*,*,#28437,.F.); #19010=ORIENTED_EDGE('',*,*,#28440,.F.); #19011=ORIENTED_EDGE('',*,*,#28443,.F.); #19012=ORIENTED_EDGE('',*,*,#28446,.F.); #19013=ORIENTED_EDGE('',*,*,#28449,.F.); #19014=ORIENTED_EDGE('',*,*,#28452,.F.); #19015=ORIENTED_EDGE('',*,*,#29038,.F.); #19016=ORIENTED_EDGE('',*,*,#29041,.F.); #19017=ORIENTED_EDGE('',*,*,#29042,.T.); #19018=ORIENTED_EDGE('',*,*,#29036,.T.); #19019=ORIENTED_EDGE('',*,*,#28453,.T.); #19020=ORIENTED_EDGE('',*,*,#28450,.T.); #19021=ORIENTED_EDGE('',*,*,#28447,.T.); #19022=ORIENTED_EDGE('',*,*,#28444,.T.); #19023=ORIENTED_EDGE('',*,*,#28441,.T.); #19024=ORIENTED_EDGE('',*,*,#28438,.T.); #19025=ORIENTED_EDGE('',*,*,#28435,.T.); #19026=ORIENTED_EDGE('',*,*,#28432,.T.); #19027=ORIENTED_EDGE('',*,*,#28429,.T.); #19028=ORIENTED_EDGE('',*,*,#28456,.T.); #19029=ORIENTED_EDGE('',*,*,#29043,.F.); #19030=ORIENTED_EDGE('',*,*,#29041,.T.); #19031=ORIENTED_EDGE('',*,*,#28455,.T.); #19032=ORIENTED_EDGE('',*,*,#29040,.F.); #19033=ORIENTED_EDGE('',*,*,#29044,.F.); #19034=ORIENTED_EDGE('',*,*,#29045,.F.); #19035=ORIENTED_EDGE('',*,*,#29046,.T.); #19036=ORIENTED_EDGE('',*,*,#29047,.F.); #19037=ORIENTED_EDGE('',*,*,#29048,.T.); #19038=ORIENTED_EDGE('',*,*,#29049,.F.); #19039=ORIENTED_EDGE('',*,*,#29050,.F.); #19040=ORIENTED_EDGE('',*,*,#29051,.F.); #19041=ORIENTED_EDGE('',*,*,#29052,.F.); #19042=ORIENTED_EDGE('',*,*,#29053,.T.); #19043=ORIENTED_EDGE('',*,*,#29054,.T.); #19044=ORIENTED_EDGE('',*,*,#28485,.F.); #19045=ORIENTED_EDGE('',*,*,#29055,.F.); #19046=ORIENTED_EDGE('',*,*,#29056,.T.); #19047=ORIENTED_EDGE('',*,*,#28488,.F.); #19048=ORIENTED_EDGE('',*,*,#28458,.F.); #19049=ORIENTED_EDGE('',*,*,#28462,.F.); #19050=ORIENTED_EDGE('',*,*,#28465,.F.); #19051=ORIENTED_EDGE('',*,*,#28468,.F.); #19052=ORIENTED_EDGE('',*,*,#28471,.F.); #19053=ORIENTED_EDGE('',*,*,#28474,.F.); #19054=ORIENTED_EDGE('',*,*,#28477,.F.); #19055=ORIENTED_EDGE('',*,*,#28480,.F.); #19056=ORIENTED_EDGE('',*,*,#28483,.F.); #19057=ORIENTED_EDGE('',*,*,#29054,.F.); #19058=ORIENTED_EDGE('',*,*,#29057,.F.); #19059=ORIENTED_EDGE('',*,*,#29058,.T.); #19060=ORIENTED_EDGE('',*,*,#29052,.T.); #19061=ORIENTED_EDGE('',*,*,#28484,.T.); #19062=ORIENTED_EDGE('',*,*,#28481,.T.); #19063=ORIENTED_EDGE('',*,*,#28478,.T.); #19064=ORIENTED_EDGE('',*,*,#28475,.T.); #19065=ORIENTED_EDGE('',*,*,#28472,.T.); #19066=ORIENTED_EDGE('',*,*,#28469,.T.); #19067=ORIENTED_EDGE('',*,*,#28466,.T.); #19068=ORIENTED_EDGE('',*,*,#28463,.T.); #19069=ORIENTED_EDGE('',*,*,#28460,.T.); #19070=ORIENTED_EDGE('',*,*,#28487,.T.); #19071=ORIENTED_EDGE('',*,*,#29059,.F.); #19072=ORIENTED_EDGE('',*,*,#29057,.T.); #19073=ORIENTED_EDGE('',*,*,#28486,.T.); #19074=ORIENTED_EDGE('',*,*,#29056,.F.); #19075=ORIENTED_EDGE('',*,*,#29060,.F.); #19076=ORIENTED_EDGE('',*,*,#29061,.F.); #19077=ORIENTED_EDGE('',*,*,#29062,.T.); #19078=ORIENTED_EDGE('',*,*,#29063,.F.); #19079=ORIENTED_EDGE('',*,*,#29064,.F.); #19080=ORIENTED_EDGE('',*,*,#29065,.T.); #19081=ORIENTED_EDGE('',*,*,#29066,.T.); #19082=ORIENTED_EDGE('',*,*,#28516,.F.); #19083=ORIENTED_EDGE('',*,*,#29067,.F.); #19084=ORIENTED_EDGE('',*,*,#29068,.T.); #19085=ORIENTED_EDGE('',*,*,#28519,.F.); #19086=ORIENTED_EDGE('',*,*,#28489,.F.); #19087=ORIENTED_EDGE('',*,*,#28493,.F.); #19088=ORIENTED_EDGE('',*,*,#28496,.F.); #19089=ORIENTED_EDGE('',*,*,#28499,.F.); #19090=ORIENTED_EDGE('',*,*,#28502,.F.); #19091=ORIENTED_EDGE('',*,*,#28505,.F.); #19092=ORIENTED_EDGE('',*,*,#28508,.F.); #19093=ORIENTED_EDGE('',*,*,#28511,.F.); #19094=ORIENTED_EDGE('',*,*,#28514,.F.); #19095=ORIENTED_EDGE('',*,*,#29066,.F.); #19096=ORIENTED_EDGE('',*,*,#29069,.F.); #19097=ORIENTED_EDGE('',*,*,#29070,.T.); #19098=ORIENTED_EDGE('',*,*,#29064,.T.); #19099=ORIENTED_EDGE('',*,*,#28515,.T.); #19100=ORIENTED_EDGE('',*,*,#28512,.T.); #19101=ORIENTED_EDGE('',*,*,#28509,.T.); #19102=ORIENTED_EDGE('',*,*,#28506,.T.); #19103=ORIENTED_EDGE('',*,*,#28503,.T.); #19104=ORIENTED_EDGE('',*,*,#28500,.T.); #19105=ORIENTED_EDGE('',*,*,#28497,.T.); #19106=ORIENTED_EDGE('',*,*,#28494,.T.); #19107=ORIENTED_EDGE('',*,*,#28491,.T.); #19108=ORIENTED_EDGE('',*,*,#28518,.T.); #19109=ORIENTED_EDGE('',*,*,#29071,.F.); #19110=ORIENTED_EDGE('',*,*,#29069,.T.); #19111=ORIENTED_EDGE('',*,*,#28517,.T.); #19112=ORIENTED_EDGE('',*,*,#29068,.F.); #19113=ORIENTED_EDGE('',*,*,#29072,.F.); #19114=ORIENTED_EDGE('',*,*,#29073,.F.); #19115=ORIENTED_EDGE('',*,*,#29074,.T.); #19116=ORIENTED_EDGE('',*,*,#29075,.F.); #19117=ORIENTED_EDGE('',*,*,#29076,.T.); #19118=ORIENTED_EDGE('',*,*,#29077,.F.); #19119=ORIENTED_EDGE('',*,*,#29078,.F.); #19120=ORIENTED_EDGE('',*,*,#29079,.F.); #19121=ORIENTED_EDGE('',*,*,#29080,.F.); #19122=ORIENTED_EDGE('',*,*,#29081,.T.); #19123=ORIENTED_EDGE('',*,*,#29082,.T.); #19124=ORIENTED_EDGE('',*,*,#28547,.F.); #19125=ORIENTED_EDGE('',*,*,#29083,.F.); #19126=ORIENTED_EDGE('',*,*,#29084,.T.); #19127=ORIENTED_EDGE('',*,*,#28550,.F.); #19128=ORIENTED_EDGE('',*,*,#28520,.F.); #19129=ORIENTED_EDGE('',*,*,#28524,.F.); #19130=ORIENTED_EDGE('',*,*,#28527,.F.); #19131=ORIENTED_EDGE('',*,*,#28530,.F.); #19132=ORIENTED_EDGE('',*,*,#28533,.F.); #19133=ORIENTED_EDGE('',*,*,#28536,.F.); #19134=ORIENTED_EDGE('',*,*,#28539,.F.); #19135=ORIENTED_EDGE('',*,*,#28542,.F.); #19136=ORIENTED_EDGE('',*,*,#28545,.F.); #19137=ORIENTED_EDGE('',*,*,#29082,.F.); #19138=ORIENTED_EDGE('',*,*,#29085,.F.); #19139=ORIENTED_EDGE('',*,*,#29086,.T.); #19140=ORIENTED_EDGE('',*,*,#29080,.T.); #19141=ORIENTED_EDGE('',*,*,#28546,.T.); #19142=ORIENTED_EDGE('',*,*,#28543,.T.); #19143=ORIENTED_EDGE('',*,*,#28540,.T.); #19144=ORIENTED_EDGE('',*,*,#28537,.T.); #19145=ORIENTED_EDGE('',*,*,#28534,.T.); #19146=ORIENTED_EDGE('',*,*,#28531,.T.); #19147=ORIENTED_EDGE('',*,*,#28528,.T.); #19148=ORIENTED_EDGE('',*,*,#28525,.T.); #19149=ORIENTED_EDGE('',*,*,#28522,.T.); #19150=ORIENTED_EDGE('',*,*,#28549,.T.); #19151=ORIENTED_EDGE('',*,*,#29087,.F.); #19152=ORIENTED_EDGE('',*,*,#29085,.T.); #19153=ORIENTED_EDGE('',*,*,#28548,.T.); #19154=ORIENTED_EDGE('',*,*,#29084,.F.); #19155=ORIENTED_EDGE('',*,*,#29088,.F.); #19156=ORIENTED_EDGE('',*,*,#29089,.F.); #19157=ORIENTED_EDGE('',*,*,#29090,.T.); #19158=ORIENTED_EDGE('',*,*,#29091,.F.); #19159=ORIENTED_EDGE('',*,*,#29092,.T.); #19160=ORIENTED_EDGE('',*,*,#29093,.F.); #19161=ORIENTED_EDGE('',*,*,#29094,.F.); #19162=ORIENTED_EDGE('',*,*,#29095,.F.); #19163=ORIENTED_EDGE('',*,*,#29096,.F.); #19164=ORIENTED_EDGE('',*,*,#29097,.T.); #19165=ORIENTED_EDGE('',*,*,#29098,.T.); #19166=ORIENTED_EDGE('',*,*,#28578,.F.); #19167=ORIENTED_EDGE('',*,*,#29099,.F.); #19168=ORIENTED_EDGE('',*,*,#29100,.T.); #19169=ORIENTED_EDGE('',*,*,#28581,.F.); #19170=ORIENTED_EDGE('',*,*,#28551,.F.); #19171=ORIENTED_EDGE('',*,*,#28555,.F.); #19172=ORIENTED_EDGE('',*,*,#28558,.F.); #19173=ORIENTED_EDGE('',*,*,#28561,.F.); #19174=ORIENTED_EDGE('',*,*,#28564,.F.); #19175=ORIENTED_EDGE('',*,*,#28567,.F.); #19176=ORIENTED_EDGE('',*,*,#28570,.F.); #19177=ORIENTED_EDGE('',*,*,#28573,.F.); #19178=ORIENTED_EDGE('',*,*,#28576,.F.); #19179=ORIENTED_EDGE('',*,*,#29098,.F.); #19180=ORIENTED_EDGE('',*,*,#29101,.F.); #19181=ORIENTED_EDGE('',*,*,#29102,.T.); #19182=ORIENTED_EDGE('',*,*,#29096,.T.); #19183=ORIENTED_EDGE('',*,*,#28577,.T.); #19184=ORIENTED_EDGE('',*,*,#28574,.T.); #19185=ORIENTED_EDGE('',*,*,#28571,.T.); #19186=ORIENTED_EDGE('',*,*,#28568,.T.); #19187=ORIENTED_EDGE('',*,*,#28565,.T.); #19188=ORIENTED_EDGE('',*,*,#28562,.T.); #19189=ORIENTED_EDGE('',*,*,#28559,.T.); #19190=ORIENTED_EDGE('',*,*,#28556,.T.); #19191=ORIENTED_EDGE('',*,*,#28553,.T.); #19192=ORIENTED_EDGE('',*,*,#28580,.T.); #19193=ORIENTED_EDGE('',*,*,#29103,.F.); #19194=ORIENTED_EDGE('',*,*,#29101,.T.); #19195=ORIENTED_EDGE('',*,*,#28579,.T.); #19196=ORIENTED_EDGE('',*,*,#29100,.F.); #19197=ORIENTED_EDGE('',*,*,#29104,.F.); #19198=ORIENTED_EDGE('',*,*,#29105,.F.); #19199=ORIENTED_EDGE('',*,*,#29106,.T.); #19200=ORIENTED_EDGE('',*,*,#29107,.F.); #19201=ORIENTED_EDGE('',*,*,#29108,.T.); #19202=ORIENTED_EDGE('',*,*,#29109,.F.); #19203=ORIENTED_EDGE('',*,*,#29110,.F.); #19204=ORIENTED_EDGE('',*,*,#29111,.F.); #19205=ORIENTED_EDGE('',*,*,#29112,.F.); #19206=ORIENTED_EDGE('',*,*,#29113,.T.); #19207=ORIENTED_EDGE('',*,*,#29114,.T.); #19208=ORIENTED_EDGE('',*,*,#28609,.F.); #19209=ORIENTED_EDGE('',*,*,#29115,.F.); #19210=ORIENTED_EDGE('',*,*,#29116,.T.); #19211=ORIENTED_EDGE('',*,*,#28612,.F.); #19212=ORIENTED_EDGE('',*,*,#28582,.F.); #19213=ORIENTED_EDGE('',*,*,#28586,.F.); #19214=ORIENTED_EDGE('',*,*,#28589,.F.); #19215=ORIENTED_EDGE('',*,*,#28592,.F.); #19216=ORIENTED_EDGE('',*,*,#28595,.F.); #19217=ORIENTED_EDGE('',*,*,#28598,.F.); #19218=ORIENTED_EDGE('',*,*,#28601,.F.); #19219=ORIENTED_EDGE('',*,*,#28604,.F.); #19220=ORIENTED_EDGE('',*,*,#28607,.F.); #19221=ORIENTED_EDGE('',*,*,#29114,.F.); #19222=ORIENTED_EDGE('',*,*,#29117,.F.); #19223=ORIENTED_EDGE('',*,*,#29118,.T.); #19224=ORIENTED_EDGE('',*,*,#29112,.T.); #19225=ORIENTED_EDGE('',*,*,#28608,.T.); #19226=ORIENTED_EDGE('',*,*,#28605,.T.); #19227=ORIENTED_EDGE('',*,*,#28602,.T.); #19228=ORIENTED_EDGE('',*,*,#28599,.T.); #19229=ORIENTED_EDGE('',*,*,#28596,.T.); #19230=ORIENTED_EDGE('',*,*,#28593,.T.); #19231=ORIENTED_EDGE('',*,*,#28590,.T.); #19232=ORIENTED_EDGE('',*,*,#28587,.T.); #19233=ORIENTED_EDGE('',*,*,#28584,.T.); #19234=ORIENTED_EDGE('',*,*,#28611,.T.); #19235=ORIENTED_EDGE('',*,*,#29119,.F.); #19236=ORIENTED_EDGE('',*,*,#29117,.T.); #19237=ORIENTED_EDGE('',*,*,#28610,.T.); #19238=ORIENTED_EDGE('',*,*,#29116,.F.); #19239=ORIENTED_EDGE('',*,*,#29120,.F.); #19240=ORIENTED_EDGE('',*,*,#29121,.F.); #19241=ORIENTED_EDGE('',*,*,#29122,.T.); #19242=ORIENTED_EDGE('',*,*,#29123,.F.); #19243=ORIENTED_EDGE('',*,*,#29124,.T.); #19244=ORIENTED_EDGE('',*,*,#29125,.F.); #19245=ORIENTED_EDGE('',*,*,#29126,.F.); #19246=ORIENTED_EDGE('',*,*,#29127,.F.); #19247=ORIENTED_EDGE('',*,*,#29128,.F.); #19248=ORIENTED_EDGE('',*,*,#29129,.T.); #19249=ORIENTED_EDGE('',*,*,#29130,.T.); #19250=ORIENTED_EDGE('',*,*,#28640,.F.); #19251=ORIENTED_EDGE('',*,*,#29131,.F.); #19252=ORIENTED_EDGE('',*,*,#29132,.T.); #19253=ORIENTED_EDGE('',*,*,#28643,.F.); #19254=ORIENTED_EDGE('',*,*,#28613,.F.); #19255=ORIENTED_EDGE('',*,*,#28617,.F.); #19256=ORIENTED_EDGE('',*,*,#28620,.F.); #19257=ORIENTED_EDGE('',*,*,#28623,.F.); #19258=ORIENTED_EDGE('',*,*,#28626,.F.); #19259=ORIENTED_EDGE('',*,*,#28629,.F.); #19260=ORIENTED_EDGE('',*,*,#28632,.F.); #19261=ORIENTED_EDGE('',*,*,#28635,.F.); #19262=ORIENTED_EDGE('',*,*,#28638,.F.); #19263=ORIENTED_EDGE('',*,*,#29130,.F.); #19264=ORIENTED_EDGE('',*,*,#29133,.F.); #19265=ORIENTED_EDGE('',*,*,#29134,.T.); #19266=ORIENTED_EDGE('',*,*,#29128,.T.); #19267=ORIENTED_EDGE('',*,*,#28639,.T.); #19268=ORIENTED_EDGE('',*,*,#28636,.T.); #19269=ORIENTED_EDGE('',*,*,#28633,.T.); #19270=ORIENTED_EDGE('',*,*,#28630,.T.); #19271=ORIENTED_EDGE('',*,*,#28627,.T.); #19272=ORIENTED_EDGE('',*,*,#28624,.T.); #19273=ORIENTED_EDGE('',*,*,#28621,.T.); #19274=ORIENTED_EDGE('',*,*,#28618,.T.); #19275=ORIENTED_EDGE('',*,*,#28615,.T.); #19276=ORIENTED_EDGE('',*,*,#28642,.T.); #19277=ORIENTED_EDGE('',*,*,#29135,.F.); #19278=ORIENTED_EDGE('',*,*,#29133,.T.); #19279=ORIENTED_EDGE('',*,*,#28641,.T.); #19280=ORIENTED_EDGE('',*,*,#29132,.F.); #19281=ORIENTED_EDGE('',*,*,#29136,.F.); #19282=ORIENTED_EDGE('',*,*,#29137,.F.); #19283=ORIENTED_EDGE('',*,*,#29138,.T.); #19284=ORIENTED_EDGE('',*,*,#29139,.F.); #19285=ORIENTED_EDGE('',*,*,#29140,.T.); #19286=ORIENTED_EDGE('',*,*,#29141,.F.); #19287=ORIENTED_EDGE('',*,*,#29142,.F.); #19288=ORIENTED_EDGE('',*,*,#29143,.F.); #19289=ORIENTED_EDGE('',*,*,#29144,.F.); #19290=ORIENTED_EDGE('',*,*,#29145,.T.); #19291=ORIENTED_EDGE('',*,*,#29146,.T.); #19292=ORIENTED_EDGE('',*,*,#28671,.F.); #19293=ORIENTED_EDGE('',*,*,#29147,.F.); #19294=ORIENTED_EDGE('',*,*,#29148,.T.); #19295=ORIENTED_EDGE('',*,*,#28674,.F.); #19296=ORIENTED_EDGE('',*,*,#28644,.F.); #19297=ORIENTED_EDGE('',*,*,#28648,.F.); #19298=ORIENTED_EDGE('',*,*,#28651,.F.); #19299=ORIENTED_EDGE('',*,*,#28654,.F.); #19300=ORIENTED_EDGE('',*,*,#28657,.F.); #19301=ORIENTED_EDGE('',*,*,#28660,.F.); #19302=ORIENTED_EDGE('',*,*,#28663,.F.); #19303=ORIENTED_EDGE('',*,*,#28666,.F.); #19304=ORIENTED_EDGE('',*,*,#28669,.F.); #19305=ORIENTED_EDGE('',*,*,#29146,.F.); #19306=ORIENTED_EDGE('',*,*,#29149,.F.); #19307=ORIENTED_EDGE('',*,*,#29150,.T.); #19308=ORIENTED_EDGE('',*,*,#29144,.T.); #19309=ORIENTED_EDGE('',*,*,#28670,.T.); #19310=ORIENTED_EDGE('',*,*,#28667,.T.); #19311=ORIENTED_EDGE('',*,*,#28664,.T.); #19312=ORIENTED_EDGE('',*,*,#28661,.T.); #19313=ORIENTED_EDGE('',*,*,#28658,.T.); #19314=ORIENTED_EDGE('',*,*,#28655,.T.); #19315=ORIENTED_EDGE('',*,*,#28652,.T.); #19316=ORIENTED_EDGE('',*,*,#28649,.T.); #19317=ORIENTED_EDGE('',*,*,#28646,.T.); #19318=ORIENTED_EDGE('',*,*,#28673,.T.); #19319=ORIENTED_EDGE('',*,*,#29151,.F.); #19320=ORIENTED_EDGE('',*,*,#29149,.T.); #19321=ORIENTED_EDGE('',*,*,#28672,.T.); #19322=ORIENTED_EDGE('',*,*,#29148,.F.); #19323=ORIENTED_EDGE('',*,*,#29152,.F.); #19324=ORIENTED_EDGE('',*,*,#29153,.F.); #19325=ORIENTED_EDGE('',*,*,#29154,.T.); #19326=ORIENTED_EDGE('',*,*,#29155,.F.); #19327=ORIENTED_EDGE('',*,*,#29156,.T.); #19328=ORIENTED_EDGE('',*,*,#29157,.F.); #19329=ORIENTED_EDGE('',*,*,#29158,.F.); #19330=ORIENTED_EDGE('',*,*,#29159,.F.); #19331=ORIENTED_EDGE('',*,*,#29160,.F.); #19332=ORIENTED_EDGE('',*,*,#29161,.T.); #19333=ORIENTED_EDGE('',*,*,#29162,.T.); #19334=ORIENTED_EDGE('',*,*,#28702,.F.); #19335=ORIENTED_EDGE('',*,*,#29163,.F.); #19336=ORIENTED_EDGE('',*,*,#29164,.T.); #19337=ORIENTED_EDGE('',*,*,#28705,.F.); #19338=ORIENTED_EDGE('',*,*,#28675,.F.); #19339=ORIENTED_EDGE('',*,*,#28679,.F.); #19340=ORIENTED_EDGE('',*,*,#28682,.F.); #19341=ORIENTED_EDGE('',*,*,#28685,.F.); #19342=ORIENTED_EDGE('',*,*,#28688,.F.); #19343=ORIENTED_EDGE('',*,*,#28691,.F.); #19344=ORIENTED_EDGE('',*,*,#28694,.F.); #19345=ORIENTED_EDGE('',*,*,#28697,.F.); #19346=ORIENTED_EDGE('',*,*,#28700,.F.); #19347=ORIENTED_EDGE('',*,*,#29162,.F.); #19348=ORIENTED_EDGE('',*,*,#29165,.F.); #19349=ORIENTED_EDGE('',*,*,#29166,.T.); #19350=ORIENTED_EDGE('',*,*,#29160,.T.); #19351=ORIENTED_EDGE('',*,*,#28701,.T.); #19352=ORIENTED_EDGE('',*,*,#28698,.T.); #19353=ORIENTED_EDGE('',*,*,#28695,.T.); #19354=ORIENTED_EDGE('',*,*,#28692,.T.); #19355=ORIENTED_EDGE('',*,*,#28689,.T.); #19356=ORIENTED_EDGE('',*,*,#28686,.T.); #19357=ORIENTED_EDGE('',*,*,#28683,.T.); #19358=ORIENTED_EDGE('',*,*,#28680,.T.); #19359=ORIENTED_EDGE('',*,*,#28677,.T.); #19360=ORIENTED_EDGE('',*,*,#28704,.T.); #19361=ORIENTED_EDGE('',*,*,#29167,.F.); #19362=ORIENTED_EDGE('',*,*,#29165,.T.); #19363=ORIENTED_EDGE('',*,*,#28703,.T.); #19364=ORIENTED_EDGE('',*,*,#29164,.F.); #19365=ORIENTED_EDGE('',*,*,#29168,.F.); #19366=ORIENTED_EDGE('',*,*,#29169,.F.); #19367=ORIENTED_EDGE('',*,*,#29170,.T.); #19368=ORIENTED_EDGE('',*,*,#29171,.F.); #19369=ORIENTED_EDGE('',*,*,#29172,.T.); #19370=ORIENTED_EDGE('',*,*,#29173,.F.); #19371=ORIENTED_EDGE('',*,*,#29174,.F.); #19372=ORIENTED_EDGE('',*,*,#29175,.F.); #19373=ORIENTED_EDGE('',*,*,#29176,.F.); #19374=ORIENTED_EDGE('',*,*,#29177,.T.); #19375=ORIENTED_EDGE('',*,*,#29178,.T.); #19376=ORIENTED_EDGE('',*,*,#28733,.F.); #19377=ORIENTED_EDGE('',*,*,#29179,.F.); #19378=ORIENTED_EDGE('',*,*,#29180,.T.); #19379=ORIENTED_EDGE('',*,*,#28736,.F.); #19380=ORIENTED_EDGE('',*,*,#28706,.F.); #19381=ORIENTED_EDGE('',*,*,#28710,.F.); #19382=ORIENTED_EDGE('',*,*,#28713,.F.); #19383=ORIENTED_EDGE('',*,*,#28716,.F.); #19384=ORIENTED_EDGE('',*,*,#28719,.F.); #19385=ORIENTED_EDGE('',*,*,#28722,.F.); #19386=ORIENTED_EDGE('',*,*,#28725,.F.); #19387=ORIENTED_EDGE('',*,*,#28728,.F.); #19388=ORIENTED_EDGE('',*,*,#28731,.F.); #19389=ORIENTED_EDGE('',*,*,#29178,.F.); #19390=ORIENTED_EDGE('',*,*,#29181,.F.); #19391=ORIENTED_EDGE('',*,*,#29182,.T.); #19392=ORIENTED_EDGE('',*,*,#29176,.T.); #19393=ORIENTED_EDGE('',*,*,#28732,.T.); #19394=ORIENTED_EDGE('',*,*,#28729,.T.); #19395=ORIENTED_EDGE('',*,*,#28726,.T.); #19396=ORIENTED_EDGE('',*,*,#28723,.T.); #19397=ORIENTED_EDGE('',*,*,#28720,.T.); #19398=ORIENTED_EDGE('',*,*,#28717,.T.); #19399=ORIENTED_EDGE('',*,*,#28714,.T.); #19400=ORIENTED_EDGE('',*,*,#28711,.T.); #19401=ORIENTED_EDGE('',*,*,#28708,.T.); #19402=ORIENTED_EDGE('',*,*,#28735,.T.); #19403=ORIENTED_EDGE('',*,*,#29183,.F.); #19404=ORIENTED_EDGE('',*,*,#29181,.T.); #19405=ORIENTED_EDGE('',*,*,#28734,.T.); #19406=ORIENTED_EDGE('',*,*,#29180,.F.); #19407=ORIENTED_EDGE('',*,*,#29184,.F.); #19408=ORIENTED_EDGE('',*,*,#29185,.F.); #19409=ORIENTED_EDGE('',*,*,#29186,.T.); #19410=ORIENTED_EDGE('',*,*,#29187,.F.); #19411=ORIENTED_EDGE('',*,*,#29188,.T.); #19412=ORIENTED_EDGE('',*,*,#29189,.F.); #19413=ORIENTED_EDGE('',*,*,#29190,.F.); #19414=ORIENTED_EDGE('',*,*,#29191,.F.); #19415=ORIENTED_EDGE('',*,*,#29192,.T.); #19416=ORIENTED_EDGE('',*,*,#29193,.F.); #19417=ORIENTED_EDGE('',*,*,#29194,.F.); #19418=ORIENTED_EDGE('',*,*,#29195,.T.); #19419=ORIENTED_EDGE('',*,*,#29196,.T.); #19420=ORIENTED_EDGE('',*,*,#29197,.F.); #19421=ORIENTED_EDGE('',*,*,#29198,.F.); #19422=ORIENTED_EDGE('',*,*,#29193,.T.); #19423=ORIENTED_EDGE('',*,*,#29199,.T.); #19424=ORIENTED_EDGE('',*,*,#29200,.F.); #19425=ORIENTED_EDGE('',*,*,#29201,.F.); #19426=ORIENTED_EDGE('',*,*,#29197,.T.); #19427=ORIENTED_EDGE('',*,*,#29202,.T.); #19428=ORIENTED_EDGE('',*,*,#29203,.F.); #19429=ORIENTED_EDGE('',*,*,#29204,.F.); #19430=ORIENTED_EDGE('',*,*,#29205,.T.); #19431=ORIENTED_EDGE('',*,*,#29206,.T.); #19432=ORIENTED_EDGE('',*,*,#29207,.F.); #19433=ORIENTED_EDGE('',*,*,#29208,.F.); #19434=ORIENTED_EDGE('',*,*,#29203,.T.); #19435=ORIENTED_EDGE('',*,*,#29209,.T.); #19436=ORIENTED_EDGE('',*,*,#29210,.F.); #19437=ORIENTED_EDGE('',*,*,#29211,.F.); #19438=ORIENTED_EDGE('',*,*,#29207,.T.); #19439=ORIENTED_EDGE('',*,*,#29212,.T.); #19440=ORIENTED_EDGE('',*,*,#29213,.F.); #19441=ORIENTED_EDGE('',*,*,#29214,.F.); #19442=ORIENTED_EDGE('',*,*,#29215,.T.); #19443=ORIENTED_EDGE('',*,*,#29216,.T.); #19444=ORIENTED_EDGE('',*,*,#29217,.F.); #19445=ORIENTED_EDGE('',*,*,#29218,.F.); #19446=ORIENTED_EDGE('',*,*,#29213,.T.); #19447=ORIENTED_EDGE('',*,*,#29219,.T.); #19448=ORIENTED_EDGE('',*,*,#29220,.F.); #19449=ORIENTED_EDGE('',*,*,#29221,.F.); #19450=ORIENTED_EDGE('',*,*,#29217,.T.); #19451=ORIENTED_EDGE('',*,*,#29222,.T.); #19452=ORIENTED_EDGE('',*,*,#29223,.F.); #19453=ORIENTED_EDGE('',*,*,#29224,.F.); #19454=ORIENTED_EDGE('',*,*,#29225,.T.); #19455=ORIENTED_EDGE('',*,*,#29226,.T.); #19456=ORIENTED_EDGE('',*,*,#29227,.F.); #19457=ORIENTED_EDGE('',*,*,#29228,.F.); #19458=ORIENTED_EDGE('',*,*,#29223,.T.); #19459=ORIENTED_EDGE('',*,*,#29229,.T.); #19460=ORIENTED_EDGE('',*,*,#29230,.F.); #19461=ORIENTED_EDGE('',*,*,#29231,.F.); #19462=ORIENTED_EDGE('',*,*,#29227,.T.); #19463=ORIENTED_EDGE('',*,*,#29232,.T.); #19464=ORIENTED_EDGE('',*,*,#29233,.F.); #19465=ORIENTED_EDGE('',*,*,#29234,.F.); #19466=ORIENTED_EDGE('',*,*,#29235,.T.); #19467=ORIENTED_EDGE('',*,*,#29236,.T.); #19468=ORIENTED_EDGE('',*,*,#29237,.F.); #19469=ORIENTED_EDGE('',*,*,#29238,.F.); #19470=ORIENTED_EDGE('',*,*,#29233,.T.); #19471=ORIENTED_EDGE('',*,*,#29239,.T.); #19472=ORIENTED_EDGE('',*,*,#29240,.F.); #19473=ORIENTED_EDGE('',*,*,#29241,.F.); #19474=ORIENTED_EDGE('',*,*,#29237,.T.); #19475=ORIENTED_EDGE('',*,*,#29242,.T.); #19476=ORIENTED_EDGE('',*,*,#29243,.F.); #19477=ORIENTED_EDGE('',*,*,#29244,.F.); #19478=ORIENTED_EDGE('',*,*,#29245,.T.); #19479=ORIENTED_EDGE('',*,*,#29246,.T.); #19480=ORIENTED_EDGE('',*,*,#29247,.F.); #19481=ORIENTED_EDGE('',*,*,#29248,.F.); #19482=ORIENTED_EDGE('',*,*,#29243,.T.); #19483=ORIENTED_EDGE('',*,*,#29249,.T.); #19484=ORIENTED_EDGE('',*,*,#29250,.F.); #19485=ORIENTED_EDGE('',*,*,#29251,.F.); #19486=ORIENTED_EDGE('',*,*,#29247,.T.); #19487=ORIENTED_EDGE('',*,*,#29252,.T.); #19488=ORIENTED_EDGE('',*,*,#29253,.F.); #19489=ORIENTED_EDGE('',*,*,#29254,.F.); #19490=ORIENTED_EDGE('',*,*,#29255,.T.); #19491=ORIENTED_EDGE('',*,*,#29256,.T.); #19492=ORIENTED_EDGE('',*,*,#29257,.F.); #19493=ORIENTED_EDGE('',*,*,#29258,.F.); #19494=ORIENTED_EDGE('',*,*,#29253,.T.); #19495=ORIENTED_EDGE('',*,*,#29259,.T.); #19496=ORIENTED_EDGE('',*,*,#29260,.F.); #19497=ORIENTED_EDGE('',*,*,#29261,.F.); #19498=ORIENTED_EDGE('',*,*,#29257,.T.); #19499=ORIENTED_EDGE('',*,*,#29262,.T.); #19500=ORIENTED_EDGE('',*,*,#29263,.F.); #19501=ORIENTED_EDGE('',*,*,#29264,.F.); #19502=ORIENTED_EDGE('',*,*,#29265,.T.); #19503=ORIENTED_EDGE('',*,*,#29266,.T.); #19504=ORIENTED_EDGE('',*,*,#29267,.F.); #19505=ORIENTED_EDGE('',*,*,#29268,.F.); #19506=ORIENTED_EDGE('',*,*,#29263,.T.); #19507=ORIENTED_EDGE('',*,*,#29269,.T.); #19508=ORIENTED_EDGE('',*,*,#29270,.F.); #19509=ORIENTED_EDGE('',*,*,#29271,.F.); #19510=ORIENTED_EDGE('',*,*,#29267,.T.); #19511=ORIENTED_EDGE('',*,*,#29272,.T.); #19512=ORIENTED_EDGE('',*,*,#29273,.F.); #19513=ORIENTED_EDGE('',*,*,#29274,.F.); #19514=ORIENTED_EDGE('',*,*,#29275,.T.); #19515=ORIENTED_EDGE('',*,*,#29276,.T.); #19516=ORIENTED_EDGE('',*,*,#29277,.F.); #19517=ORIENTED_EDGE('',*,*,#29278,.F.); #19518=ORIENTED_EDGE('',*,*,#29273,.T.); #19519=ORIENTED_EDGE('',*,*,#29279,.T.); #19520=ORIENTED_EDGE('',*,*,#29280,.F.); #19521=ORIENTED_EDGE('',*,*,#29281,.F.); #19522=ORIENTED_EDGE('',*,*,#29277,.T.); #19523=ORIENTED_EDGE('',*,*,#29282,.T.); #19524=ORIENTED_EDGE('',*,*,#29283,.F.); #19525=ORIENTED_EDGE('',*,*,#29284,.F.); #19526=ORIENTED_EDGE('',*,*,#29285,.T.); #19527=ORIENTED_EDGE('',*,*,#29286,.T.); #19528=ORIENTED_EDGE('',*,*,#29287,.F.); #19529=ORIENTED_EDGE('',*,*,#29288,.F.); #19530=ORIENTED_EDGE('',*,*,#29283,.T.); #19531=ORIENTED_EDGE('',*,*,#29289,.T.); #19532=ORIENTED_EDGE('',*,*,#29290,.F.); #19533=ORIENTED_EDGE('',*,*,#29291,.F.); #19534=ORIENTED_EDGE('',*,*,#29287,.T.); #19535=ORIENTED_EDGE('',*,*,#29292,.T.); #19536=ORIENTED_EDGE('',*,*,#29293,.F.); #19537=ORIENTED_EDGE('',*,*,#29294,.F.); #19538=ORIENTED_EDGE('',*,*,#29295,.T.); #19539=ORIENTED_EDGE('',*,*,#29296,.T.); #19540=ORIENTED_EDGE('',*,*,#29297,.F.); #19541=ORIENTED_EDGE('',*,*,#29298,.F.); #19542=ORIENTED_EDGE('',*,*,#29293,.T.); #19543=ORIENTED_EDGE('',*,*,#29299,.T.); #19544=ORIENTED_EDGE('',*,*,#29300,.F.); #19545=ORIENTED_EDGE('',*,*,#29301,.F.); #19546=ORIENTED_EDGE('',*,*,#29297,.T.); #19547=ORIENTED_EDGE('',*,*,#29302,.T.); #19548=ORIENTED_EDGE('',*,*,#29303,.F.); #19549=ORIENTED_EDGE('',*,*,#29304,.F.); #19550=ORIENTED_EDGE('',*,*,#29305,.T.); #19551=ORIENTED_EDGE('',*,*,#29306,.T.); #19552=ORIENTED_EDGE('',*,*,#29307,.F.); #19553=ORIENTED_EDGE('',*,*,#29308,.F.); #19554=ORIENTED_EDGE('',*,*,#29303,.T.); #19555=ORIENTED_EDGE('',*,*,#29309,.T.); #19556=ORIENTED_EDGE('',*,*,#29310,.F.); #19557=ORIENTED_EDGE('',*,*,#29311,.F.); #19558=ORIENTED_EDGE('',*,*,#29307,.T.); #19559=ORIENTED_EDGE('',*,*,#29312,.T.); #19560=ORIENTED_EDGE('',*,*,#29313,.F.); #19561=ORIENTED_EDGE('',*,*,#29314,.F.); #19562=ORIENTED_EDGE('',*,*,#29315,.T.); #19563=ORIENTED_EDGE('',*,*,#29316,.T.); #19564=ORIENTED_EDGE('',*,*,#29317,.F.); #19565=ORIENTED_EDGE('',*,*,#29318,.F.); #19566=ORIENTED_EDGE('',*,*,#29313,.T.); #19567=ORIENTED_EDGE('',*,*,#29319,.T.); #19568=ORIENTED_EDGE('',*,*,#29320,.F.); #19569=ORIENTED_EDGE('',*,*,#29321,.F.); #19570=ORIENTED_EDGE('',*,*,#29317,.T.); #19571=ORIENTED_EDGE('',*,*,#29322,.T.); #19572=ORIENTED_EDGE('',*,*,#29323,.F.); #19573=ORIENTED_EDGE('',*,*,#29324,.F.); #19574=ORIENTED_EDGE('',*,*,#29325,.T.); #19575=ORIENTED_EDGE('',*,*,#29326,.T.); #19576=ORIENTED_EDGE('',*,*,#29327,.F.); #19577=ORIENTED_EDGE('',*,*,#29328,.F.); #19578=ORIENTED_EDGE('',*,*,#29323,.T.); #19579=ORIENTED_EDGE('',*,*,#29329,.T.); #19580=ORIENTED_EDGE('',*,*,#29330,.F.); #19581=ORIENTED_EDGE('',*,*,#29331,.F.); #19582=ORIENTED_EDGE('',*,*,#29327,.T.); #19583=ORIENTED_EDGE('',*,*,#29332,.T.); #19584=ORIENTED_EDGE('',*,*,#29333,.F.); #19585=ORIENTED_EDGE('',*,*,#29334,.F.); #19586=ORIENTED_EDGE('',*,*,#29335,.T.); #19587=ORIENTED_EDGE('',*,*,#29336,.T.); #19588=ORIENTED_EDGE('',*,*,#29337,.F.); #19589=ORIENTED_EDGE('',*,*,#29338,.F.); #19590=ORIENTED_EDGE('',*,*,#29333,.T.); #19591=ORIENTED_EDGE('',*,*,#29339,.T.); #19592=ORIENTED_EDGE('',*,*,#29340,.F.); #19593=ORIENTED_EDGE('',*,*,#29341,.F.); #19594=ORIENTED_EDGE('',*,*,#29337,.T.); #19595=ORIENTED_EDGE('',*,*,#29342,.T.); #19596=ORIENTED_EDGE('',*,*,#29343,.F.); #19597=ORIENTED_EDGE('',*,*,#29344,.F.); #19598=ORIENTED_EDGE('',*,*,#29345,.T.); #19599=ORIENTED_EDGE('',*,*,#29346,.T.); #19600=ORIENTED_EDGE('',*,*,#29347,.F.); #19601=ORIENTED_EDGE('',*,*,#29348,.F.); #19602=ORIENTED_EDGE('',*,*,#29343,.T.); #19603=ORIENTED_EDGE('',*,*,#29349,.T.); #19604=ORIENTED_EDGE('',*,*,#29350,.F.); #19605=ORIENTED_EDGE('',*,*,#29351,.F.); #19606=ORIENTED_EDGE('',*,*,#29347,.T.); #19607=ORIENTED_EDGE('',*,*,#29352,.T.); #19608=ORIENTED_EDGE('',*,*,#28883,.F.); #19609=ORIENTED_EDGE('',*,*,#29353,.F.); #19610=ORIENTED_EDGE('',*,*,#29354,.T.); #19611=ORIENTED_EDGE('',*,*,#29355,.T.); #19612=ORIENTED_EDGE('',*,*,#28876,.F.); #19613=ORIENTED_EDGE('',*,*,#29356,.F.); #19614=ORIENTED_EDGE('',*,*,#29357,.T.); #19615=ORIENTED_EDGE('',*,*,#29358,.T.); #19616=ORIENTED_EDGE('',*,*,#28869,.F.); #19617=ORIENTED_EDGE('',*,*,#29359,.F.); #19618=ORIENTED_EDGE('',*,*,#29360,.T.); #19619=ORIENTED_EDGE('',*,*,#29361,.T.); #19620=ORIENTED_EDGE('',*,*,#28862,.F.); #19621=ORIENTED_EDGE('',*,*,#29362,.F.); #19622=ORIENTED_EDGE('',*,*,#29363,.T.); #19623=ORIENTED_EDGE('',*,*,#29364,.T.); #19624=ORIENTED_EDGE('',*,*,#28855,.F.); #19625=ORIENTED_EDGE('',*,*,#29365,.F.); #19626=ORIENTED_EDGE('',*,*,#29366,.T.); #19627=ORIENTED_EDGE('',*,*,#29367,.T.); #19628=ORIENTED_EDGE('',*,*,#28848,.F.); #19629=ORIENTED_EDGE('',*,*,#29368,.F.); #19630=ORIENTED_EDGE('',*,*,#29369,.T.); #19631=ORIENTED_EDGE('',*,*,#29370,.T.); #19632=ORIENTED_EDGE('',*,*,#28841,.F.); #19633=ORIENTED_EDGE('',*,*,#29371,.F.); #19634=ORIENTED_EDGE('',*,*,#29372,.T.); #19635=ORIENTED_EDGE('',*,*,#29373,.T.); #19636=ORIENTED_EDGE('',*,*,#28834,.F.); #19637=ORIENTED_EDGE('',*,*,#29374,.F.); #19638=ORIENTED_EDGE('',*,*,#29375,.T.); #19639=ORIENTED_EDGE('',*,*,#29376,.T.); #19640=ORIENTED_EDGE('',*,*,#29377,.F.); #19641=ORIENTED_EDGE('',*,*,#29378,.F.); #19642=ORIENTED_EDGE('',*,*,#29270,.T.); #19643=ORIENTED_EDGE('',*,*,#29379,.T.); #19644=ORIENTED_EDGE('',*,*,#29380,.F.); #19645=ORIENTED_EDGE('',*,*,#29381,.F.); #19646=ORIENTED_EDGE('',*,*,#29260,.T.); #19647=ORIENTED_EDGE('',*,*,#29382,.T.); #19648=ORIENTED_EDGE('',*,*,#29383,.F.); #19649=ORIENTED_EDGE('',*,*,#29384,.F.); #19650=ORIENTED_EDGE('',*,*,#29250,.T.); #19651=ORIENTED_EDGE('',*,*,#29385,.T.); #19652=ORIENTED_EDGE('',*,*,#29386,.F.); #19653=ORIENTED_EDGE('',*,*,#29387,.F.); #19654=ORIENTED_EDGE('',*,*,#29240,.T.); #19655=ORIENTED_EDGE('',*,*,#29388,.T.); #19656=ORIENTED_EDGE('',*,*,#29389,.F.); #19657=ORIENTED_EDGE('',*,*,#29390,.F.); #19658=ORIENTED_EDGE('',*,*,#29230,.T.); #19659=ORIENTED_EDGE('',*,*,#29391,.T.); #19660=ORIENTED_EDGE('',*,*,#29392,.F.); #19661=ORIENTED_EDGE('',*,*,#29393,.F.); #19662=ORIENTED_EDGE('',*,*,#29220,.T.); #19663=ORIENTED_EDGE('',*,*,#29394,.T.); #19664=ORIENTED_EDGE('',*,*,#29395,.F.); #19665=ORIENTED_EDGE('',*,*,#29396,.F.); #19666=ORIENTED_EDGE('',*,*,#29210,.T.); #19667=ORIENTED_EDGE('',*,*,#29397,.T.); #19668=ORIENTED_EDGE('',*,*,#29398,.F.); #19669=ORIENTED_EDGE('',*,*,#29399,.F.); #19670=ORIENTED_EDGE('',*,*,#29200,.T.); #19671=ORIENTED_EDGE('',*,*,#29400,.T.); #19672=ORIENTED_EDGE('',*,*,#29401,.F.); #19673=ORIENTED_EDGE('',*,*,#29402,.F.); #19674=ORIENTED_EDGE('',*,*,#29350,.T.); #19675=ORIENTED_EDGE('',*,*,#29403,.T.); #19676=ORIENTED_EDGE('',*,*,#29404,.F.); #19677=ORIENTED_EDGE('',*,*,#29405,.F.); #19678=ORIENTED_EDGE('',*,*,#29340,.T.); #19679=ORIENTED_EDGE('',*,*,#29406,.T.); #19680=ORIENTED_EDGE('',*,*,#29407,.F.); #19681=ORIENTED_EDGE('',*,*,#29408,.F.); #19682=ORIENTED_EDGE('',*,*,#29330,.T.); #19683=ORIENTED_EDGE('',*,*,#29409,.T.); #19684=ORIENTED_EDGE('',*,*,#29410,.F.); #19685=ORIENTED_EDGE('',*,*,#29411,.F.); #19686=ORIENTED_EDGE('',*,*,#29320,.T.); #19687=ORIENTED_EDGE('',*,*,#29412,.T.); #19688=ORIENTED_EDGE('',*,*,#29413,.F.); #19689=ORIENTED_EDGE('',*,*,#29414,.F.); #19690=ORIENTED_EDGE('',*,*,#29310,.T.); #19691=ORIENTED_EDGE('',*,*,#29415,.T.); #19692=ORIENTED_EDGE('',*,*,#29416,.F.); #19693=ORIENTED_EDGE('',*,*,#29417,.F.); #19694=ORIENTED_EDGE('',*,*,#29300,.T.); #19695=ORIENTED_EDGE('',*,*,#29418,.T.); #19696=ORIENTED_EDGE('',*,*,#29419,.F.); #19697=ORIENTED_EDGE('',*,*,#29420,.F.); #19698=ORIENTED_EDGE('',*,*,#29290,.T.); #19699=ORIENTED_EDGE('',*,*,#29421,.T.); #19700=ORIENTED_EDGE('',*,*,#29422,.F.); #19701=ORIENTED_EDGE('',*,*,#29423,.F.); #19702=ORIENTED_EDGE('',*,*,#29280,.T.); #19703=ORIENTED_EDGE('',*,*,#29424,.T.); #19704=ORIENTED_EDGE('',*,*,#28939,.F.); #19705=ORIENTED_EDGE('',*,*,#29425,.F.); #19706=ORIENTED_EDGE('',*,*,#29426,.T.); #19707=ORIENTED_EDGE('',*,*,#29427,.T.); #19708=ORIENTED_EDGE('',*,*,#28932,.F.); #19709=ORIENTED_EDGE('',*,*,#29428,.F.); #19710=ORIENTED_EDGE('',*,*,#29429,.T.); #19711=ORIENTED_EDGE('',*,*,#29430,.T.); #19712=ORIENTED_EDGE('',*,*,#28925,.F.); #19713=ORIENTED_EDGE('',*,*,#29431,.F.); #19714=ORIENTED_EDGE('',*,*,#29432,.T.); #19715=ORIENTED_EDGE('',*,*,#29433,.T.); #19716=ORIENTED_EDGE('',*,*,#28918,.F.); #19717=ORIENTED_EDGE('',*,*,#29434,.F.); #19718=ORIENTED_EDGE('',*,*,#29435,.T.); #19719=ORIENTED_EDGE('',*,*,#29436,.T.); #19720=ORIENTED_EDGE('',*,*,#28911,.F.); #19721=ORIENTED_EDGE('',*,*,#29437,.F.); #19722=ORIENTED_EDGE('',*,*,#29438,.T.); #19723=ORIENTED_EDGE('',*,*,#29439,.T.); #19724=ORIENTED_EDGE('',*,*,#28904,.F.); #19725=ORIENTED_EDGE('',*,*,#29440,.F.); #19726=ORIENTED_EDGE('',*,*,#29441,.T.); #19727=ORIENTED_EDGE('',*,*,#29442,.T.); #19728=ORIENTED_EDGE('',*,*,#28897,.F.); #19729=ORIENTED_EDGE('',*,*,#29443,.F.); #19730=ORIENTED_EDGE('',*,*,#29444,.T.); #19731=ORIENTED_EDGE('',*,*,#29445,.T.); #19732=ORIENTED_EDGE('',*,*,#28890,.F.); #19733=ORIENTED_EDGE('',*,*,#29446,.F.); #19734=ORIENTED_EDGE('',*,*,#29447,.T.); #19735=ORIENTED_EDGE('',*,*,#29448,.T.); #19736=ORIENTED_EDGE('',*,*,#29449,.F.); #19737=ORIENTED_EDGE('',*,*,#29450,.F.); #19738=ORIENTED_EDGE('',*,*,#29451,.T.); #19739=ORIENTED_EDGE('',*,*,#29452,.T.); #19740=ORIENTED_EDGE('',*,*,#29453,.F.); #19741=ORIENTED_EDGE('',*,*,#29454,.F.); #19742=ORIENTED_EDGE('',*,*,#29449,.T.); #19743=ORIENTED_EDGE('',*,*,#29455,.T.); #19744=ORIENTED_EDGE('',*,*,#29456,.F.); #19745=ORIENTED_EDGE('',*,*,#29457,.F.); #19746=ORIENTED_EDGE('',*,*,#29453,.T.); #19747=ORIENTED_EDGE('',*,*,#29458,.T.); #19748=ORIENTED_EDGE('',*,*,#29459,.F.); #19749=ORIENTED_EDGE('',*,*,#29460,.F.); #19750=ORIENTED_EDGE('',*,*,#29456,.T.); #19751=ORIENTED_EDGE('',*,*,#29461,.T.); #19752=ORIENTED_EDGE('',*,*,#29462,.F.); #19753=ORIENTED_EDGE('',*,*,#29463,.F.); #19754=ORIENTED_EDGE('',*,*,#29459,.T.); #19755=ORIENTED_EDGE('',*,*,#29464,.T.); #19756=ORIENTED_EDGE('',*,*,#29465,.F.); #19757=ORIENTED_EDGE('',*,*,#29466,.F.); #19758=ORIENTED_EDGE('',*,*,#29462,.T.); #19759=ORIENTED_EDGE('',*,*,#29467,.T.); #19760=ORIENTED_EDGE('',*,*,#29468,.F.); #19761=ORIENTED_EDGE('',*,*,#29469,.F.); #19762=ORIENTED_EDGE('',*,*,#29465,.T.); #19763=ORIENTED_EDGE('',*,*,#29470,.T.); #19764=ORIENTED_EDGE('',*,*,#29471,.F.); #19765=ORIENTED_EDGE('',*,*,#29472,.F.); #19766=ORIENTED_EDGE('',*,*,#29468,.T.); #19767=ORIENTED_EDGE('',*,*,#29473,.T.); #19768=ORIENTED_EDGE('',*,*,#29474,.T.); #19769=ORIENTED_EDGE('',*,*,#29475,.T.); #19770=ORIENTED_EDGE('',*,*,#29450,.T.); #19771=ORIENTED_EDGE('',*,*,#29454,.T.); #19772=ORIENTED_EDGE('',*,*,#29457,.T.); #19773=ORIENTED_EDGE('',*,*,#29460,.T.); #19774=ORIENTED_EDGE('',*,*,#29463,.T.); #19775=ORIENTED_EDGE('',*,*,#29466,.T.); #19776=ORIENTED_EDGE('',*,*,#29469,.T.); #19777=ORIENTED_EDGE('',*,*,#29472,.T.); #19778=ORIENTED_EDGE('',*,*,#29476,.T.); #19779=ORIENTED_EDGE('',*,*,#29477,.T.); #19780=ORIENTED_EDGE('',*,*,#29473,.F.); #19781=ORIENTED_EDGE('',*,*,#29478,.F.); #19782=ORIENTED_EDGE('',*,*,#29479,.T.); #19783=ORIENTED_EDGE('',*,*,#29480,.F.); #19784=ORIENTED_EDGE('',*,*,#29481,.T.); #19785=ORIENTED_EDGE('',*,*,#29482,.T.); #19786=ORIENTED_EDGE('',*,*,#29483,.F.); #19787=ORIENTED_EDGE('',*,*,#28822,.F.); #19788=ORIENTED_EDGE('',*,*,#28827,.F.); #19789=ORIENTED_EDGE('',*,*,#29484,.T.); #19790=ORIENTED_EDGE('',*,*,#29485,.F.); #19791=ORIENTED_EDGE('',*,*,#29486,.F.); #19792=ORIENTED_EDGE('',*,*,#29487,.F.); #19793=ORIENTED_EDGE('',*,*,#29488,.F.); #19794=ORIENTED_EDGE('',*,*,#29489,.T.); #19795=ORIENTED_EDGE('',*,*,#29490,.T.); #19796=ORIENTED_EDGE('',*,*,#29480,.T.); #19797=ORIENTED_EDGE('',*,*,#28826,.F.); #19798=ORIENTED_EDGE('',*,*,#28742,.F.); #19799=ORIENTED_EDGE('',*,*,#29491,.F.); #19800=ORIENTED_EDGE('',*,*,#29492,.T.); #19801=ORIENTED_EDGE('',*,*,#29493,.T.); #19802=ORIENTED_EDGE('',*,*,#28784,.T.); #19803=ORIENTED_EDGE('',*,*,#28739,.T.); #19804=ORIENTED_EDGE('',*,*,#28823,.T.); #19805=ORIENTED_EDGE('',*,*,#29483,.T.); #19806=ORIENTED_EDGE('',*,*,#29494,.F.); #19807=ORIENTED_EDGE('',*,*,#29495,.T.); #19808=ORIENTED_EDGE('',*,*,#28785,.T.); #19809=ORIENTED_EDGE('',*,*,#29493,.F.); #19810=ORIENTED_EDGE('',*,*,#29496,.F.); #19811=ORIENTED_EDGE('',*,*,#29497,.T.); #19812=ORIENTED_EDGE('',*,*,#28786,.T.); #19813=ORIENTED_EDGE('',*,*,#29495,.F.); #19814=ORIENTED_EDGE('',*,*,#29498,.T.); #19815=ORIENTED_EDGE('',*,*,#29499,.F.); #19816=ORIENTED_EDGE('',*,*,#28787,.F.); #19817=ORIENTED_EDGE('',*,*,#29497,.F.); #19818=ORIENTED_EDGE('',*,*,#29500,.T.); #19819=ORIENTED_EDGE('',*,*,#29501,.T.); #19820=ORIENTED_EDGE('',*,*,#29502,.F.); #19821=ORIENTED_EDGE('',*,*,#29503,.F.); #19822=ORIENTED_EDGE('',*,*,#29501,.F.); #19823=ORIENTED_EDGE('',*,*,#29504,.T.); #19824=ORIENTED_EDGE('',*,*,#29505,.T.); #19825=ORIENTED_EDGE('',*,*,#29506,.F.); #19826=ORIENTED_EDGE('',*,*,#29505,.F.); #19827=ORIENTED_EDGE('',*,*,#29507,.T.); #19828=ORIENTED_EDGE('',*,*,#29508,.T.); #19829=ORIENTED_EDGE('',*,*,#28788,.T.); #19830=ORIENTED_EDGE('',*,*,#29499,.T.); #19831=ORIENTED_EDGE('',*,*,#29509,.F.); #19832=ORIENTED_EDGE('',*,*,#29510,.F.); #19833=ORIENTED_EDGE('',*,*,#29511,.T.); #19834=ORIENTED_EDGE('',*,*,#28789,.T.); #19835=ORIENTED_EDGE('',*,*,#29508,.F.); #19836=ORIENTED_EDGE('',*,*,#29512,.F.); #19837=ORIENTED_EDGE('',*,*,#29513,.T.); #19838=ORIENTED_EDGE('',*,*,#28790,.T.); #19839=ORIENTED_EDGE('',*,*,#29511,.F.); #19840=ORIENTED_EDGE('',*,*,#29514,.T.); #19841=ORIENTED_EDGE('',*,*,#29515,.F.); #19842=ORIENTED_EDGE('',*,*,#28791,.F.); #19843=ORIENTED_EDGE('',*,*,#29513,.F.); #19844=ORIENTED_EDGE('',*,*,#29516,.T.); #19845=ORIENTED_EDGE('',*,*,#29517,.T.); #19846=ORIENTED_EDGE('',*,*,#29518,.F.); #19847=ORIENTED_EDGE('',*,*,#29519,.F.); #19848=ORIENTED_EDGE('',*,*,#29517,.F.); #19849=ORIENTED_EDGE('',*,*,#29520,.T.); #19850=ORIENTED_EDGE('',*,*,#29521,.T.); #19851=ORIENTED_EDGE('',*,*,#29522,.F.); #19852=ORIENTED_EDGE('',*,*,#29521,.F.); #19853=ORIENTED_EDGE('',*,*,#29523,.T.); #19854=ORIENTED_EDGE('',*,*,#29524,.T.); #19855=ORIENTED_EDGE('',*,*,#28792,.T.); #19856=ORIENTED_EDGE('',*,*,#29515,.T.); #19857=ORIENTED_EDGE('',*,*,#29525,.F.); #19858=ORIENTED_EDGE('',*,*,#29526,.F.); #19859=ORIENTED_EDGE('',*,*,#29527,.T.); #19860=ORIENTED_EDGE('',*,*,#28793,.T.); #19861=ORIENTED_EDGE('',*,*,#29524,.F.); #19862=ORIENTED_EDGE('',*,*,#29528,.F.); #19863=ORIENTED_EDGE('',*,*,#29529,.T.); #19864=ORIENTED_EDGE('',*,*,#28794,.T.); #19865=ORIENTED_EDGE('',*,*,#29527,.F.); #19866=ORIENTED_EDGE('',*,*,#29530,.T.); #19867=ORIENTED_EDGE('',*,*,#29531,.F.); #19868=ORIENTED_EDGE('',*,*,#28795,.F.); #19869=ORIENTED_EDGE('',*,*,#29529,.F.); #19870=ORIENTED_EDGE('',*,*,#29532,.T.); #19871=ORIENTED_EDGE('',*,*,#29533,.T.); #19872=ORIENTED_EDGE('',*,*,#29534,.F.); #19873=ORIENTED_EDGE('',*,*,#29535,.F.); #19874=ORIENTED_EDGE('',*,*,#29533,.F.); #19875=ORIENTED_EDGE('',*,*,#29536,.T.); #19876=ORIENTED_EDGE('',*,*,#29537,.T.); #19877=ORIENTED_EDGE('',*,*,#29538,.F.); #19878=ORIENTED_EDGE('',*,*,#29537,.F.); #19879=ORIENTED_EDGE('',*,*,#29539,.T.); #19880=ORIENTED_EDGE('',*,*,#29540,.T.); #19881=ORIENTED_EDGE('',*,*,#28796,.T.); #19882=ORIENTED_EDGE('',*,*,#29531,.T.); #19883=ORIENTED_EDGE('',*,*,#29541,.F.); #19884=ORIENTED_EDGE('',*,*,#29542,.F.); #19885=ORIENTED_EDGE('',*,*,#29543,.T.); #19886=ORIENTED_EDGE('',*,*,#28797,.T.); #19887=ORIENTED_EDGE('',*,*,#29540,.F.); #19888=ORIENTED_EDGE('',*,*,#29544,.F.); #19889=ORIENTED_EDGE('',*,*,#29545,.T.); #19890=ORIENTED_EDGE('',*,*,#28798,.T.); #19891=ORIENTED_EDGE('',*,*,#29543,.F.); #19892=ORIENTED_EDGE('',*,*,#29546,.T.); #19893=ORIENTED_EDGE('',*,*,#29547,.F.); #19894=ORIENTED_EDGE('',*,*,#28799,.F.); #19895=ORIENTED_EDGE('',*,*,#29545,.F.); #19896=ORIENTED_EDGE('',*,*,#29548,.T.); #19897=ORIENTED_EDGE('',*,*,#29549,.T.); #19898=ORIENTED_EDGE('',*,*,#29550,.F.); #19899=ORIENTED_EDGE('',*,*,#29551,.F.); #19900=ORIENTED_EDGE('',*,*,#29549,.F.); #19901=ORIENTED_EDGE('',*,*,#29552,.T.); #19902=ORIENTED_EDGE('',*,*,#29553,.T.); #19903=ORIENTED_EDGE('',*,*,#29554,.F.); #19904=ORIENTED_EDGE('',*,*,#29553,.F.); #19905=ORIENTED_EDGE('',*,*,#29555,.T.); #19906=ORIENTED_EDGE('',*,*,#29556,.T.); #19907=ORIENTED_EDGE('',*,*,#28800,.T.); #19908=ORIENTED_EDGE('',*,*,#29547,.T.); #19909=ORIENTED_EDGE('',*,*,#29557,.F.); #19910=ORIENTED_EDGE('',*,*,#29558,.F.); #19911=ORIENTED_EDGE('',*,*,#29559,.T.); #19912=ORIENTED_EDGE('',*,*,#28801,.T.); #19913=ORIENTED_EDGE('',*,*,#29556,.F.); #19914=ORIENTED_EDGE('',*,*,#29560,.F.); #19915=ORIENTED_EDGE('',*,*,#29561,.T.); #19916=ORIENTED_EDGE('',*,*,#28802,.T.); #19917=ORIENTED_EDGE('',*,*,#29559,.F.); #19918=ORIENTED_EDGE('',*,*,#29562,.T.); #19919=ORIENTED_EDGE('',*,*,#29563,.F.); #19920=ORIENTED_EDGE('',*,*,#28803,.F.); #19921=ORIENTED_EDGE('',*,*,#29561,.F.); #19922=ORIENTED_EDGE('',*,*,#29564,.T.); #19923=ORIENTED_EDGE('',*,*,#29565,.T.); #19924=ORIENTED_EDGE('',*,*,#29566,.F.); #19925=ORIENTED_EDGE('',*,*,#29567,.F.); #19926=ORIENTED_EDGE('',*,*,#29565,.F.); #19927=ORIENTED_EDGE('',*,*,#29568,.T.); #19928=ORIENTED_EDGE('',*,*,#29569,.T.); #19929=ORIENTED_EDGE('',*,*,#29570,.F.); #19930=ORIENTED_EDGE('',*,*,#29569,.F.); #19931=ORIENTED_EDGE('',*,*,#29571,.T.); #19932=ORIENTED_EDGE('',*,*,#29572,.T.); #19933=ORIENTED_EDGE('',*,*,#28804,.T.); #19934=ORIENTED_EDGE('',*,*,#29563,.T.); #19935=ORIENTED_EDGE('',*,*,#29573,.F.); #19936=ORIENTED_EDGE('',*,*,#29574,.F.); #19937=ORIENTED_EDGE('',*,*,#29575,.T.); #19938=ORIENTED_EDGE('',*,*,#28805,.T.); #19939=ORIENTED_EDGE('',*,*,#29572,.F.); #19940=ORIENTED_EDGE('',*,*,#29576,.F.); #19941=ORIENTED_EDGE('',*,*,#29577,.T.); #19942=ORIENTED_EDGE('',*,*,#28806,.T.); #19943=ORIENTED_EDGE('',*,*,#29575,.F.); #19944=ORIENTED_EDGE('',*,*,#29578,.T.); #19945=ORIENTED_EDGE('',*,*,#29579,.F.); #19946=ORIENTED_EDGE('',*,*,#28807,.F.); #19947=ORIENTED_EDGE('',*,*,#29577,.F.); #19948=ORIENTED_EDGE('',*,*,#29580,.T.); #19949=ORIENTED_EDGE('',*,*,#29581,.T.); #19950=ORIENTED_EDGE('',*,*,#29582,.F.); #19951=ORIENTED_EDGE('',*,*,#29583,.F.); #19952=ORIENTED_EDGE('',*,*,#29581,.F.); #19953=ORIENTED_EDGE('',*,*,#29584,.T.); #19954=ORIENTED_EDGE('',*,*,#29585,.T.); #19955=ORIENTED_EDGE('',*,*,#29586,.F.); #19956=ORIENTED_EDGE('',*,*,#29585,.F.); #19957=ORIENTED_EDGE('',*,*,#29587,.T.); #19958=ORIENTED_EDGE('',*,*,#29588,.T.); #19959=ORIENTED_EDGE('',*,*,#28808,.T.); #19960=ORIENTED_EDGE('',*,*,#29579,.T.); #19961=ORIENTED_EDGE('',*,*,#29589,.F.); #19962=ORIENTED_EDGE('',*,*,#29590,.F.); #19963=ORIENTED_EDGE('',*,*,#29591,.T.); #19964=ORIENTED_EDGE('',*,*,#28809,.T.); #19965=ORIENTED_EDGE('',*,*,#29588,.F.); #19966=ORIENTED_EDGE('',*,*,#29592,.F.); #19967=ORIENTED_EDGE('',*,*,#29593,.T.); #19968=ORIENTED_EDGE('',*,*,#28810,.T.); #19969=ORIENTED_EDGE('',*,*,#29591,.F.); #19970=ORIENTED_EDGE('',*,*,#29594,.T.); #19971=ORIENTED_EDGE('',*,*,#29595,.F.); #19972=ORIENTED_EDGE('',*,*,#28811,.F.); #19973=ORIENTED_EDGE('',*,*,#29593,.F.); #19974=ORIENTED_EDGE('',*,*,#29596,.T.); #19975=ORIENTED_EDGE('',*,*,#29597,.T.); #19976=ORIENTED_EDGE('',*,*,#29598,.F.); #19977=ORIENTED_EDGE('',*,*,#29599,.F.); #19978=ORIENTED_EDGE('',*,*,#29597,.F.); #19979=ORIENTED_EDGE('',*,*,#29600,.T.); #19980=ORIENTED_EDGE('',*,*,#29601,.T.); #19981=ORIENTED_EDGE('',*,*,#29602,.F.); #19982=ORIENTED_EDGE('',*,*,#29601,.F.); #19983=ORIENTED_EDGE('',*,*,#29603,.T.); #19984=ORIENTED_EDGE('',*,*,#29604,.T.); #19985=ORIENTED_EDGE('',*,*,#28812,.T.); #19986=ORIENTED_EDGE('',*,*,#29595,.T.); #19987=ORIENTED_EDGE('',*,*,#29605,.F.); #19988=ORIENTED_EDGE('',*,*,#29606,.F.); #19989=ORIENTED_EDGE('',*,*,#29607,.T.); #19990=ORIENTED_EDGE('',*,*,#28813,.T.); #19991=ORIENTED_EDGE('',*,*,#29604,.F.); #19992=ORIENTED_EDGE('',*,*,#29608,.F.); #19993=ORIENTED_EDGE('',*,*,#29609,.T.); #19994=ORIENTED_EDGE('',*,*,#28814,.T.); #19995=ORIENTED_EDGE('',*,*,#29607,.F.); #19996=ORIENTED_EDGE('',*,*,#29610,.T.); #19997=ORIENTED_EDGE('',*,*,#29611,.F.); #19998=ORIENTED_EDGE('',*,*,#28815,.F.); #19999=ORIENTED_EDGE('',*,*,#29609,.F.); #20000=ORIENTED_EDGE('',*,*,#29612,.F.); #20001=ORIENTED_EDGE('',*,*,#29613,.T.); #20002=ORIENTED_EDGE('',*,*,#29614,.T.); #20003=ORIENTED_EDGE('',*,*,#29615,.F.); #20004=ORIENTED_EDGE('',*,*,#29614,.F.); #20005=ORIENTED_EDGE('',*,*,#29616,.T.); #20006=ORIENTED_EDGE('',*,*,#29617,.T.); #20007=ORIENTED_EDGE('',*,*,#29618,.F.); #20008=ORIENTED_EDGE('',*,*,#29617,.F.); #20009=ORIENTED_EDGE('',*,*,#29619,.T.); #20010=ORIENTED_EDGE('',*,*,#29620,.T.); #20011=ORIENTED_EDGE('',*,*,#28750,.T.); #20012=ORIENTED_EDGE('',*,*,#29611,.T.); #20013=ORIENTED_EDGE('',*,*,#29621,.F.); #20014=ORIENTED_EDGE('',*,*,#29622,.F.); #20015=ORIENTED_EDGE('',*,*,#29623,.T.); #20016=ORIENTED_EDGE('',*,*,#28751,.T.); #20017=ORIENTED_EDGE('',*,*,#29620,.F.); #20018=ORIENTED_EDGE('',*,*,#29624,.F.); #20019=ORIENTED_EDGE('',*,*,#29625,.T.); #20020=ORIENTED_EDGE('',*,*,#28752,.T.); #20021=ORIENTED_EDGE('',*,*,#29623,.F.); #20022=ORIENTED_EDGE('',*,*,#29626,.T.); #20023=ORIENTED_EDGE('',*,*,#29627,.F.); #20024=ORIENTED_EDGE('',*,*,#28753,.F.); #20025=ORIENTED_EDGE('',*,*,#29625,.F.); #20026=ORIENTED_EDGE('',*,*,#29628,.T.); #20027=ORIENTED_EDGE('',*,*,#29629,.T.); #20028=ORIENTED_EDGE('',*,*,#29630,.F.); #20029=ORIENTED_EDGE('',*,*,#29631,.F.); #20030=ORIENTED_EDGE('',*,*,#29629,.F.); #20031=ORIENTED_EDGE('',*,*,#29632,.T.); #20032=ORIENTED_EDGE('',*,*,#29633,.T.); #20033=ORIENTED_EDGE('',*,*,#29634,.F.); #20034=ORIENTED_EDGE('',*,*,#29633,.F.); #20035=ORIENTED_EDGE('',*,*,#29635,.T.); #20036=ORIENTED_EDGE('',*,*,#29636,.T.); #20037=ORIENTED_EDGE('',*,*,#28754,.T.); #20038=ORIENTED_EDGE('',*,*,#29627,.T.); #20039=ORIENTED_EDGE('',*,*,#29637,.F.); #20040=ORIENTED_EDGE('',*,*,#29638,.F.); #20041=ORIENTED_EDGE('',*,*,#29639,.T.); #20042=ORIENTED_EDGE('',*,*,#28755,.T.); #20043=ORIENTED_EDGE('',*,*,#29636,.F.); #20044=ORIENTED_EDGE('',*,*,#29640,.F.); #20045=ORIENTED_EDGE('',*,*,#29641,.T.); #20046=ORIENTED_EDGE('',*,*,#28756,.T.); #20047=ORIENTED_EDGE('',*,*,#29639,.F.); #20048=ORIENTED_EDGE('',*,*,#29642,.T.); #20049=ORIENTED_EDGE('',*,*,#29643,.F.); #20050=ORIENTED_EDGE('',*,*,#28757,.F.); #20051=ORIENTED_EDGE('',*,*,#29641,.F.); #20052=ORIENTED_EDGE('',*,*,#29644,.T.); #20053=ORIENTED_EDGE('',*,*,#29645,.T.); #20054=ORIENTED_EDGE('',*,*,#29646,.F.); #20055=ORIENTED_EDGE('',*,*,#29647,.F.); #20056=ORIENTED_EDGE('',*,*,#29645,.F.); #20057=ORIENTED_EDGE('',*,*,#29648,.T.); #20058=ORIENTED_EDGE('',*,*,#29649,.T.); #20059=ORIENTED_EDGE('',*,*,#29650,.F.); #20060=ORIENTED_EDGE('',*,*,#29649,.F.); #20061=ORIENTED_EDGE('',*,*,#29651,.T.); #20062=ORIENTED_EDGE('',*,*,#29652,.T.); #20063=ORIENTED_EDGE('',*,*,#28758,.T.); #20064=ORIENTED_EDGE('',*,*,#29643,.T.); #20065=ORIENTED_EDGE('',*,*,#29653,.F.); #20066=ORIENTED_EDGE('',*,*,#29654,.F.); #20067=ORIENTED_EDGE('',*,*,#29655,.T.); #20068=ORIENTED_EDGE('',*,*,#28759,.T.); #20069=ORIENTED_EDGE('',*,*,#29652,.F.); #20070=ORIENTED_EDGE('',*,*,#29656,.F.); #20071=ORIENTED_EDGE('',*,*,#29657,.T.); #20072=ORIENTED_EDGE('',*,*,#28760,.T.); #20073=ORIENTED_EDGE('',*,*,#29655,.F.); #20074=ORIENTED_EDGE('',*,*,#29658,.T.); #20075=ORIENTED_EDGE('',*,*,#29659,.F.); #20076=ORIENTED_EDGE('',*,*,#28761,.F.); #20077=ORIENTED_EDGE('',*,*,#29657,.F.); #20078=ORIENTED_EDGE('',*,*,#29660,.T.); #20079=ORIENTED_EDGE('',*,*,#29661,.T.); #20080=ORIENTED_EDGE('',*,*,#29662,.F.); #20081=ORIENTED_EDGE('',*,*,#29663,.F.); #20082=ORIENTED_EDGE('',*,*,#29661,.F.); #20083=ORIENTED_EDGE('',*,*,#29664,.T.); #20084=ORIENTED_EDGE('',*,*,#29665,.T.); #20085=ORIENTED_EDGE('',*,*,#29666,.F.); #20086=ORIENTED_EDGE('',*,*,#29665,.F.); #20087=ORIENTED_EDGE('',*,*,#29667,.T.); #20088=ORIENTED_EDGE('',*,*,#29668,.T.); #20089=ORIENTED_EDGE('',*,*,#28762,.T.); #20090=ORIENTED_EDGE('',*,*,#29659,.T.); #20091=ORIENTED_EDGE('',*,*,#29669,.F.); #20092=ORIENTED_EDGE('',*,*,#29670,.F.); #20093=ORIENTED_EDGE('',*,*,#29671,.T.); #20094=ORIENTED_EDGE('',*,*,#28763,.T.); #20095=ORIENTED_EDGE('',*,*,#29668,.F.); #20096=ORIENTED_EDGE('',*,*,#29672,.F.); #20097=ORIENTED_EDGE('',*,*,#29673,.T.); #20098=ORIENTED_EDGE('',*,*,#28764,.T.); #20099=ORIENTED_EDGE('',*,*,#29671,.F.); #20100=ORIENTED_EDGE('',*,*,#29674,.T.); #20101=ORIENTED_EDGE('',*,*,#29675,.F.); #20102=ORIENTED_EDGE('',*,*,#28765,.F.); #20103=ORIENTED_EDGE('',*,*,#29673,.F.); #20104=ORIENTED_EDGE('',*,*,#29676,.T.); #20105=ORIENTED_EDGE('',*,*,#29677,.T.); #20106=ORIENTED_EDGE('',*,*,#29678,.F.); #20107=ORIENTED_EDGE('',*,*,#29679,.F.); #20108=ORIENTED_EDGE('',*,*,#29677,.F.); #20109=ORIENTED_EDGE('',*,*,#29680,.T.); #20110=ORIENTED_EDGE('',*,*,#29681,.T.); #20111=ORIENTED_EDGE('',*,*,#29682,.F.); #20112=ORIENTED_EDGE('',*,*,#29681,.F.); #20113=ORIENTED_EDGE('',*,*,#29683,.T.); #20114=ORIENTED_EDGE('',*,*,#29684,.T.); #20115=ORIENTED_EDGE('',*,*,#28766,.T.); #20116=ORIENTED_EDGE('',*,*,#29675,.T.); #20117=ORIENTED_EDGE('',*,*,#29685,.F.); #20118=ORIENTED_EDGE('',*,*,#29686,.F.); #20119=ORIENTED_EDGE('',*,*,#29687,.T.); #20120=ORIENTED_EDGE('',*,*,#28767,.T.); #20121=ORIENTED_EDGE('',*,*,#29684,.F.); #20122=ORIENTED_EDGE('',*,*,#29688,.F.); #20123=ORIENTED_EDGE('',*,*,#29689,.T.); #20124=ORIENTED_EDGE('',*,*,#28768,.T.); #20125=ORIENTED_EDGE('',*,*,#29687,.F.); #20126=ORIENTED_EDGE('',*,*,#29690,.T.); #20127=ORIENTED_EDGE('',*,*,#29691,.F.); #20128=ORIENTED_EDGE('',*,*,#28769,.F.); #20129=ORIENTED_EDGE('',*,*,#29689,.F.); #20130=ORIENTED_EDGE('',*,*,#29692,.T.); #20131=ORIENTED_EDGE('',*,*,#29693,.T.); #20132=ORIENTED_EDGE('',*,*,#29694,.F.); #20133=ORIENTED_EDGE('',*,*,#29695,.F.); #20134=ORIENTED_EDGE('',*,*,#29693,.F.); #20135=ORIENTED_EDGE('',*,*,#29696,.T.); #20136=ORIENTED_EDGE('',*,*,#29697,.T.); #20137=ORIENTED_EDGE('',*,*,#29698,.F.); #20138=ORIENTED_EDGE('',*,*,#29697,.F.); #20139=ORIENTED_EDGE('',*,*,#29699,.T.); #20140=ORIENTED_EDGE('',*,*,#29700,.T.); #20141=ORIENTED_EDGE('',*,*,#28770,.T.); #20142=ORIENTED_EDGE('',*,*,#29691,.T.); #20143=ORIENTED_EDGE('',*,*,#29701,.F.); #20144=ORIENTED_EDGE('',*,*,#29702,.F.); #20145=ORIENTED_EDGE('',*,*,#29703,.T.); #20146=ORIENTED_EDGE('',*,*,#28771,.T.); #20147=ORIENTED_EDGE('',*,*,#29700,.F.); #20148=ORIENTED_EDGE('',*,*,#29704,.F.); #20149=ORIENTED_EDGE('',*,*,#29705,.T.); #20150=ORIENTED_EDGE('',*,*,#28772,.T.); #20151=ORIENTED_EDGE('',*,*,#29703,.F.); #20152=ORIENTED_EDGE('',*,*,#29706,.T.); #20153=ORIENTED_EDGE('',*,*,#29707,.F.); #20154=ORIENTED_EDGE('',*,*,#28773,.F.); #20155=ORIENTED_EDGE('',*,*,#29705,.F.); #20156=ORIENTED_EDGE('',*,*,#29708,.T.); #20157=ORIENTED_EDGE('',*,*,#29709,.T.); #20158=ORIENTED_EDGE('',*,*,#29710,.F.); #20159=ORIENTED_EDGE('',*,*,#29711,.F.); #20160=ORIENTED_EDGE('',*,*,#29709,.F.); #20161=ORIENTED_EDGE('',*,*,#29712,.T.); #20162=ORIENTED_EDGE('',*,*,#29713,.T.); #20163=ORIENTED_EDGE('',*,*,#29714,.F.); #20164=ORIENTED_EDGE('',*,*,#29713,.F.); #20165=ORIENTED_EDGE('',*,*,#29715,.T.); #20166=ORIENTED_EDGE('',*,*,#29716,.T.); #20167=ORIENTED_EDGE('',*,*,#28774,.T.); #20168=ORIENTED_EDGE('',*,*,#29707,.T.); #20169=ORIENTED_EDGE('',*,*,#29717,.F.); #20170=ORIENTED_EDGE('',*,*,#29718,.F.); #20171=ORIENTED_EDGE('',*,*,#29719,.T.); #20172=ORIENTED_EDGE('',*,*,#28775,.T.); #20173=ORIENTED_EDGE('',*,*,#29716,.F.); #20174=ORIENTED_EDGE('',*,*,#29720,.F.); #20175=ORIENTED_EDGE('',*,*,#29721,.T.); #20176=ORIENTED_EDGE('',*,*,#28776,.T.); #20177=ORIENTED_EDGE('',*,*,#29719,.F.); #20178=ORIENTED_EDGE('',*,*,#29722,.T.); #20179=ORIENTED_EDGE('',*,*,#29723,.F.); #20180=ORIENTED_EDGE('',*,*,#28777,.F.); #20181=ORIENTED_EDGE('',*,*,#29721,.F.); #20182=ORIENTED_EDGE('',*,*,#29724,.T.); #20183=ORIENTED_EDGE('',*,*,#29725,.T.); #20184=ORIENTED_EDGE('',*,*,#29726,.F.); #20185=ORIENTED_EDGE('',*,*,#29727,.F.); #20186=ORIENTED_EDGE('',*,*,#29725,.F.); #20187=ORIENTED_EDGE('',*,*,#29728,.T.); #20188=ORIENTED_EDGE('',*,*,#29729,.T.); #20189=ORIENTED_EDGE('',*,*,#29730,.F.); #20190=ORIENTED_EDGE('',*,*,#29729,.F.); #20191=ORIENTED_EDGE('',*,*,#29731,.T.); #20192=ORIENTED_EDGE('',*,*,#29732,.T.); #20193=ORIENTED_EDGE('',*,*,#28778,.T.); #20194=ORIENTED_EDGE('',*,*,#29723,.T.); #20195=ORIENTED_EDGE('',*,*,#29733,.F.); #20196=ORIENTED_EDGE('',*,*,#29734,.F.); #20197=ORIENTED_EDGE('',*,*,#29735,.T.); #20198=ORIENTED_EDGE('',*,*,#28779,.T.); #20199=ORIENTED_EDGE('',*,*,#29732,.F.); #20200=ORIENTED_EDGE('',*,*,#29736,.F.); #20201=ORIENTED_EDGE('',*,*,#29737,.T.); #20202=ORIENTED_EDGE('',*,*,#28780,.T.); #20203=ORIENTED_EDGE('',*,*,#29735,.F.); #20204=ORIENTED_EDGE('',*,*,#29738,.T.); #20205=ORIENTED_EDGE('',*,*,#29739,.F.); #20206=ORIENTED_EDGE('',*,*,#28781,.F.); #20207=ORIENTED_EDGE('',*,*,#29737,.F.); #20208=ORIENTED_EDGE('',*,*,#28746,.F.); #20209=ORIENTED_EDGE('',*,*,#28748,.T.); #20210=ORIENTED_EDGE('',*,*,#29740,.T.); #20211=ORIENTED_EDGE('',*,*,#29741,.T.); #20212=ORIENTED_EDGE('',*,*,#29742,.F.); #20213=ORIENTED_EDGE('',*,*,#29074,.F.); #20214=ORIENTED_EDGE('',*,*,#29076,.F.); #20215=ORIENTED_EDGE('',*,*,#29090,.F.); #20216=ORIENTED_EDGE('',*,*,#29092,.F.); #20217=ORIENTED_EDGE('',*,*,#29106,.F.); #20218=ORIENTED_EDGE('',*,*,#29108,.F.); #20219=ORIENTED_EDGE('',*,*,#29122,.F.); #20220=ORIENTED_EDGE('',*,*,#29124,.F.); #20221=ORIENTED_EDGE('',*,*,#29138,.F.); #20222=ORIENTED_EDGE('',*,*,#29140,.F.); #20223=ORIENTED_EDGE('',*,*,#29154,.F.); #20224=ORIENTED_EDGE('',*,*,#29156,.F.); #20225=ORIENTED_EDGE('',*,*,#29170,.F.); #20226=ORIENTED_EDGE('',*,*,#29172,.F.); #20227=ORIENTED_EDGE('',*,*,#29186,.F.); #20228=ORIENTED_EDGE('',*,*,#29188,.F.); #20229=ORIENTED_EDGE('',*,*,#28950,.F.); #20230=ORIENTED_EDGE('',*,*,#28952,.F.); #20231=ORIENTED_EDGE('',*,*,#28966,.F.); #20232=ORIENTED_EDGE('',*,*,#28968,.F.); #20233=ORIENTED_EDGE('',*,*,#28982,.F.); #20234=ORIENTED_EDGE('',*,*,#28984,.F.); #20235=ORIENTED_EDGE('',*,*,#28998,.F.); #20236=ORIENTED_EDGE('',*,*,#29000,.F.); #20237=ORIENTED_EDGE('',*,*,#29014,.F.); #20238=ORIENTED_EDGE('',*,*,#29016,.F.); #20239=ORIENTED_EDGE('',*,*,#29030,.F.); #20240=ORIENTED_EDGE('',*,*,#29032,.F.); #20241=ORIENTED_EDGE('',*,*,#29046,.F.); #20242=ORIENTED_EDGE('',*,*,#29048,.F.); #20243=ORIENTED_EDGE('',*,*,#29062,.F.); #20244=ORIENTED_EDGE('',*,*,#29484,.F.); #20245=ORIENTED_EDGE('',*,*,#29743,.T.); #20246=ORIENTED_EDGE('',*,*,#29488,.T.); #20247=ORIENTED_EDGE('',*,*,#28741,.T.); #20248=ORIENTED_EDGE('',*,*,#29744,.F.); #20249=ORIENTED_EDGE('',*,*,#29745,.F.); #20250=ORIENTED_EDGE('',*,*,#29742,.T.); #20251=ORIENTED_EDGE('',*,*,#29746,.F.); #20252=ORIENTED_EDGE('',*,*,#29747,.T.); #20253=ORIENTED_EDGE('',*,*,#29748,.T.); #20254=ORIENTED_EDGE('',*,*,#29740,.F.); #20255=ORIENTED_EDGE('',*,*,#28747,.T.); #20256=ORIENTED_EDGE('',*,*,#28825,.T.); #20257=ORIENTED_EDGE('',*,*,#29749,.F.); #20258=ORIENTED_EDGE('',*,*,#29750,.F.); #20259=ORIENTED_EDGE('',*,*,#29751,.F.); #20260=ORIENTED_EDGE('',*,*,#29752,.F.); #20261=ORIENTED_EDGE('',*,*,#28818,.T.); #20262=ORIENTED_EDGE('',*,*,#28817,.T.); #20263=ORIENTED_EDGE('',*,*,#28782,.T.); #20264=ORIENTED_EDGE('',*,*,#29739,.T.); #20265=ORIENTED_EDGE('',*,*,#29753,.F.); #20266=ORIENTED_EDGE('',*,*,#29749,.T.); #20267=ORIENTED_EDGE('',*,*,#28824,.T.); #20268=ORIENTED_EDGE('',*,*,#28819,.T.); #20269=ORIENTED_EDGE('',*,*,#29752,.T.); #20270=ORIENTED_EDGE('',*,*,#29754,.F.); #20271=ORIENTED_EDGE('',*,*,#29755,.F.); #20272=ORIENTED_EDGE('',*,*,#29756,.F.); #20273=ORIENTED_EDGE('',*,*,#29757,.T.); #20274=ORIENTED_EDGE('',*,*,#29758,.T.); #20275=ORIENTED_EDGE('',*,*,#29759,.F.); #20276=ORIENTED_EDGE('',*,*,#29760,.F.); #20277=ORIENTED_EDGE('',*,*,#29761,.T.); #20278=ORIENTED_EDGE('',*,*,#29756,.T.); #20279=ORIENTED_EDGE('',*,*,#29762,.F.); #20280=ORIENTED_EDGE('',*,*,#29763,.F.); #20281=ORIENTED_EDGE('',*,*,#29764,.T.); #20282=ORIENTED_EDGE('',*,*,#29760,.T.); #20283=ORIENTED_EDGE('',*,*,#29765,.F.); #20284=ORIENTED_EDGE('',*,*,#29766,.F.); #20285=ORIENTED_EDGE('',*,*,#29767,.T.); #20286=ORIENTED_EDGE('',*,*,#29763,.T.); #20287=ORIENTED_EDGE('',*,*,#29768,.F.); #20288=ORIENTED_EDGE('',*,*,#29769,.F.); #20289=ORIENTED_EDGE('',*,*,#29770,.T.); #20290=ORIENTED_EDGE('',*,*,#29766,.T.); #20291=ORIENTED_EDGE('',*,*,#29771,.F.); #20292=ORIENTED_EDGE('',*,*,#29772,.F.); #20293=ORIENTED_EDGE('',*,*,#29773,.T.); #20294=ORIENTED_EDGE('',*,*,#29769,.T.); #20295=ORIENTED_EDGE('',*,*,#29774,.F.); #20296=ORIENTED_EDGE('',*,*,#29775,.F.); #20297=ORIENTED_EDGE('',*,*,#29776,.T.); #20298=ORIENTED_EDGE('',*,*,#29772,.T.); #20299=ORIENTED_EDGE('',*,*,#29777,.F.); #20300=ORIENTED_EDGE('',*,*,#29778,.F.); #20301=ORIENTED_EDGE('',*,*,#29779,.T.); #20302=ORIENTED_EDGE('',*,*,#29775,.T.); #20303=ORIENTED_EDGE('',*,*,#29780,.T.); #20304=ORIENTED_EDGE('',*,*,#29781,.T.); #20305=ORIENTED_EDGE('',*,*,#29782,.F.); #20306=ORIENTED_EDGE('',*,*,#29783,.F.); #20307=ORIENTED_EDGE('',*,*,#29781,.F.); #20308=ORIENTED_EDGE('',*,*,#29784,.F.); #20309=ORIENTED_EDGE('',*,*,#29757,.F.); #20310=ORIENTED_EDGE('',*,*,#29761,.F.); #20311=ORIENTED_EDGE('',*,*,#29764,.F.); #20312=ORIENTED_EDGE('',*,*,#29767,.F.); #20313=ORIENTED_EDGE('',*,*,#29770,.F.); #20314=ORIENTED_EDGE('',*,*,#29773,.F.); #20315=ORIENTED_EDGE('',*,*,#29776,.F.); #20316=ORIENTED_EDGE('',*,*,#29779,.F.); #20317=ORIENTED_EDGE('',*,*,#29785,.F.); #20318=ORIENTED_EDGE('',*,*,#29786,.F.); #20319=ORIENTED_EDGE('',*,*,#29787,.T.); #20320=ORIENTED_EDGE('',*,*,#29788,.T.); #20321=ORIENTED_EDGE('',*,*,#29789,.F.); #20322=ORIENTED_EDGE('',*,*,#29790,.T.); #20323=ORIENTED_EDGE('',*,*,#29791,.F.); #20324=ORIENTED_EDGE('',*,*,#29792,.F.); #20325=ORIENTED_EDGE('',*,*,#29793,.F.); #20326=ORIENTED_EDGE('',*,*,#28828,.F.); #20327=ORIENTED_EDGE('',*,*,#28832,.F.); #20328=ORIENTED_EDGE('',*,*,#29373,.F.); #20329=ORIENTED_EDGE('',*,*,#29793,.T.); #20330=ORIENTED_EDGE('',*,*,#29794,.F.); #20331=ORIENTED_EDGE('',*,*,#29795,.F.); #20332=ORIENTED_EDGE('',*,*,#28829,.T.); #20333=ORIENTED_EDGE('',*,*,#29796,.T.); #20334=ORIENTED_EDGE('',*,*,#29374,.T.); #20335=ORIENTED_EDGE('',*,*,#28833,.T.); #20336=ORIENTED_EDGE('',*,*,#28830,.T.); #20337=ORIENTED_EDGE('',*,*,#29795,.T.); #20338=ORIENTED_EDGE('',*,*,#29797,.T.); #20339=ORIENTED_EDGE('',*,*,#29798,.T.); #20340=ORIENTED_EDGE('',*,*,#29799,.T.); #20341=ORIENTED_EDGE('',*,*,#29800,.F.); #20342=ORIENTED_EDGE('',*,*,#29801,.T.); #20343=ORIENTED_EDGE('',*,*,#29802,.F.); #20344=ORIENTED_EDGE('',*,*,#29803,.F.); #20345=ORIENTED_EDGE('',*,*,#29804,.F.); #20346=ORIENTED_EDGE('',*,*,#28835,.F.); #20347=ORIENTED_EDGE('',*,*,#28839,.F.); #20348=ORIENTED_EDGE('',*,*,#29370,.F.); #20349=ORIENTED_EDGE('',*,*,#29804,.T.); #20350=ORIENTED_EDGE('',*,*,#29805,.F.); #20351=ORIENTED_EDGE('',*,*,#29806,.F.); #20352=ORIENTED_EDGE('',*,*,#28836,.T.); #20353=ORIENTED_EDGE('',*,*,#29807,.T.); #20354=ORIENTED_EDGE('',*,*,#29371,.T.); #20355=ORIENTED_EDGE('',*,*,#28840,.T.); #20356=ORIENTED_EDGE('',*,*,#28837,.T.); #20357=ORIENTED_EDGE('',*,*,#29806,.T.); #20358=ORIENTED_EDGE('',*,*,#29808,.T.); #20359=ORIENTED_EDGE('',*,*,#29809,.T.); #20360=ORIENTED_EDGE('',*,*,#29810,.T.); #20361=ORIENTED_EDGE('',*,*,#29811,.F.); #20362=ORIENTED_EDGE('',*,*,#29812,.T.); #20363=ORIENTED_EDGE('',*,*,#29813,.F.); #20364=ORIENTED_EDGE('',*,*,#29814,.F.); #20365=ORIENTED_EDGE('',*,*,#29815,.F.); #20366=ORIENTED_EDGE('',*,*,#28842,.F.); #20367=ORIENTED_EDGE('',*,*,#28846,.F.); #20368=ORIENTED_EDGE('',*,*,#29367,.F.); #20369=ORIENTED_EDGE('',*,*,#29815,.T.); #20370=ORIENTED_EDGE('',*,*,#29816,.F.); #20371=ORIENTED_EDGE('',*,*,#29817,.F.); #20372=ORIENTED_EDGE('',*,*,#28843,.T.); #20373=ORIENTED_EDGE('',*,*,#29818,.T.); #20374=ORIENTED_EDGE('',*,*,#29368,.T.); #20375=ORIENTED_EDGE('',*,*,#28847,.T.); #20376=ORIENTED_EDGE('',*,*,#28844,.T.); #20377=ORIENTED_EDGE('',*,*,#29817,.T.); #20378=ORIENTED_EDGE('',*,*,#29819,.T.); #20379=ORIENTED_EDGE('',*,*,#29820,.T.); #20380=ORIENTED_EDGE('',*,*,#29821,.T.); #20381=ORIENTED_EDGE('',*,*,#29822,.F.); #20382=ORIENTED_EDGE('',*,*,#29823,.T.); #20383=ORIENTED_EDGE('',*,*,#29824,.F.); #20384=ORIENTED_EDGE('',*,*,#29825,.F.); #20385=ORIENTED_EDGE('',*,*,#29826,.F.); #20386=ORIENTED_EDGE('',*,*,#28849,.F.); #20387=ORIENTED_EDGE('',*,*,#28853,.F.); #20388=ORIENTED_EDGE('',*,*,#29364,.F.); #20389=ORIENTED_EDGE('',*,*,#29826,.T.); #20390=ORIENTED_EDGE('',*,*,#29827,.F.); #20391=ORIENTED_EDGE('',*,*,#29828,.F.); #20392=ORIENTED_EDGE('',*,*,#28850,.T.); #20393=ORIENTED_EDGE('',*,*,#29829,.T.); #20394=ORIENTED_EDGE('',*,*,#29365,.T.); #20395=ORIENTED_EDGE('',*,*,#28854,.T.); #20396=ORIENTED_EDGE('',*,*,#28851,.T.); #20397=ORIENTED_EDGE('',*,*,#29828,.T.); #20398=ORIENTED_EDGE('',*,*,#29830,.T.); #20399=ORIENTED_EDGE('',*,*,#29831,.T.); #20400=ORIENTED_EDGE('',*,*,#29832,.T.); #20401=ORIENTED_EDGE('',*,*,#29833,.F.); #20402=ORIENTED_EDGE('',*,*,#29834,.T.); #20403=ORIENTED_EDGE('',*,*,#29835,.F.); #20404=ORIENTED_EDGE('',*,*,#29836,.F.); #20405=ORIENTED_EDGE('',*,*,#29837,.F.); #20406=ORIENTED_EDGE('',*,*,#28856,.F.); #20407=ORIENTED_EDGE('',*,*,#28860,.F.); #20408=ORIENTED_EDGE('',*,*,#29361,.F.); #20409=ORIENTED_EDGE('',*,*,#29837,.T.); #20410=ORIENTED_EDGE('',*,*,#29838,.F.); #20411=ORIENTED_EDGE('',*,*,#29839,.F.); #20412=ORIENTED_EDGE('',*,*,#28857,.T.); #20413=ORIENTED_EDGE('',*,*,#29840,.T.); #20414=ORIENTED_EDGE('',*,*,#29362,.T.); #20415=ORIENTED_EDGE('',*,*,#28861,.T.); #20416=ORIENTED_EDGE('',*,*,#28858,.T.); #20417=ORIENTED_EDGE('',*,*,#29839,.T.); #20418=ORIENTED_EDGE('',*,*,#29841,.T.); #20419=ORIENTED_EDGE('',*,*,#29842,.T.); #20420=ORIENTED_EDGE('',*,*,#29843,.T.); #20421=ORIENTED_EDGE('',*,*,#29844,.F.); #20422=ORIENTED_EDGE('',*,*,#29845,.T.); #20423=ORIENTED_EDGE('',*,*,#29846,.F.); #20424=ORIENTED_EDGE('',*,*,#29847,.F.); #20425=ORIENTED_EDGE('',*,*,#29848,.F.); #20426=ORIENTED_EDGE('',*,*,#28863,.F.); #20427=ORIENTED_EDGE('',*,*,#28867,.F.); #20428=ORIENTED_EDGE('',*,*,#29358,.F.); #20429=ORIENTED_EDGE('',*,*,#29848,.T.); #20430=ORIENTED_EDGE('',*,*,#29849,.F.); #20431=ORIENTED_EDGE('',*,*,#29850,.F.); #20432=ORIENTED_EDGE('',*,*,#28864,.T.); #20433=ORIENTED_EDGE('',*,*,#29851,.T.); #20434=ORIENTED_EDGE('',*,*,#29359,.T.); #20435=ORIENTED_EDGE('',*,*,#28868,.T.); #20436=ORIENTED_EDGE('',*,*,#28865,.T.); #20437=ORIENTED_EDGE('',*,*,#29850,.T.); #20438=ORIENTED_EDGE('',*,*,#29852,.T.); #20439=ORIENTED_EDGE('',*,*,#29853,.T.); #20440=ORIENTED_EDGE('',*,*,#29854,.T.); #20441=ORIENTED_EDGE('',*,*,#29855,.F.); #20442=ORIENTED_EDGE('',*,*,#29856,.T.); #20443=ORIENTED_EDGE('',*,*,#29857,.F.); #20444=ORIENTED_EDGE('',*,*,#29858,.F.); #20445=ORIENTED_EDGE('',*,*,#29859,.F.); #20446=ORIENTED_EDGE('',*,*,#28870,.F.); #20447=ORIENTED_EDGE('',*,*,#28874,.F.); #20448=ORIENTED_EDGE('',*,*,#29355,.F.); #20449=ORIENTED_EDGE('',*,*,#29859,.T.); #20450=ORIENTED_EDGE('',*,*,#29860,.F.); #20451=ORIENTED_EDGE('',*,*,#29861,.F.); #20452=ORIENTED_EDGE('',*,*,#28871,.T.); #20453=ORIENTED_EDGE('',*,*,#29862,.T.); #20454=ORIENTED_EDGE('',*,*,#29356,.T.); #20455=ORIENTED_EDGE('',*,*,#28875,.T.); #20456=ORIENTED_EDGE('',*,*,#28872,.T.); #20457=ORIENTED_EDGE('',*,*,#29861,.T.); #20458=ORIENTED_EDGE('',*,*,#29863,.T.); #20459=ORIENTED_EDGE('',*,*,#29864,.T.); #20460=ORIENTED_EDGE('',*,*,#29865,.T.); #20461=ORIENTED_EDGE('',*,*,#29866,.F.); #20462=ORIENTED_EDGE('',*,*,#29867,.T.); #20463=ORIENTED_EDGE('',*,*,#29868,.F.); #20464=ORIENTED_EDGE('',*,*,#29869,.F.); #20465=ORIENTED_EDGE('',*,*,#29870,.F.); #20466=ORIENTED_EDGE('',*,*,#28877,.F.); #20467=ORIENTED_EDGE('',*,*,#28881,.F.); #20468=ORIENTED_EDGE('',*,*,#29352,.F.); #20469=ORIENTED_EDGE('',*,*,#29870,.T.); #20470=ORIENTED_EDGE('',*,*,#29871,.F.); #20471=ORIENTED_EDGE('',*,*,#29872,.F.); #20472=ORIENTED_EDGE('',*,*,#28878,.T.); #20473=ORIENTED_EDGE('',*,*,#29873,.T.); #20474=ORIENTED_EDGE('',*,*,#29353,.T.); #20475=ORIENTED_EDGE('',*,*,#28882,.T.); #20476=ORIENTED_EDGE('',*,*,#28879,.T.); #20477=ORIENTED_EDGE('',*,*,#29872,.T.); #20478=ORIENTED_EDGE('',*,*,#29874,.T.); #20479=ORIENTED_EDGE('',*,*,#29875,.T.); #20480=ORIENTED_EDGE('',*,*,#29876,.T.); #20481=ORIENTED_EDGE('',*,*,#29877,.F.); #20482=ORIENTED_EDGE('',*,*,#29878,.T.); #20483=ORIENTED_EDGE('',*,*,#29879,.F.); #20484=ORIENTED_EDGE('',*,*,#29880,.F.); #20485=ORIENTED_EDGE('',*,*,#29881,.F.); #20486=ORIENTED_EDGE('',*,*,#28884,.F.); #20487=ORIENTED_EDGE('',*,*,#28888,.F.); #20488=ORIENTED_EDGE('',*,*,#29445,.F.); #20489=ORIENTED_EDGE('',*,*,#29881,.T.); #20490=ORIENTED_EDGE('',*,*,#29882,.F.); #20491=ORIENTED_EDGE('',*,*,#29883,.F.); #20492=ORIENTED_EDGE('',*,*,#28885,.T.); #20493=ORIENTED_EDGE('',*,*,#29884,.T.); #20494=ORIENTED_EDGE('',*,*,#29446,.T.); #20495=ORIENTED_EDGE('',*,*,#28889,.T.); #20496=ORIENTED_EDGE('',*,*,#28886,.T.); #20497=ORIENTED_EDGE('',*,*,#29883,.T.); #20498=ORIENTED_EDGE('',*,*,#29885,.T.); #20499=ORIENTED_EDGE('',*,*,#29886,.T.); #20500=ORIENTED_EDGE('',*,*,#29887,.T.); #20501=ORIENTED_EDGE('',*,*,#29888,.F.); #20502=ORIENTED_EDGE('',*,*,#29889,.T.); #20503=ORIENTED_EDGE('',*,*,#29890,.F.); #20504=ORIENTED_EDGE('',*,*,#29891,.F.); #20505=ORIENTED_EDGE('',*,*,#29892,.F.); #20506=ORIENTED_EDGE('',*,*,#28891,.F.); #20507=ORIENTED_EDGE('',*,*,#28895,.F.); #20508=ORIENTED_EDGE('',*,*,#29442,.F.); #20509=ORIENTED_EDGE('',*,*,#29892,.T.); #20510=ORIENTED_EDGE('',*,*,#29893,.F.); #20511=ORIENTED_EDGE('',*,*,#29894,.F.); #20512=ORIENTED_EDGE('',*,*,#28892,.T.); #20513=ORIENTED_EDGE('',*,*,#29895,.T.); #20514=ORIENTED_EDGE('',*,*,#29443,.T.); #20515=ORIENTED_EDGE('',*,*,#28896,.T.); #20516=ORIENTED_EDGE('',*,*,#28893,.T.); #20517=ORIENTED_EDGE('',*,*,#29894,.T.); #20518=ORIENTED_EDGE('',*,*,#29896,.T.); #20519=ORIENTED_EDGE('',*,*,#29897,.T.); #20520=ORIENTED_EDGE('',*,*,#29898,.T.); #20521=ORIENTED_EDGE('',*,*,#29899,.F.); #20522=ORIENTED_EDGE('',*,*,#29900,.T.); #20523=ORIENTED_EDGE('',*,*,#29901,.F.); #20524=ORIENTED_EDGE('',*,*,#29902,.F.); #20525=ORIENTED_EDGE('',*,*,#29903,.F.); #20526=ORIENTED_EDGE('',*,*,#28898,.F.); #20527=ORIENTED_EDGE('',*,*,#28902,.F.); #20528=ORIENTED_EDGE('',*,*,#29439,.F.); #20529=ORIENTED_EDGE('',*,*,#29903,.T.); #20530=ORIENTED_EDGE('',*,*,#29904,.F.); #20531=ORIENTED_EDGE('',*,*,#29905,.F.); #20532=ORIENTED_EDGE('',*,*,#28899,.T.); #20533=ORIENTED_EDGE('',*,*,#29906,.T.); #20534=ORIENTED_EDGE('',*,*,#29440,.T.); #20535=ORIENTED_EDGE('',*,*,#28903,.T.); #20536=ORIENTED_EDGE('',*,*,#28900,.T.); #20537=ORIENTED_EDGE('',*,*,#29905,.T.); #20538=ORIENTED_EDGE('',*,*,#29907,.T.); #20539=ORIENTED_EDGE('',*,*,#29908,.T.); #20540=ORIENTED_EDGE('',*,*,#29909,.T.); #20541=ORIENTED_EDGE('',*,*,#29910,.F.); #20542=ORIENTED_EDGE('',*,*,#29911,.T.); #20543=ORIENTED_EDGE('',*,*,#29912,.F.); #20544=ORIENTED_EDGE('',*,*,#29913,.F.); #20545=ORIENTED_EDGE('',*,*,#29914,.F.); #20546=ORIENTED_EDGE('',*,*,#28905,.F.); #20547=ORIENTED_EDGE('',*,*,#28909,.F.); #20548=ORIENTED_EDGE('',*,*,#29436,.F.); #20549=ORIENTED_EDGE('',*,*,#29914,.T.); #20550=ORIENTED_EDGE('',*,*,#29915,.F.); #20551=ORIENTED_EDGE('',*,*,#29916,.F.); #20552=ORIENTED_EDGE('',*,*,#28906,.T.); #20553=ORIENTED_EDGE('',*,*,#29917,.T.); #20554=ORIENTED_EDGE('',*,*,#29437,.T.); #20555=ORIENTED_EDGE('',*,*,#28910,.T.); #20556=ORIENTED_EDGE('',*,*,#28907,.T.); #20557=ORIENTED_EDGE('',*,*,#29916,.T.); #20558=ORIENTED_EDGE('',*,*,#29918,.T.); #20559=ORIENTED_EDGE('',*,*,#29919,.T.); #20560=ORIENTED_EDGE('',*,*,#29920,.T.); #20561=ORIENTED_EDGE('',*,*,#29921,.F.); #20562=ORIENTED_EDGE('',*,*,#29922,.T.); #20563=ORIENTED_EDGE('',*,*,#29923,.F.); #20564=ORIENTED_EDGE('',*,*,#29924,.F.); #20565=ORIENTED_EDGE('',*,*,#29925,.F.); #20566=ORIENTED_EDGE('',*,*,#28912,.F.); #20567=ORIENTED_EDGE('',*,*,#28916,.F.); #20568=ORIENTED_EDGE('',*,*,#29433,.F.); #20569=ORIENTED_EDGE('',*,*,#29925,.T.); #20570=ORIENTED_EDGE('',*,*,#29926,.F.); #20571=ORIENTED_EDGE('',*,*,#29927,.F.); #20572=ORIENTED_EDGE('',*,*,#28913,.T.); #20573=ORIENTED_EDGE('',*,*,#29928,.T.); #20574=ORIENTED_EDGE('',*,*,#29434,.T.); #20575=ORIENTED_EDGE('',*,*,#28917,.T.); #20576=ORIENTED_EDGE('',*,*,#28914,.T.); #20577=ORIENTED_EDGE('',*,*,#29927,.T.); #20578=ORIENTED_EDGE('',*,*,#29929,.T.); #20579=ORIENTED_EDGE('',*,*,#29930,.T.); #20580=ORIENTED_EDGE('',*,*,#29931,.T.); #20581=ORIENTED_EDGE('',*,*,#29932,.F.); #20582=ORIENTED_EDGE('',*,*,#29933,.T.); #20583=ORIENTED_EDGE('',*,*,#29934,.F.); #20584=ORIENTED_EDGE('',*,*,#29935,.F.); #20585=ORIENTED_EDGE('',*,*,#29936,.F.); #20586=ORIENTED_EDGE('',*,*,#28919,.F.); #20587=ORIENTED_EDGE('',*,*,#28923,.F.); #20588=ORIENTED_EDGE('',*,*,#29430,.F.); #20589=ORIENTED_EDGE('',*,*,#29936,.T.); #20590=ORIENTED_EDGE('',*,*,#29937,.F.); #20591=ORIENTED_EDGE('',*,*,#29938,.F.); #20592=ORIENTED_EDGE('',*,*,#28920,.T.); #20593=ORIENTED_EDGE('',*,*,#29939,.T.); #20594=ORIENTED_EDGE('',*,*,#29431,.T.); #20595=ORIENTED_EDGE('',*,*,#28924,.T.); #20596=ORIENTED_EDGE('',*,*,#28921,.T.); #20597=ORIENTED_EDGE('',*,*,#29938,.T.); #20598=ORIENTED_EDGE('',*,*,#29940,.T.); #20599=ORIENTED_EDGE('',*,*,#29941,.T.); #20600=ORIENTED_EDGE('',*,*,#29942,.T.); #20601=ORIENTED_EDGE('',*,*,#29943,.F.); #20602=ORIENTED_EDGE('',*,*,#29944,.T.); #20603=ORIENTED_EDGE('',*,*,#29945,.F.); #20604=ORIENTED_EDGE('',*,*,#29946,.F.); #20605=ORIENTED_EDGE('',*,*,#29947,.F.); #20606=ORIENTED_EDGE('',*,*,#28926,.F.); #20607=ORIENTED_EDGE('',*,*,#28930,.F.); #20608=ORIENTED_EDGE('',*,*,#29427,.F.); #20609=ORIENTED_EDGE('',*,*,#29947,.T.); #20610=ORIENTED_EDGE('',*,*,#29948,.F.); #20611=ORIENTED_EDGE('',*,*,#29949,.F.); #20612=ORIENTED_EDGE('',*,*,#28927,.T.); #20613=ORIENTED_EDGE('',*,*,#29950,.T.); #20614=ORIENTED_EDGE('',*,*,#29428,.T.); #20615=ORIENTED_EDGE('',*,*,#28931,.T.); #20616=ORIENTED_EDGE('',*,*,#28928,.T.); #20617=ORIENTED_EDGE('',*,*,#29949,.T.); #20618=ORIENTED_EDGE('',*,*,#29951,.T.); #20619=ORIENTED_EDGE('',*,*,#29952,.T.); #20620=ORIENTED_EDGE('',*,*,#29953,.T.); #20621=ORIENTED_EDGE('',*,*,#29954,.F.); #20622=ORIENTED_EDGE('',*,*,#29955,.T.); #20623=ORIENTED_EDGE('',*,*,#29956,.F.); #20624=ORIENTED_EDGE('',*,*,#29957,.F.); #20625=ORIENTED_EDGE('',*,*,#29958,.F.); #20626=ORIENTED_EDGE('',*,*,#28933,.F.); #20627=ORIENTED_EDGE('',*,*,#28937,.F.); #20628=ORIENTED_EDGE('',*,*,#29424,.F.); #20629=ORIENTED_EDGE('',*,*,#29958,.T.); #20630=ORIENTED_EDGE('',*,*,#29959,.F.); #20631=ORIENTED_EDGE('',*,*,#29960,.F.); #20632=ORIENTED_EDGE('',*,*,#28934,.T.); #20633=ORIENTED_EDGE('',*,*,#29961,.T.); #20634=ORIENTED_EDGE('',*,*,#29425,.T.); #20635=ORIENTED_EDGE('',*,*,#28938,.T.); #20636=ORIENTED_EDGE('',*,*,#28935,.T.); #20637=ORIENTED_EDGE('',*,*,#29960,.T.); #20638=ORIENTED_EDGE('',*,*,#29962,.T.); #20639=ORIENTED_EDGE('',*,*,#28951,.T.); #20640=ORIENTED_EDGE('',*,*,#29191,.T.); #20641=ORIENTED_EDGE('',*,*,#29963,.T.); #20642=ORIENTED_EDGE('',*,*,#29964,.T.); #20643=ORIENTED_EDGE('',*,*,#29965,.F.); #20644=ORIENTED_EDGE('',*,*,#28946,.F.); #20645=ORIENTED_EDGE('',*,*,#28947,.T.); #20646=ORIENTED_EDGE('',*,*,#29965,.T.); #20647=ORIENTED_EDGE('',*,*,#29966,.T.); #20648=ORIENTED_EDGE('',*,*,#29967,.F.); #20649=ORIENTED_EDGE('',*,*,#28948,.T.); #20650=ORIENTED_EDGE('',*,*,#28943,.T.); #20651=ORIENTED_EDGE('',*,*,#28941,.F.); #20652=ORIENTED_EDGE('',*,*,#28953,.T.); #20653=ORIENTED_EDGE('',*,*,#28949,.T.); #20654=ORIENTED_EDGE('',*,*,#29967,.T.); #20655=ORIENTED_EDGE('',*,*,#29968,.T.); #20656=ORIENTED_EDGE('',*,*,#29969,.F.); #20657=ORIENTED_EDGE('',*,*,#29969,.T.); #20658=ORIENTED_EDGE('',*,*,#29970,.F.); #20659=ORIENTED_EDGE('',*,*,#29971,.F.); #20660=ORIENTED_EDGE('',*,*,#28954,.T.); #20661=ORIENTED_EDGE('',*,*,#28967,.T.); #20662=ORIENTED_EDGE('',*,*,#28955,.T.); #20663=ORIENTED_EDGE('',*,*,#29971,.T.); #20664=ORIENTED_EDGE('',*,*,#29972,.T.); #20665=ORIENTED_EDGE('',*,*,#29973,.F.); #20666=ORIENTED_EDGE('',*,*,#28962,.F.); #20667=ORIENTED_EDGE('',*,*,#28963,.T.); #20668=ORIENTED_EDGE('',*,*,#29973,.T.); #20669=ORIENTED_EDGE('',*,*,#29974,.T.); #20670=ORIENTED_EDGE('',*,*,#29975,.F.); #20671=ORIENTED_EDGE('',*,*,#28964,.T.); #20672=ORIENTED_EDGE('',*,*,#28959,.T.); #20673=ORIENTED_EDGE('',*,*,#28957,.F.); #20674=ORIENTED_EDGE('',*,*,#28969,.T.); #20675=ORIENTED_EDGE('',*,*,#28965,.T.); #20676=ORIENTED_EDGE('',*,*,#29975,.T.); #20677=ORIENTED_EDGE('',*,*,#29976,.T.); #20678=ORIENTED_EDGE('',*,*,#29977,.F.); #20679=ORIENTED_EDGE('',*,*,#29977,.T.); #20680=ORIENTED_EDGE('',*,*,#29978,.F.); #20681=ORIENTED_EDGE('',*,*,#29979,.F.); #20682=ORIENTED_EDGE('',*,*,#28970,.T.); #20683=ORIENTED_EDGE('',*,*,#28983,.T.); #20684=ORIENTED_EDGE('',*,*,#28971,.T.); #20685=ORIENTED_EDGE('',*,*,#29979,.T.); #20686=ORIENTED_EDGE('',*,*,#29980,.T.); #20687=ORIENTED_EDGE('',*,*,#29981,.F.); #20688=ORIENTED_EDGE('',*,*,#28978,.F.); #20689=ORIENTED_EDGE('',*,*,#28979,.T.); #20690=ORIENTED_EDGE('',*,*,#29981,.T.); #20691=ORIENTED_EDGE('',*,*,#29982,.T.); #20692=ORIENTED_EDGE('',*,*,#29983,.F.); #20693=ORIENTED_EDGE('',*,*,#28980,.T.); #20694=ORIENTED_EDGE('',*,*,#28975,.T.); #20695=ORIENTED_EDGE('',*,*,#28973,.F.); #20696=ORIENTED_EDGE('',*,*,#28985,.T.); #20697=ORIENTED_EDGE('',*,*,#28981,.T.); #20698=ORIENTED_EDGE('',*,*,#29983,.T.); #20699=ORIENTED_EDGE('',*,*,#29984,.T.); #20700=ORIENTED_EDGE('',*,*,#29985,.F.); #20701=ORIENTED_EDGE('',*,*,#29985,.T.); #20702=ORIENTED_EDGE('',*,*,#29986,.F.); #20703=ORIENTED_EDGE('',*,*,#29987,.F.); #20704=ORIENTED_EDGE('',*,*,#28986,.T.); #20705=ORIENTED_EDGE('',*,*,#28999,.T.); #20706=ORIENTED_EDGE('',*,*,#28987,.T.); #20707=ORIENTED_EDGE('',*,*,#29987,.T.); #20708=ORIENTED_EDGE('',*,*,#29988,.T.); #20709=ORIENTED_EDGE('',*,*,#29989,.F.); #20710=ORIENTED_EDGE('',*,*,#28994,.F.); #20711=ORIENTED_EDGE('',*,*,#28995,.T.); #20712=ORIENTED_EDGE('',*,*,#29989,.T.); #20713=ORIENTED_EDGE('',*,*,#29990,.T.); #20714=ORIENTED_EDGE('',*,*,#29991,.F.); #20715=ORIENTED_EDGE('',*,*,#28996,.T.); #20716=ORIENTED_EDGE('',*,*,#28991,.T.); #20717=ORIENTED_EDGE('',*,*,#28989,.F.); #20718=ORIENTED_EDGE('',*,*,#29001,.T.); #20719=ORIENTED_EDGE('',*,*,#28997,.T.); #20720=ORIENTED_EDGE('',*,*,#29991,.T.); #20721=ORIENTED_EDGE('',*,*,#29992,.T.); #20722=ORIENTED_EDGE('',*,*,#29993,.F.); #20723=ORIENTED_EDGE('',*,*,#29993,.T.); #20724=ORIENTED_EDGE('',*,*,#29994,.F.); #20725=ORIENTED_EDGE('',*,*,#29995,.F.); #20726=ORIENTED_EDGE('',*,*,#29002,.T.); #20727=ORIENTED_EDGE('',*,*,#29015,.T.); #20728=ORIENTED_EDGE('',*,*,#29003,.T.); #20729=ORIENTED_EDGE('',*,*,#29995,.T.); #20730=ORIENTED_EDGE('',*,*,#29996,.T.); #20731=ORIENTED_EDGE('',*,*,#29997,.F.); #20732=ORIENTED_EDGE('',*,*,#29010,.F.); #20733=ORIENTED_EDGE('',*,*,#29011,.T.); #20734=ORIENTED_EDGE('',*,*,#29997,.T.); #20735=ORIENTED_EDGE('',*,*,#29998,.T.); #20736=ORIENTED_EDGE('',*,*,#29999,.F.); #20737=ORIENTED_EDGE('',*,*,#29012,.T.); #20738=ORIENTED_EDGE('',*,*,#29007,.T.); #20739=ORIENTED_EDGE('',*,*,#29005,.F.); #20740=ORIENTED_EDGE('',*,*,#29017,.T.); #20741=ORIENTED_EDGE('',*,*,#29013,.T.); #20742=ORIENTED_EDGE('',*,*,#29999,.T.); #20743=ORIENTED_EDGE('',*,*,#30000,.T.); #20744=ORIENTED_EDGE('',*,*,#30001,.F.); #20745=ORIENTED_EDGE('',*,*,#30001,.T.); #20746=ORIENTED_EDGE('',*,*,#30002,.F.); #20747=ORIENTED_EDGE('',*,*,#30003,.F.); #20748=ORIENTED_EDGE('',*,*,#29018,.T.); #20749=ORIENTED_EDGE('',*,*,#29031,.T.); #20750=ORIENTED_EDGE('',*,*,#29019,.T.); #20751=ORIENTED_EDGE('',*,*,#30003,.T.); #20752=ORIENTED_EDGE('',*,*,#30004,.T.); #20753=ORIENTED_EDGE('',*,*,#30005,.F.); #20754=ORIENTED_EDGE('',*,*,#29026,.F.); #20755=ORIENTED_EDGE('',*,*,#29027,.T.); #20756=ORIENTED_EDGE('',*,*,#30005,.T.); #20757=ORIENTED_EDGE('',*,*,#30006,.T.); #20758=ORIENTED_EDGE('',*,*,#30007,.F.); #20759=ORIENTED_EDGE('',*,*,#29028,.T.); #20760=ORIENTED_EDGE('',*,*,#29023,.T.); #20761=ORIENTED_EDGE('',*,*,#29021,.F.); #20762=ORIENTED_EDGE('',*,*,#29033,.T.); #20763=ORIENTED_EDGE('',*,*,#29029,.T.); #20764=ORIENTED_EDGE('',*,*,#30007,.T.); #20765=ORIENTED_EDGE('',*,*,#30008,.T.); #20766=ORIENTED_EDGE('',*,*,#30009,.F.); #20767=ORIENTED_EDGE('',*,*,#30009,.T.); #20768=ORIENTED_EDGE('',*,*,#30010,.F.); #20769=ORIENTED_EDGE('',*,*,#30011,.F.); #20770=ORIENTED_EDGE('',*,*,#29034,.T.); #20771=ORIENTED_EDGE('',*,*,#29047,.T.); #20772=ORIENTED_EDGE('',*,*,#29035,.T.); #20773=ORIENTED_EDGE('',*,*,#30011,.T.); #20774=ORIENTED_EDGE('',*,*,#30012,.T.); #20775=ORIENTED_EDGE('',*,*,#30013,.F.); #20776=ORIENTED_EDGE('',*,*,#29042,.F.); #20777=ORIENTED_EDGE('',*,*,#29043,.T.); #20778=ORIENTED_EDGE('',*,*,#30013,.T.); #20779=ORIENTED_EDGE('',*,*,#30014,.T.); #20780=ORIENTED_EDGE('',*,*,#30015,.F.); #20781=ORIENTED_EDGE('',*,*,#29044,.T.); #20782=ORIENTED_EDGE('',*,*,#29039,.T.); #20783=ORIENTED_EDGE('',*,*,#29037,.F.); #20784=ORIENTED_EDGE('',*,*,#29049,.T.); #20785=ORIENTED_EDGE('',*,*,#29045,.T.); #20786=ORIENTED_EDGE('',*,*,#30015,.T.); #20787=ORIENTED_EDGE('',*,*,#30016,.T.); #20788=ORIENTED_EDGE('',*,*,#30017,.F.); #20789=ORIENTED_EDGE('',*,*,#30017,.T.); #20790=ORIENTED_EDGE('',*,*,#30018,.F.); #20791=ORIENTED_EDGE('',*,*,#30019,.F.); #20792=ORIENTED_EDGE('',*,*,#29050,.T.); #20793=ORIENTED_EDGE('',*,*,#29063,.T.); #20794=ORIENTED_EDGE('',*,*,#29051,.T.); #20795=ORIENTED_EDGE('',*,*,#30019,.T.); #20796=ORIENTED_EDGE('',*,*,#30020,.T.); #20797=ORIENTED_EDGE('',*,*,#30021,.F.); #20798=ORIENTED_EDGE('',*,*,#29058,.F.); #20799=ORIENTED_EDGE('',*,*,#29059,.T.); #20800=ORIENTED_EDGE('',*,*,#30021,.T.); #20801=ORIENTED_EDGE('',*,*,#30022,.T.); #20802=ORIENTED_EDGE('',*,*,#30023,.F.); #20803=ORIENTED_EDGE('',*,*,#29060,.T.); #20804=ORIENTED_EDGE('',*,*,#29055,.T.); #20805=ORIENTED_EDGE('',*,*,#29053,.F.); #20806=ORIENTED_EDGE('',*,*,#29485,.T.); #20807=ORIENTED_EDGE('',*,*,#29061,.T.); #20808=ORIENTED_EDGE('',*,*,#30023,.T.); #20809=ORIENTED_EDGE('',*,*,#30024,.T.); #20810=ORIENTED_EDGE('',*,*,#30025,.F.); #20811=ORIENTED_EDGE('',*,*,#30025,.T.); #20812=ORIENTED_EDGE('',*,*,#30026,.F.); #20813=ORIENTED_EDGE('',*,*,#30027,.F.); #20814=ORIENTED_EDGE('',*,*,#29486,.T.); #20815=ORIENTED_EDGE('',*,*,#30028,.F.); #20816=ORIENTED_EDGE('',*,*,#30029,.F.); #20817=ORIENTED_EDGE('',*,*,#29479,.F.); #20818=ORIENTED_EDGE('',*,*,#30030,.F.); #20819=ORIENTED_EDGE('',*,*,#30031,.F.); #20820=ORIENTED_EDGE('',*,*,#30032,.F.); #20821=ORIENTED_EDGE('',*,*,#30033,.T.); #20822=ORIENTED_EDGE('',*,*,#30034,.T.); #20823=ORIENTED_EDGE('',*,*,#30035,.T.); #20824=ORIENTED_EDGE('',*,*,#30036,.T.); #20825=ORIENTED_EDGE('',*,*,#29783,.T.); #20826=ORIENTED_EDGE('',*,*,#30037,.T.); #20827=ORIENTED_EDGE('',*,*,#30038,.F.); #20828=ORIENTED_EDGE('',*,*,#29744,.T.); #20829=ORIENTED_EDGE('',*,*,#30039,.T.); #20830=ORIENTED_EDGE('',*,*,#30040,.F.); #20831=ORIENTED_EDGE('',*,*,#29075,.T.); #20832=ORIENTED_EDGE('',*,*,#29745,.T.); #20833=ORIENTED_EDGE('',*,*,#30038,.T.); #20834=ORIENTED_EDGE('',*,*,#30041,.T.); #20835=ORIENTED_EDGE('',*,*,#30042,.F.); #20836=ORIENTED_EDGE('',*,*,#29070,.F.); #20837=ORIENTED_EDGE('',*,*,#29071,.T.); #20838=ORIENTED_EDGE('',*,*,#30042,.T.); #20839=ORIENTED_EDGE('',*,*,#30043,.T.); #20840=ORIENTED_EDGE('',*,*,#30044,.F.); #20841=ORIENTED_EDGE('',*,*,#29072,.T.); #20842=ORIENTED_EDGE('',*,*,#29067,.T.); #20843=ORIENTED_EDGE('',*,*,#29065,.F.); #20844=ORIENTED_EDGE('',*,*,#29077,.T.); #20845=ORIENTED_EDGE('',*,*,#29073,.T.); #20846=ORIENTED_EDGE('',*,*,#30044,.T.); #20847=ORIENTED_EDGE('',*,*,#30045,.T.); #20848=ORIENTED_EDGE('',*,*,#30046,.F.); #20849=ORIENTED_EDGE('',*,*,#30046,.T.); #20850=ORIENTED_EDGE('',*,*,#30047,.F.); #20851=ORIENTED_EDGE('',*,*,#30048,.F.); #20852=ORIENTED_EDGE('',*,*,#29078,.T.); #20853=ORIENTED_EDGE('',*,*,#29091,.T.); #20854=ORIENTED_EDGE('',*,*,#29079,.T.); #20855=ORIENTED_EDGE('',*,*,#30048,.T.); #20856=ORIENTED_EDGE('',*,*,#30049,.T.); #20857=ORIENTED_EDGE('',*,*,#30050,.F.); #20858=ORIENTED_EDGE('',*,*,#29086,.F.); #20859=ORIENTED_EDGE('',*,*,#29087,.T.); #20860=ORIENTED_EDGE('',*,*,#30050,.T.); #20861=ORIENTED_EDGE('',*,*,#30051,.T.); #20862=ORIENTED_EDGE('',*,*,#30052,.F.); #20863=ORIENTED_EDGE('',*,*,#29088,.T.); #20864=ORIENTED_EDGE('',*,*,#29083,.T.); #20865=ORIENTED_EDGE('',*,*,#29081,.F.); #20866=ORIENTED_EDGE('',*,*,#29093,.T.); #20867=ORIENTED_EDGE('',*,*,#29089,.T.); #20868=ORIENTED_EDGE('',*,*,#30052,.T.); #20869=ORIENTED_EDGE('',*,*,#30053,.T.); #20870=ORIENTED_EDGE('',*,*,#30054,.F.); #20871=ORIENTED_EDGE('',*,*,#30054,.T.); #20872=ORIENTED_EDGE('',*,*,#30055,.F.); #20873=ORIENTED_EDGE('',*,*,#30056,.F.); #20874=ORIENTED_EDGE('',*,*,#29094,.T.); #20875=ORIENTED_EDGE('',*,*,#29107,.T.); #20876=ORIENTED_EDGE('',*,*,#29095,.T.); #20877=ORIENTED_EDGE('',*,*,#30056,.T.); #20878=ORIENTED_EDGE('',*,*,#30057,.T.); #20879=ORIENTED_EDGE('',*,*,#30058,.F.); #20880=ORIENTED_EDGE('',*,*,#29102,.F.); #20881=ORIENTED_EDGE('',*,*,#29103,.T.); #20882=ORIENTED_EDGE('',*,*,#30058,.T.); #20883=ORIENTED_EDGE('',*,*,#30059,.T.); #20884=ORIENTED_EDGE('',*,*,#30060,.F.); #20885=ORIENTED_EDGE('',*,*,#29104,.T.); #20886=ORIENTED_EDGE('',*,*,#29099,.T.); #20887=ORIENTED_EDGE('',*,*,#29097,.F.); #20888=ORIENTED_EDGE('',*,*,#29109,.T.); #20889=ORIENTED_EDGE('',*,*,#29105,.T.); #20890=ORIENTED_EDGE('',*,*,#30060,.T.); #20891=ORIENTED_EDGE('',*,*,#30061,.T.); #20892=ORIENTED_EDGE('',*,*,#30062,.F.); #20893=ORIENTED_EDGE('',*,*,#30062,.T.); #20894=ORIENTED_EDGE('',*,*,#30063,.F.); #20895=ORIENTED_EDGE('',*,*,#30064,.F.); #20896=ORIENTED_EDGE('',*,*,#29110,.T.); #20897=ORIENTED_EDGE('',*,*,#29123,.T.); #20898=ORIENTED_EDGE('',*,*,#29111,.T.); #20899=ORIENTED_EDGE('',*,*,#30064,.T.); #20900=ORIENTED_EDGE('',*,*,#30065,.T.); #20901=ORIENTED_EDGE('',*,*,#30066,.F.); #20902=ORIENTED_EDGE('',*,*,#29118,.F.); #20903=ORIENTED_EDGE('',*,*,#29119,.T.); #20904=ORIENTED_EDGE('',*,*,#30066,.T.); #20905=ORIENTED_EDGE('',*,*,#30067,.T.); #20906=ORIENTED_EDGE('',*,*,#30068,.F.); #20907=ORIENTED_EDGE('',*,*,#29120,.T.); #20908=ORIENTED_EDGE('',*,*,#29115,.T.); #20909=ORIENTED_EDGE('',*,*,#29113,.F.); #20910=ORIENTED_EDGE('',*,*,#29125,.T.); #20911=ORIENTED_EDGE('',*,*,#29121,.T.); #20912=ORIENTED_EDGE('',*,*,#30068,.T.); #20913=ORIENTED_EDGE('',*,*,#30069,.T.); #20914=ORIENTED_EDGE('',*,*,#30070,.F.); #20915=ORIENTED_EDGE('',*,*,#30070,.T.); #20916=ORIENTED_EDGE('',*,*,#30071,.F.); #20917=ORIENTED_EDGE('',*,*,#30072,.F.); #20918=ORIENTED_EDGE('',*,*,#29126,.T.); #20919=ORIENTED_EDGE('',*,*,#29139,.T.); #20920=ORIENTED_EDGE('',*,*,#29127,.T.); #20921=ORIENTED_EDGE('',*,*,#30072,.T.); #20922=ORIENTED_EDGE('',*,*,#30073,.T.); #20923=ORIENTED_EDGE('',*,*,#30074,.F.); #20924=ORIENTED_EDGE('',*,*,#29134,.F.); #20925=ORIENTED_EDGE('',*,*,#29135,.T.); #20926=ORIENTED_EDGE('',*,*,#30074,.T.); #20927=ORIENTED_EDGE('',*,*,#30075,.T.); #20928=ORIENTED_EDGE('',*,*,#30076,.F.); #20929=ORIENTED_EDGE('',*,*,#29136,.T.); #20930=ORIENTED_EDGE('',*,*,#29131,.T.); #20931=ORIENTED_EDGE('',*,*,#29129,.F.); #20932=ORIENTED_EDGE('',*,*,#29141,.T.); #20933=ORIENTED_EDGE('',*,*,#29137,.T.); #20934=ORIENTED_EDGE('',*,*,#30076,.T.); #20935=ORIENTED_EDGE('',*,*,#30077,.T.); #20936=ORIENTED_EDGE('',*,*,#30078,.F.); #20937=ORIENTED_EDGE('',*,*,#30078,.T.); #20938=ORIENTED_EDGE('',*,*,#30079,.F.); #20939=ORIENTED_EDGE('',*,*,#30080,.F.); #20940=ORIENTED_EDGE('',*,*,#29142,.T.); #20941=ORIENTED_EDGE('',*,*,#29155,.T.); #20942=ORIENTED_EDGE('',*,*,#29143,.T.); #20943=ORIENTED_EDGE('',*,*,#30080,.T.); #20944=ORIENTED_EDGE('',*,*,#30081,.T.); #20945=ORIENTED_EDGE('',*,*,#30082,.F.); #20946=ORIENTED_EDGE('',*,*,#29150,.F.); #20947=ORIENTED_EDGE('',*,*,#29151,.T.); #20948=ORIENTED_EDGE('',*,*,#30082,.T.); #20949=ORIENTED_EDGE('',*,*,#30083,.T.); #20950=ORIENTED_EDGE('',*,*,#30084,.F.); #20951=ORIENTED_EDGE('',*,*,#29152,.T.); #20952=ORIENTED_EDGE('',*,*,#29147,.T.); #20953=ORIENTED_EDGE('',*,*,#29145,.F.); #20954=ORIENTED_EDGE('',*,*,#29157,.T.); #20955=ORIENTED_EDGE('',*,*,#29153,.T.); #20956=ORIENTED_EDGE('',*,*,#30084,.T.); #20957=ORIENTED_EDGE('',*,*,#30085,.T.); #20958=ORIENTED_EDGE('',*,*,#30086,.F.); #20959=ORIENTED_EDGE('',*,*,#30086,.T.); #20960=ORIENTED_EDGE('',*,*,#30087,.F.); #20961=ORIENTED_EDGE('',*,*,#30088,.F.); #20962=ORIENTED_EDGE('',*,*,#29158,.T.); #20963=ORIENTED_EDGE('',*,*,#29171,.T.); #20964=ORIENTED_EDGE('',*,*,#29159,.T.); #20965=ORIENTED_EDGE('',*,*,#30088,.T.); #20966=ORIENTED_EDGE('',*,*,#30089,.T.); #20967=ORIENTED_EDGE('',*,*,#30090,.F.); #20968=ORIENTED_EDGE('',*,*,#29166,.F.); #20969=ORIENTED_EDGE('',*,*,#29167,.T.); #20970=ORIENTED_EDGE('',*,*,#30090,.T.); #20971=ORIENTED_EDGE('',*,*,#30091,.T.); #20972=ORIENTED_EDGE('',*,*,#30092,.F.); #20973=ORIENTED_EDGE('',*,*,#29168,.T.); #20974=ORIENTED_EDGE('',*,*,#29163,.T.); #20975=ORIENTED_EDGE('',*,*,#29161,.F.); #20976=ORIENTED_EDGE('',*,*,#29173,.T.); #20977=ORIENTED_EDGE('',*,*,#29169,.T.); #20978=ORIENTED_EDGE('',*,*,#30092,.T.); #20979=ORIENTED_EDGE('',*,*,#30093,.T.); #20980=ORIENTED_EDGE('',*,*,#30094,.F.); #20981=ORIENTED_EDGE('',*,*,#30094,.T.); #20982=ORIENTED_EDGE('',*,*,#30095,.F.); #20983=ORIENTED_EDGE('',*,*,#30096,.F.); #20984=ORIENTED_EDGE('',*,*,#29174,.T.); #20985=ORIENTED_EDGE('',*,*,#29187,.T.); #20986=ORIENTED_EDGE('',*,*,#29175,.T.); #20987=ORIENTED_EDGE('',*,*,#30096,.T.); #20988=ORIENTED_EDGE('',*,*,#30097,.T.); #20989=ORIENTED_EDGE('',*,*,#30098,.F.); #20990=ORIENTED_EDGE('',*,*,#29182,.F.); #20991=ORIENTED_EDGE('',*,*,#29183,.T.); #20992=ORIENTED_EDGE('',*,*,#30098,.T.); #20993=ORIENTED_EDGE('',*,*,#30099,.T.); #20994=ORIENTED_EDGE('',*,*,#30100,.F.); #20995=ORIENTED_EDGE('',*,*,#29184,.T.); #20996=ORIENTED_EDGE('',*,*,#29179,.T.); #20997=ORIENTED_EDGE('',*,*,#29177,.F.); #20998=ORIENTED_EDGE('',*,*,#29189,.T.); #20999=ORIENTED_EDGE('',*,*,#29185,.T.); #21000=ORIENTED_EDGE('',*,*,#30100,.T.); #21001=ORIENTED_EDGE('',*,*,#30101,.T.); #21002=ORIENTED_EDGE('',*,*,#30102,.F.); #21003=ORIENTED_EDGE('',*,*,#29190,.T.); #21004=ORIENTED_EDGE('',*,*,#30102,.T.); #21005=ORIENTED_EDGE('',*,*,#30103,.F.); #21006=ORIENTED_EDGE('',*,*,#29963,.F.); #21007=ORIENTED_EDGE('',*,*,#30104,.F.); #21008=ORIENTED_EDGE('',*,*,#30105,.T.); #21009=ORIENTED_EDGE('',*,*,#30106,.T.); #21010=ORIENTED_EDGE('',*,*,#30107,.F.); #21011=ORIENTED_EDGE('',*,*,#30108,.T.); #21012=ORIENTED_EDGE('',*,*,#29195,.F.); #21013=ORIENTED_EDGE('',*,*,#30109,.F.); #21014=ORIENTED_EDGE('',*,*,#30110,.T.); #21015=ORIENTED_EDGE('',*,*,#30111,.T.); #21016=ORIENTED_EDGE('',*,*,#30112,.T.); #21017=ORIENTED_EDGE('',*,*,#30113,.T.); #21018=ORIENTED_EDGE('',*,*,#30109,.T.); #21019=ORIENTED_EDGE('',*,*,#29194,.T.); #21020=ORIENTED_EDGE('',*,*,#29198,.T.); #21021=ORIENTED_EDGE('',*,*,#29201,.T.); #21022=ORIENTED_EDGE('',*,*,#29399,.T.); #21023=ORIENTED_EDGE('',*,*,#30114,.F.); #21024=ORIENTED_EDGE('',*,*,#29397,.F.); #21025=ORIENTED_EDGE('',*,*,#29199,.F.); #21026=ORIENTED_EDGE('',*,*,#29196,.F.); #21027=ORIENTED_EDGE('',*,*,#29192,.F.); #21028=ORIENTED_EDGE('',*,*,#30108,.F.); #21029=ORIENTED_EDGE('',*,*,#30115,.F.); #21030=ORIENTED_EDGE('',*,*,#30116,.F.); #21031=ORIENTED_EDGE('',*,*,#30117,.F.); #21032=ORIENTED_EDGE('',*,*,#30118,.T.); #21033=ORIENTED_EDGE('',*,*,#30119,.T.); #21034=ORIENTED_EDGE('',*,*,#30120,.T.); #21035=ORIENTED_EDGE('',*,*,#30121,.T.); #21036=ORIENTED_EDGE('',*,*,#29205,.F.); #21037=ORIENTED_EDGE('',*,*,#30122,.F.); #21038=ORIENTED_EDGE('',*,*,#30123,.T.); #21039=ORIENTED_EDGE('',*,*,#30124,.T.); #21040=ORIENTED_EDGE('',*,*,#30125,.T.); #21041=ORIENTED_EDGE('',*,*,#30126,.T.); #21042=ORIENTED_EDGE('',*,*,#30122,.T.); #21043=ORIENTED_EDGE('',*,*,#29204,.T.); #21044=ORIENTED_EDGE('',*,*,#29208,.T.); #21045=ORIENTED_EDGE('',*,*,#29211,.T.); #21046=ORIENTED_EDGE('',*,*,#29396,.T.); #21047=ORIENTED_EDGE('',*,*,#30127,.F.); #21048=ORIENTED_EDGE('',*,*,#29394,.F.); #21049=ORIENTED_EDGE('',*,*,#29209,.F.); #21050=ORIENTED_EDGE('',*,*,#29206,.F.); #21051=ORIENTED_EDGE('',*,*,#29202,.F.); #21052=ORIENTED_EDGE('',*,*,#30121,.F.); #21053=ORIENTED_EDGE('',*,*,#30128,.F.); #21054=ORIENTED_EDGE('',*,*,#30129,.F.); #21055=ORIENTED_EDGE('',*,*,#30130,.F.); #21056=ORIENTED_EDGE('',*,*,#30131,.T.); #21057=ORIENTED_EDGE('',*,*,#30132,.T.); #21058=ORIENTED_EDGE('',*,*,#30133,.T.); #21059=ORIENTED_EDGE('',*,*,#30134,.T.); #21060=ORIENTED_EDGE('',*,*,#29215,.F.); #21061=ORIENTED_EDGE('',*,*,#30135,.F.); #21062=ORIENTED_EDGE('',*,*,#30136,.T.); #21063=ORIENTED_EDGE('',*,*,#30137,.T.); #21064=ORIENTED_EDGE('',*,*,#30138,.T.); #21065=ORIENTED_EDGE('',*,*,#30139,.T.); #21066=ORIENTED_EDGE('',*,*,#30135,.T.); #21067=ORIENTED_EDGE('',*,*,#29214,.T.); #21068=ORIENTED_EDGE('',*,*,#29218,.T.); #21069=ORIENTED_EDGE('',*,*,#29221,.T.); #21070=ORIENTED_EDGE('',*,*,#29393,.T.); #21071=ORIENTED_EDGE('',*,*,#30140,.F.); #21072=ORIENTED_EDGE('',*,*,#29391,.F.); #21073=ORIENTED_EDGE('',*,*,#29219,.F.); #21074=ORIENTED_EDGE('',*,*,#29216,.F.); #21075=ORIENTED_EDGE('',*,*,#29212,.F.); #21076=ORIENTED_EDGE('',*,*,#30134,.F.); #21077=ORIENTED_EDGE('',*,*,#30141,.F.); #21078=ORIENTED_EDGE('',*,*,#30142,.F.); #21079=ORIENTED_EDGE('',*,*,#30143,.F.); #21080=ORIENTED_EDGE('',*,*,#30144,.T.); #21081=ORIENTED_EDGE('',*,*,#30145,.T.); #21082=ORIENTED_EDGE('',*,*,#30146,.T.); #21083=ORIENTED_EDGE('',*,*,#30147,.T.); #21084=ORIENTED_EDGE('',*,*,#29225,.F.); #21085=ORIENTED_EDGE('',*,*,#30148,.F.); #21086=ORIENTED_EDGE('',*,*,#30149,.T.); #21087=ORIENTED_EDGE('',*,*,#30150,.T.); #21088=ORIENTED_EDGE('',*,*,#30151,.T.); #21089=ORIENTED_EDGE('',*,*,#30152,.T.); #21090=ORIENTED_EDGE('',*,*,#30148,.T.); #21091=ORIENTED_EDGE('',*,*,#29224,.T.); #21092=ORIENTED_EDGE('',*,*,#29228,.T.); #21093=ORIENTED_EDGE('',*,*,#29231,.T.); #21094=ORIENTED_EDGE('',*,*,#29390,.T.); #21095=ORIENTED_EDGE('',*,*,#30153,.F.); #21096=ORIENTED_EDGE('',*,*,#29388,.F.); #21097=ORIENTED_EDGE('',*,*,#29229,.F.); #21098=ORIENTED_EDGE('',*,*,#29226,.F.); #21099=ORIENTED_EDGE('',*,*,#29222,.F.); #21100=ORIENTED_EDGE('',*,*,#30147,.F.); #21101=ORIENTED_EDGE('',*,*,#30154,.F.); #21102=ORIENTED_EDGE('',*,*,#30155,.F.); #21103=ORIENTED_EDGE('',*,*,#30156,.F.); #21104=ORIENTED_EDGE('',*,*,#30157,.T.); #21105=ORIENTED_EDGE('',*,*,#30158,.T.); #21106=ORIENTED_EDGE('',*,*,#30159,.T.); #21107=ORIENTED_EDGE('',*,*,#30160,.T.); #21108=ORIENTED_EDGE('',*,*,#29235,.F.); #21109=ORIENTED_EDGE('',*,*,#30161,.F.); #21110=ORIENTED_EDGE('',*,*,#30162,.T.); #21111=ORIENTED_EDGE('',*,*,#30163,.T.); #21112=ORIENTED_EDGE('',*,*,#30164,.T.); #21113=ORIENTED_EDGE('',*,*,#30165,.T.); #21114=ORIENTED_EDGE('',*,*,#30161,.T.); #21115=ORIENTED_EDGE('',*,*,#29234,.T.); #21116=ORIENTED_EDGE('',*,*,#29238,.T.); #21117=ORIENTED_EDGE('',*,*,#29241,.T.); #21118=ORIENTED_EDGE('',*,*,#29387,.T.); #21119=ORIENTED_EDGE('',*,*,#30166,.F.); #21120=ORIENTED_EDGE('',*,*,#29385,.F.); #21121=ORIENTED_EDGE('',*,*,#29239,.F.); #21122=ORIENTED_EDGE('',*,*,#29236,.F.); #21123=ORIENTED_EDGE('',*,*,#29232,.F.); #21124=ORIENTED_EDGE('',*,*,#30160,.F.); #21125=ORIENTED_EDGE('',*,*,#30167,.F.); #21126=ORIENTED_EDGE('',*,*,#30168,.F.); #21127=ORIENTED_EDGE('',*,*,#30169,.F.); #21128=ORIENTED_EDGE('',*,*,#30170,.T.); #21129=ORIENTED_EDGE('',*,*,#30171,.T.); #21130=ORIENTED_EDGE('',*,*,#30172,.T.); #21131=ORIENTED_EDGE('',*,*,#30173,.T.); #21132=ORIENTED_EDGE('',*,*,#29245,.F.); #21133=ORIENTED_EDGE('',*,*,#30174,.F.); #21134=ORIENTED_EDGE('',*,*,#30175,.T.); #21135=ORIENTED_EDGE('',*,*,#30176,.T.); #21136=ORIENTED_EDGE('',*,*,#30177,.T.); #21137=ORIENTED_EDGE('',*,*,#30178,.T.); #21138=ORIENTED_EDGE('',*,*,#30174,.T.); #21139=ORIENTED_EDGE('',*,*,#29244,.T.); #21140=ORIENTED_EDGE('',*,*,#29248,.T.); #21141=ORIENTED_EDGE('',*,*,#29251,.T.); #21142=ORIENTED_EDGE('',*,*,#29384,.T.); #21143=ORIENTED_EDGE('',*,*,#30179,.F.); #21144=ORIENTED_EDGE('',*,*,#29382,.F.); #21145=ORIENTED_EDGE('',*,*,#29249,.F.); #21146=ORIENTED_EDGE('',*,*,#29246,.F.); #21147=ORIENTED_EDGE('',*,*,#29242,.F.); #21148=ORIENTED_EDGE('',*,*,#30173,.F.); #21149=ORIENTED_EDGE('',*,*,#30180,.F.); #21150=ORIENTED_EDGE('',*,*,#30181,.F.); #21151=ORIENTED_EDGE('',*,*,#30182,.F.); #21152=ORIENTED_EDGE('',*,*,#30183,.T.); #21153=ORIENTED_EDGE('',*,*,#30184,.T.); #21154=ORIENTED_EDGE('',*,*,#30185,.T.); #21155=ORIENTED_EDGE('',*,*,#30186,.T.); #21156=ORIENTED_EDGE('',*,*,#29255,.F.); #21157=ORIENTED_EDGE('',*,*,#30187,.F.); #21158=ORIENTED_EDGE('',*,*,#30188,.T.); #21159=ORIENTED_EDGE('',*,*,#30189,.T.); #21160=ORIENTED_EDGE('',*,*,#30190,.T.); #21161=ORIENTED_EDGE('',*,*,#30191,.T.); #21162=ORIENTED_EDGE('',*,*,#30187,.T.); #21163=ORIENTED_EDGE('',*,*,#29254,.T.); #21164=ORIENTED_EDGE('',*,*,#29258,.T.); #21165=ORIENTED_EDGE('',*,*,#29261,.T.); #21166=ORIENTED_EDGE('',*,*,#29381,.T.); #21167=ORIENTED_EDGE('',*,*,#30192,.F.); #21168=ORIENTED_EDGE('',*,*,#29379,.F.); #21169=ORIENTED_EDGE('',*,*,#29259,.F.); #21170=ORIENTED_EDGE('',*,*,#29256,.F.); #21171=ORIENTED_EDGE('',*,*,#29252,.F.); #21172=ORIENTED_EDGE('',*,*,#30186,.F.); #21173=ORIENTED_EDGE('',*,*,#30193,.F.); #21174=ORIENTED_EDGE('',*,*,#30194,.F.); #21175=ORIENTED_EDGE('',*,*,#30195,.F.); #21176=ORIENTED_EDGE('',*,*,#30196,.T.); #21177=ORIENTED_EDGE('',*,*,#30197,.T.); #21178=ORIENTED_EDGE('',*,*,#30198,.T.); #21179=ORIENTED_EDGE('',*,*,#30199,.T.); #21180=ORIENTED_EDGE('',*,*,#29265,.F.); #21181=ORIENTED_EDGE('',*,*,#30200,.F.); #21182=ORIENTED_EDGE('',*,*,#30201,.T.); #21183=ORIENTED_EDGE('',*,*,#30202,.T.); #21184=ORIENTED_EDGE('',*,*,#30203,.T.); #21185=ORIENTED_EDGE('',*,*,#30204,.T.); #21186=ORIENTED_EDGE('',*,*,#30200,.T.); #21187=ORIENTED_EDGE('',*,*,#29264,.T.); #21188=ORIENTED_EDGE('',*,*,#29268,.T.); #21189=ORIENTED_EDGE('',*,*,#29271,.T.); #21190=ORIENTED_EDGE('',*,*,#29378,.T.); #21191=ORIENTED_EDGE('',*,*,#30205,.F.); #21192=ORIENTED_EDGE('',*,*,#29376,.F.); #21193=ORIENTED_EDGE('',*,*,#29269,.F.); #21194=ORIENTED_EDGE('',*,*,#29266,.F.); #21195=ORIENTED_EDGE('',*,*,#29262,.F.); #21196=ORIENTED_EDGE('',*,*,#30199,.F.); #21197=ORIENTED_EDGE('',*,*,#30206,.F.); #21198=ORIENTED_EDGE('',*,*,#30207,.F.); #21199=ORIENTED_EDGE('',*,*,#30208,.F.); #21200=ORIENTED_EDGE('',*,*,#30209,.T.); #21201=ORIENTED_EDGE('',*,*,#30210,.T.); #21202=ORIENTED_EDGE('',*,*,#30211,.F.); #21203=ORIENTED_EDGE('',*,*,#30212,.T.); #21204=ORIENTED_EDGE('',*,*,#30213,.T.); #21205=ORIENTED_EDGE('',*,*,#30214,.F.); #21206=ORIENTED_EDGE('',*,*,#30215,.T.); #21207=ORIENTED_EDGE('',*,*,#30216,.T.); #21208=ORIENTED_EDGE('',*,*,#29275,.F.); #21209=ORIENTED_EDGE('',*,*,#30217,.F.); #21210=ORIENTED_EDGE('',*,*,#30218,.T.); #21211=ORIENTED_EDGE('',*,*,#30219,.T.); #21212=ORIENTED_EDGE('',*,*,#30220,.T.); #21213=ORIENTED_EDGE('',*,*,#30221,.T.); #21214=ORIENTED_EDGE('',*,*,#30217,.T.); #21215=ORIENTED_EDGE('',*,*,#29274,.T.); #21216=ORIENTED_EDGE('',*,*,#29278,.T.); #21217=ORIENTED_EDGE('',*,*,#29281,.T.); #21218=ORIENTED_EDGE('',*,*,#29423,.T.); #21219=ORIENTED_EDGE('',*,*,#30222,.F.); #21220=ORIENTED_EDGE('',*,*,#29421,.F.); #21221=ORIENTED_EDGE('',*,*,#29279,.F.); #21222=ORIENTED_EDGE('',*,*,#29276,.F.); #21223=ORIENTED_EDGE('',*,*,#29272,.F.); #21224=ORIENTED_EDGE('',*,*,#30216,.F.); #21225=ORIENTED_EDGE('',*,*,#30223,.F.); #21226=ORIENTED_EDGE('',*,*,#30224,.F.); #21227=ORIENTED_EDGE('',*,*,#30225,.F.); #21228=ORIENTED_EDGE('',*,*,#30226,.T.); #21229=ORIENTED_EDGE('',*,*,#30227,.T.); #21230=ORIENTED_EDGE('',*,*,#30228,.T.); #21231=ORIENTED_EDGE('',*,*,#30229,.T.); #21232=ORIENTED_EDGE('',*,*,#29285,.F.); #21233=ORIENTED_EDGE('',*,*,#30230,.F.); #21234=ORIENTED_EDGE('',*,*,#30231,.T.); #21235=ORIENTED_EDGE('',*,*,#30232,.T.); #21236=ORIENTED_EDGE('',*,*,#30233,.T.); #21237=ORIENTED_EDGE('',*,*,#30234,.T.); #21238=ORIENTED_EDGE('',*,*,#30230,.T.); #21239=ORIENTED_EDGE('',*,*,#29284,.T.); #21240=ORIENTED_EDGE('',*,*,#29288,.T.); #21241=ORIENTED_EDGE('',*,*,#29291,.T.); #21242=ORIENTED_EDGE('',*,*,#29420,.T.); #21243=ORIENTED_EDGE('',*,*,#30235,.F.); #21244=ORIENTED_EDGE('',*,*,#29418,.F.); #21245=ORIENTED_EDGE('',*,*,#29289,.F.); #21246=ORIENTED_EDGE('',*,*,#29286,.F.); #21247=ORIENTED_EDGE('',*,*,#29282,.F.); #21248=ORIENTED_EDGE('',*,*,#30229,.F.); #21249=ORIENTED_EDGE('',*,*,#30236,.F.); #21250=ORIENTED_EDGE('',*,*,#30237,.F.); #21251=ORIENTED_EDGE('',*,*,#30238,.F.); #21252=ORIENTED_EDGE('',*,*,#30239,.T.); #21253=ORIENTED_EDGE('',*,*,#30240,.T.); #21254=ORIENTED_EDGE('',*,*,#30241,.T.); #21255=ORIENTED_EDGE('',*,*,#30242,.T.); #21256=ORIENTED_EDGE('',*,*,#29295,.F.); #21257=ORIENTED_EDGE('',*,*,#30243,.F.); #21258=ORIENTED_EDGE('',*,*,#30244,.T.); #21259=ORIENTED_EDGE('',*,*,#30245,.T.); #21260=ORIENTED_EDGE('',*,*,#30246,.T.); #21261=ORIENTED_EDGE('',*,*,#30247,.T.); #21262=ORIENTED_EDGE('',*,*,#30243,.T.); #21263=ORIENTED_EDGE('',*,*,#29294,.T.); #21264=ORIENTED_EDGE('',*,*,#29298,.T.); #21265=ORIENTED_EDGE('',*,*,#29301,.T.); #21266=ORIENTED_EDGE('',*,*,#29417,.T.); #21267=ORIENTED_EDGE('',*,*,#30248,.F.); #21268=ORIENTED_EDGE('',*,*,#29415,.F.); #21269=ORIENTED_EDGE('',*,*,#29299,.F.); #21270=ORIENTED_EDGE('',*,*,#29296,.F.); #21271=ORIENTED_EDGE('',*,*,#29292,.F.); #21272=ORIENTED_EDGE('',*,*,#30242,.F.); #21273=ORIENTED_EDGE('',*,*,#30249,.F.); #21274=ORIENTED_EDGE('',*,*,#30250,.F.); #21275=ORIENTED_EDGE('',*,*,#30251,.F.); #21276=ORIENTED_EDGE('',*,*,#30252,.T.); #21277=ORIENTED_EDGE('',*,*,#30253,.T.); #21278=ORIENTED_EDGE('',*,*,#30254,.T.); #21279=ORIENTED_EDGE('',*,*,#30255,.T.); #21280=ORIENTED_EDGE('',*,*,#29305,.F.); #21281=ORIENTED_EDGE('',*,*,#30256,.F.); #21282=ORIENTED_EDGE('',*,*,#30257,.T.); #21283=ORIENTED_EDGE('',*,*,#30258,.T.); #21284=ORIENTED_EDGE('',*,*,#30259,.T.); #21285=ORIENTED_EDGE('',*,*,#30260,.T.); #21286=ORIENTED_EDGE('',*,*,#30256,.T.); #21287=ORIENTED_EDGE('',*,*,#29304,.T.); #21288=ORIENTED_EDGE('',*,*,#29308,.T.); #21289=ORIENTED_EDGE('',*,*,#29311,.T.); #21290=ORIENTED_EDGE('',*,*,#29414,.T.); #21291=ORIENTED_EDGE('',*,*,#30261,.F.); #21292=ORIENTED_EDGE('',*,*,#29412,.F.); #21293=ORIENTED_EDGE('',*,*,#29309,.F.); #21294=ORIENTED_EDGE('',*,*,#29306,.F.); #21295=ORIENTED_EDGE('',*,*,#29302,.F.); #21296=ORIENTED_EDGE('',*,*,#30255,.F.); #21297=ORIENTED_EDGE('',*,*,#30262,.F.); #21298=ORIENTED_EDGE('',*,*,#30263,.F.); #21299=ORIENTED_EDGE('',*,*,#30264,.F.); #21300=ORIENTED_EDGE('',*,*,#30265,.T.); #21301=ORIENTED_EDGE('',*,*,#30266,.T.); #21302=ORIENTED_EDGE('',*,*,#30267,.T.); #21303=ORIENTED_EDGE('',*,*,#30268,.T.); #21304=ORIENTED_EDGE('',*,*,#29315,.F.); #21305=ORIENTED_EDGE('',*,*,#30269,.F.); #21306=ORIENTED_EDGE('',*,*,#30270,.T.); #21307=ORIENTED_EDGE('',*,*,#30271,.T.); #21308=ORIENTED_EDGE('',*,*,#30272,.T.); #21309=ORIENTED_EDGE('',*,*,#30273,.T.); #21310=ORIENTED_EDGE('',*,*,#30269,.T.); #21311=ORIENTED_EDGE('',*,*,#29314,.T.); #21312=ORIENTED_EDGE('',*,*,#29318,.T.); #21313=ORIENTED_EDGE('',*,*,#29321,.T.); #21314=ORIENTED_EDGE('',*,*,#29411,.T.); #21315=ORIENTED_EDGE('',*,*,#30274,.F.); #21316=ORIENTED_EDGE('',*,*,#29409,.F.); #21317=ORIENTED_EDGE('',*,*,#29319,.F.); #21318=ORIENTED_EDGE('',*,*,#29316,.F.); #21319=ORIENTED_EDGE('',*,*,#29312,.F.); #21320=ORIENTED_EDGE('',*,*,#30268,.F.); #21321=ORIENTED_EDGE('',*,*,#30275,.F.); #21322=ORIENTED_EDGE('',*,*,#30276,.F.); #21323=ORIENTED_EDGE('',*,*,#30277,.F.); #21324=ORIENTED_EDGE('',*,*,#30278,.T.); #21325=ORIENTED_EDGE('',*,*,#30279,.T.); #21326=ORIENTED_EDGE('',*,*,#30280,.T.); #21327=ORIENTED_EDGE('',*,*,#30281,.T.); #21328=ORIENTED_EDGE('',*,*,#29325,.F.); #21329=ORIENTED_EDGE('',*,*,#30282,.F.); #21330=ORIENTED_EDGE('',*,*,#30283,.T.); #21331=ORIENTED_EDGE('',*,*,#30284,.T.); #21332=ORIENTED_EDGE('',*,*,#30285,.T.); #21333=ORIENTED_EDGE('',*,*,#30286,.T.); #21334=ORIENTED_EDGE('',*,*,#30282,.T.); #21335=ORIENTED_EDGE('',*,*,#29324,.T.); #21336=ORIENTED_EDGE('',*,*,#29328,.T.); #21337=ORIENTED_EDGE('',*,*,#29331,.T.); #21338=ORIENTED_EDGE('',*,*,#29408,.T.); #21339=ORIENTED_EDGE('',*,*,#30287,.F.); #21340=ORIENTED_EDGE('',*,*,#29406,.F.); #21341=ORIENTED_EDGE('',*,*,#29329,.F.); #21342=ORIENTED_EDGE('',*,*,#29326,.F.); #21343=ORIENTED_EDGE('',*,*,#29322,.F.); #21344=ORIENTED_EDGE('',*,*,#30281,.F.); #21345=ORIENTED_EDGE('',*,*,#30288,.F.); #21346=ORIENTED_EDGE('',*,*,#30289,.F.); #21347=ORIENTED_EDGE('',*,*,#30290,.F.); #21348=ORIENTED_EDGE('',*,*,#30291,.T.); #21349=ORIENTED_EDGE('',*,*,#30292,.T.); #21350=ORIENTED_EDGE('',*,*,#30293,.T.); #21351=ORIENTED_EDGE('',*,*,#30294,.T.); #21352=ORIENTED_EDGE('',*,*,#29335,.F.); #21353=ORIENTED_EDGE('',*,*,#30295,.F.); #21354=ORIENTED_EDGE('',*,*,#30296,.T.); #21355=ORIENTED_EDGE('',*,*,#30297,.T.); #21356=ORIENTED_EDGE('',*,*,#30298,.T.); #21357=ORIENTED_EDGE('',*,*,#30299,.T.); #21358=ORIENTED_EDGE('',*,*,#30295,.T.); #21359=ORIENTED_EDGE('',*,*,#29334,.T.); #21360=ORIENTED_EDGE('',*,*,#29338,.T.); #21361=ORIENTED_EDGE('',*,*,#29341,.T.); #21362=ORIENTED_EDGE('',*,*,#29405,.T.); #21363=ORIENTED_EDGE('',*,*,#30300,.F.); #21364=ORIENTED_EDGE('',*,*,#29403,.F.); #21365=ORIENTED_EDGE('',*,*,#29339,.F.); #21366=ORIENTED_EDGE('',*,*,#29336,.F.); #21367=ORIENTED_EDGE('',*,*,#29332,.F.); #21368=ORIENTED_EDGE('',*,*,#30294,.F.); #21369=ORIENTED_EDGE('',*,*,#30301,.F.); #21370=ORIENTED_EDGE('',*,*,#30302,.F.); #21371=ORIENTED_EDGE('',*,*,#30303,.F.); #21372=ORIENTED_EDGE('',*,*,#30304,.T.); #21373=ORIENTED_EDGE('',*,*,#30305,.T.); #21374=ORIENTED_EDGE('',*,*,#30306,.T.); #21375=ORIENTED_EDGE('',*,*,#30307,.T.); #21376=ORIENTED_EDGE('',*,*,#29345,.F.); #21377=ORIENTED_EDGE('',*,*,#30308,.F.); #21378=ORIENTED_EDGE('',*,*,#30309,.T.); #21379=ORIENTED_EDGE('',*,*,#30310,.T.); #21380=ORIENTED_EDGE('',*,*,#30311,.T.); #21381=ORIENTED_EDGE('',*,*,#30312,.T.); #21382=ORIENTED_EDGE('',*,*,#30308,.T.); #21383=ORIENTED_EDGE('',*,*,#29344,.T.); #21384=ORIENTED_EDGE('',*,*,#29348,.T.); #21385=ORIENTED_EDGE('',*,*,#29351,.T.); #21386=ORIENTED_EDGE('',*,*,#29402,.T.); #21387=ORIENTED_EDGE('',*,*,#30313,.F.); #21388=ORIENTED_EDGE('',*,*,#29400,.F.); #21389=ORIENTED_EDGE('',*,*,#29349,.F.); #21390=ORIENTED_EDGE('',*,*,#29346,.F.); #21391=ORIENTED_EDGE('',*,*,#29342,.F.); #21392=ORIENTED_EDGE('',*,*,#30307,.F.); #21393=ORIENTED_EDGE('',*,*,#30314,.F.); #21394=ORIENTED_EDGE('',*,*,#30315,.F.); #21395=ORIENTED_EDGE('',*,*,#29877,.T.); #21396=ORIENTED_EDGE('',*,*,#30316,.F.); #21397=ORIENTED_EDGE('',*,*,#30317,.F.); #21398=ORIENTED_EDGE('',*,*,#29879,.T.); #21399=ORIENTED_EDGE('',*,*,#29447,.F.); #21400=ORIENTED_EDGE('',*,*,#29884,.F.); #21401=ORIENTED_EDGE('',*,*,#30318,.F.); #21402=ORIENTED_EDGE('',*,*,#30319,.F.); #21403=ORIENTED_EDGE('',*,*,#29888,.T.); #21404=ORIENTED_EDGE('',*,*,#30320,.F.); #21405=ORIENTED_EDGE('',*,*,#30321,.F.); #21406=ORIENTED_EDGE('',*,*,#29890,.T.); #21407=ORIENTED_EDGE('',*,*,#29444,.F.); #21408=ORIENTED_EDGE('',*,*,#29895,.F.); #21409=ORIENTED_EDGE('',*,*,#30322,.F.); #21410=ORIENTED_EDGE('',*,*,#30323,.F.); #21411=ORIENTED_EDGE('',*,*,#29899,.T.); #21412=ORIENTED_EDGE('',*,*,#30324,.F.); #21413=ORIENTED_EDGE('',*,*,#30325,.F.); #21414=ORIENTED_EDGE('',*,*,#29901,.T.); #21415=ORIENTED_EDGE('',*,*,#29441,.F.); #21416=ORIENTED_EDGE('',*,*,#29906,.F.); #21417=ORIENTED_EDGE('',*,*,#30326,.F.); #21418=ORIENTED_EDGE('',*,*,#30327,.F.); #21419=ORIENTED_EDGE('',*,*,#29910,.T.); #21420=ORIENTED_EDGE('',*,*,#30328,.F.); #21421=ORIENTED_EDGE('',*,*,#30329,.F.); #21422=ORIENTED_EDGE('',*,*,#29912,.T.); #21423=ORIENTED_EDGE('',*,*,#29438,.F.); #21424=ORIENTED_EDGE('',*,*,#29917,.F.); #21425=ORIENTED_EDGE('',*,*,#30330,.F.); #21426=ORIENTED_EDGE('',*,*,#30331,.F.); #21427=ORIENTED_EDGE('',*,*,#29921,.T.); #21428=ORIENTED_EDGE('',*,*,#30332,.F.); #21429=ORIENTED_EDGE('',*,*,#30333,.F.); #21430=ORIENTED_EDGE('',*,*,#29923,.T.); #21431=ORIENTED_EDGE('',*,*,#29435,.F.); #21432=ORIENTED_EDGE('',*,*,#29928,.F.); #21433=ORIENTED_EDGE('',*,*,#30334,.F.); #21434=ORIENTED_EDGE('',*,*,#30335,.F.); #21435=ORIENTED_EDGE('',*,*,#29932,.T.); #21436=ORIENTED_EDGE('',*,*,#30336,.F.); #21437=ORIENTED_EDGE('',*,*,#30337,.F.); #21438=ORIENTED_EDGE('',*,*,#29934,.T.); #21439=ORIENTED_EDGE('',*,*,#29432,.F.); #21440=ORIENTED_EDGE('',*,*,#29939,.F.); #21441=ORIENTED_EDGE('',*,*,#30338,.F.); #21442=ORIENTED_EDGE('',*,*,#30339,.F.); #21443=ORIENTED_EDGE('',*,*,#29943,.T.); #21444=ORIENTED_EDGE('',*,*,#30340,.F.); #21445=ORIENTED_EDGE('',*,*,#30341,.F.); #21446=ORIENTED_EDGE('',*,*,#29945,.T.); #21447=ORIENTED_EDGE('',*,*,#29429,.F.); #21448=ORIENTED_EDGE('',*,*,#29950,.F.); #21449=ORIENTED_EDGE('',*,*,#30342,.F.); #21450=ORIENTED_EDGE('',*,*,#30343,.F.); #21451=ORIENTED_EDGE('',*,*,#29954,.T.); #21452=ORIENTED_EDGE('',*,*,#30344,.F.); #21453=ORIENTED_EDGE('',*,*,#30345,.F.); #21454=ORIENTED_EDGE('',*,*,#29956,.T.); #21455=ORIENTED_EDGE('',*,*,#29426,.F.); #21456=ORIENTED_EDGE('',*,*,#29961,.F.); #21457=ORIENTED_EDGE('',*,*,#30346,.F.); #21458=ORIENTED_EDGE('',*,*,#30347,.F.); #21459=ORIENTED_EDGE('',*,*,#30104,.T.); #21460=ORIENTED_EDGE('',*,*,#30348,.T.); #21461=ORIENTED_EDGE('',*,*,#30214,.T.); #21462=ORIENTED_EDGE('',*,*,#30349,.F.); #21463=ORIENTED_EDGE('',*,*,#30350,.F.); #21464=ORIENTED_EDGE('',*,*,#30219,.F.); #21465=ORIENTED_EDGE('',*,*,#29422,.T.); #21466=ORIENTED_EDGE('',*,*,#30222,.T.); #21467=ORIENTED_EDGE('',*,*,#30351,.F.); #21468=ORIENTED_EDGE('',*,*,#30352,.F.); #21469=ORIENTED_EDGE('',*,*,#30225,.T.); #21470=ORIENTED_EDGE('',*,*,#30353,.F.); #21471=ORIENTED_EDGE('',*,*,#30354,.F.); #21472=ORIENTED_EDGE('',*,*,#30232,.F.); #21473=ORIENTED_EDGE('',*,*,#29419,.T.); #21474=ORIENTED_EDGE('',*,*,#30235,.T.); #21475=ORIENTED_EDGE('',*,*,#30355,.F.); #21476=ORIENTED_EDGE('',*,*,#30356,.F.); #21477=ORIENTED_EDGE('',*,*,#30238,.T.); #21478=ORIENTED_EDGE('',*,*,#30357,.F.); #21479=ORIENTED_EDGE('',*,*,#30358,.F.); #21480=ORIENTED_EDGE('',*,*,#30245,.F.); #21481=ORIENTED_EDGE('',*,*,#29416,.T.); #21482=ORIENTED_EDGE('',*,*,#30248,.T.); #21483=ORIENTED_EDGE('',*,*,#30359,.F.); #21484=ORIENTED_EDGE('',*,*,#30360,.F.); #21485=ORIENTED_EDGE('',*,*,#30251,.T.); #21486=ORIENTED_EDGE('',*,*,#30361,.F.); #21487=ORIENTED_EDGE('',*,*,#30362,.F.); #21488=ORIENTED_EDGE('',*,*,#30258,.F.); #21489=ORIENTED_EDGE('',*,*,#29413,.T.); #21490=ORIENTED_EDGE('',*,*,#30261,.T.); #21491=ORIENTED_EDGE('',*,*,#30363,.F.); #21492=ORIENTED_EDGE('',*,*,#30364,.F.); #21493=ORIENTED_EDGE('',*,*,#30264,.T.); #21494=ORIENTED_EDGE('',*,*,#30365,.F.); #21495=ORIENTED_EDGE('',*,*,#30366,.F.); #21496=ORIENTED_EDGE('',*,*,#30271,.F.); #21497=ORIENTED_EDGE('',*,*,#29410,.T.); #21498=ORIENTED_EDGE('',*,*,#30274,.T.); #21499=ORIENTED_EDGE('',*,*,#30367,.F.); #21500=ORIENTED_EDGE('',*,*,#30368,.F.); #21501=ORIENTED_EDGE('',*,*,#30277,.T.); #21502=ORIENTED_EDGE('',*,*,#30369,.F.); #21503=ORIENTED_EDGE('',*,*,#30370,.F.); #21504=ORIENTED_EDGE('',*,*,#30284,.F.); #21505=ORIENTED_EDGE('',*,*,#29407,.T.); #21506=ORIENTED_EDGE('',*,*,#30287,.T.); #21507=ORIENTED_EDGE('',*,*,#30371,.F.); #21508=ORIENTED_EDGE('',*,*,#30372,.F.); #21509=ORIENTED_EDGE('',*,*,#30290,.T.); #21510=ORIENTED_EDGE('',*,*,#30373,.F.); #21511=ORIENTED_EDGE('',*,*,#30374,.F.); #21512=ORIENTED_EDGE('',*,*,#30297,.F.); #21513=ORIENTED_EDGE('',*,*,#29404,.T.); #21514=ORIENTED_EDGE('',*,*,#30300,.T.); #21515=ORIENTED_EDGE('',*,*,#30375,.F.); #21516=ORIENTED_EDGE('',*,*,#30376,.F.); #21517=ORIENTED_EDGE('',*,*,#30303,.T.); #21518=ORIENTED_EDGE('',*,*,#30377,.F.); #21519=ORIENTED_EDGE('',*,*,#30378,.F.); #21520=ORIENTED_EDGE('',*,*,#30310,.F.); #21521=ORIENTED_EDGE('',*,*,#29401,.T.); #21522=ORIENTED_EDGE('',*,*,#30313,.T.); #21523=ORIENTED_EDGE('',*,*,#30379,.F.); #21524=ORIENTED_EDGE('',*,*,#30380,.F.); #21525=ORIENTED_EDGE('',*,*,#30381,.T.); #21526=ORIENTED_EDGE('',*,*,#30382,.F.); #21527=ORIENTED_EDGE('',*,*,#30383,.F.); #21528=ORIENTED_EDGE('',*,*,#30111,.F.); #21529=ORIENTED_EDGE('',*,*,#29398,.T.); #21530=ORIENTED_EDGE('',*,*,#30114,.T.); #21531=ORIENTED_EDGE('',*,*,#30384,.F.); #21532=ORIENTED_EDGE('',*,*,#30385,.F.); #21533=ORIENTED_EDGE('',*,*,#30117,.T.); #21534=ORIENTED_EDGE('',*,*,#30386,.F.); #21535=ORIENTED_EDGE('',*,*,#30387,.F.); #21536=ORIENTED_EDGE('',*,*,#30124,.F.); #21537=ORIENTED_EDGE('',*,*,#29395,.T.); #21538=ORIENTED_EDGE('',*,*,#30127,.T.); #21539=ORIENTED_EDGE('',*,*,#30388,.F.); #21540=ORIENTED_EDGE('',*,*,#30389,.F.); #21541=ORIENTED_EDGE('',*,*,#30130,.T.); #21542=ORIENTED_EDGE('',*,*,#30390,.F.); #21543=ORIENTED_EDGE('',*,*,#30391,.F.); #21544=ORIENTED_EDGE('',*,*,#30137,.F.); #21545=ORIENTED_EDGE('',*,*,#29392,.T.); #21546=ORIENTED_EDGE('',*,*,#30140,.T.); #21547=ORIENTED_EDGE('',*,*,#30392,.F.); #21548=ORIENTED_EDGE('',*,*,#30393,.F.); #21549=ORIENTED_EDGE('',*,*,#30143,.T.); #21550=ORIENTED_EDGE('',*,*,#30394,.F.); #21551=ORIENTED_EDGE('',*,*,#30395,.F.); #21552=ORIENTED_EDGE('',*,*,#30150,.F.); #21553=ORIENTED_EDGE('',*,*,#29389,.T.); #21554=ORIENTED_EDGE('',*,*,#30153,.T.); #21555=ORIENTED_EDGE('',*,*,#30396,.F.); #21556=ORIENTED_EDGE('',*,*,#30397,.F.); #21557=ORIENTED_EDGE('',*,*,#30156,.T.); #21558=ORIENTED_EDGE('',*,*,#30398,.F.); #21559=ORIENTED_EDGE('',*,*,#30399,.F.); #21560=ORIENTED_EDGE('',*,*,#30163,.F.); #21561=ORIENTED_EDGE('',*,*,#29386,.T.); #21562=ORIENTED_EDGE('',*,*,#30166,.T.); #21563=ORIENTED_EDGE('',*,*,#30400,.F.); #21564=ORIENTED_EDGE('',*,*,#30401,.F.); #21565=ORIENTED_EDGE('',*,*,#30169,.T.); #21566=ORIENTED_EDGE('',*,*,#30402,.F.); #21567=ORIENTED_EDGE('',*,*,#30403,.F.); #21568=ORIENTED_EDGE('',*,*,#30176,.F.); #21569=ORIENTED_EDGE('',*,*,#29383,.T.); #21570=ORIENTED_EDGE('',*,*,#30179,.T.); #21571=ORIENTED_EDGE('',*,*,#30404,.F.); #21572=ORIENTED_EDGE('',*,*,#30405,.F.); #21573=ORIENTED_EDGE('',*,*,#30182,.T.); #21574=ORIENTED_EDGE('',*,*,#30406,.F.); #21575=ORIENTED_EDGE('',*,*,#30407,.F.); #21576=ORIENTED_EDGE('',*,*,#30189,.F.); #21577=ORIENTED_EDGE('',*,*,#29380,.T.); #21578=ORIENTED_EDGE('',*,*,#30192,.T.); #21579=ORIENTED_EDGE('',*,*,#30408,.F.); #21580=ORIENTED_EDGE('',*,*,#30409,.F.); #21581=ORIENTED_EDGE('',*,*,#30195,.T.); #21582=ORIENTED_EDGE('',*,*,#30410,.F.); #21583=ORIENTED_EDGE('',*,*,#30411,.F.); #21584=ORIENTED_EDGE('',*,*,#30202,.F.); #21585=ORIENTED_EDGE('',*,*,#29377,.T.); #21586=ORIENTED_EDGE('',*,*,#30205,.T.); #21587=ORIENTED_EDGE('',*,*,#30412,.F.); #21588=ORIENTED_EDGE('',*,*,#30413,.F.); #21589=ORIENTED_EDGE('',*,*,#30208,.T.); #21590=ORIENTED_EDGE('',*,*,#30414,.T.); #21591=ORIENTED_EDGE('',*,*,#29789,.T.); #21592=ORIENTED_EDGE('',*,*,#30415,.F.); #21593=ORIENTED_EDGE('',*,*,#30416,.F.); #21594=ORIENTED_EDGE('',*,*,#29791,.T.); #21595=ORIENTED_EDGE('',*,*,#29375,.F.); #21596=ORIENTED_EDGE('',*,*,#29796,.F.); #21597=ORIENTED_EDGE('',*,*,#30417,.F.); #21598=ORIENTED_EDGE('',*,*,#30418,.F.); #21599=ORIENTED_EDGE('',*,*,#29800,.T.); #21600=ORIENTED_EDGE('',*,*,#30419,.F.); #21601=ORIENTED_EDGE('',*,*,#30420,.F.); #21602=ORIENTED_EDGE('',*,*,#29802,.T.); #21603=ORIENTED_EDGE('',*,*,#29372,.F.); #21604=ORIENTED_EDGE('',*,*,#29807,.F.); #21605=ORIENTED_EDGE('',*,*,#30421,.F.); #21606=ORIENTED_EDGE('',*,*,#30422,.F.); #21607=ORIENTED_EDGE('',*,*,#29811,.T.); #21608=ORIENTED_EDGE('',*,*,#30423,.F.); #21609=ORIENTED_EDGE('',*,*,#30424,.F.); #21610=ORIENTED_EDGE('',*,*,#29813,.T.); #21611=ORIENTED_EDGE('',*,*,#29369,.F.); #21612=ORIENTED_EDGE('',*,*,#29818,.F.); #21613=ORIENTED_EDGE('',*,*,#30425,.F.); #21614=ORIENTED_EDGE('',*,*,#30426,.F.); #21615=ORIENTED_EDGE('',*,*,#29822,.T.); #21616=ORIENTED_EDGE('',*,*,#30427,.F.); #21617=ORIENTED_EDGE('',*,*,#30428,.F.); #21618=ORIENTED_EDGE('',*,*,#29824,.T.); #21619=ORIENTED_EDGE('',*,*,#29366,.F.); #21620=ORIENTED_EDGE('',*,*,#29829,.F.); #21621=ORIENTED_EDGE('',*,*,#30429,.F.); #21622=ORIENTED_EDGE('',*,*,#30430,.F.); #21623=ORIENTED_EDGE('',*,*,#29833,.T.); #21624=ORIENTED_EDGE('',*,*,#30431,.F.); #21625=ORIENTED_EDGE('',*,*,#30432,.F.); #21626=ORIENTED_EDGE('',*,*,#29835,.T.); #21627=ORIENTED_EDGE('',*,*,#29363,.F.); #21628=ORIENTED_EDGE('',*,*,#29840,.F.); #21629=ORIENTED_EDGE('',*,*,#30433,.F.); #21630=ORIENTED_EDGE('',*,*,#30434,.F.); #21631=ORIENTED_EDGE('',*,*,#29844,.T.); #21632=ORIENTED_EDGE('',*,*,#30435,.F.); #21633=ORIENTED_EDGE('',*,*,#30436,.F.); #21634=ORIENTED_EDGE('',*,*,#29846,.T.); #21635=ORIENTED_EDGE('',*,*,#29360,.F.); #21636=ORIENTED_EDGE('',*,*,#29851,.F.); #21637=ORIENTED_EDGE('',*,*,#30437,.F.); #21638=ORIENTED_EDGE('',*,*,#30438,.F.); #21639=ORIENTED_EDGE('',*,*,#29855,.T.); #21640=ORIENTED_EDGE('',*,*,#30439,.F.); #21641=ORIENTED_EDGE('',*,*,#30440,.F.); #21642=ORIENTED_EDGE('',*,*,#29857,.T.); #21643=ORIENTED_EDGE('',*,*,#29357,.F.); #21644=ORIENTED_EDGE('',*,*,#29862,.F.); #21645=ORIENTED_EDGE('',*,*,#30441,.F.); #21646=ORIENTED_EDGE('',*,*,#30442,.F.); #21647=ORIENTED_EDGE('',*,*,#29866,.T.); #21648=ORIENTED_EDGE('',*,*,#30443,.F.); #21649=ORIENTED_EDGE('',*,*,#30444,.F.); #21650=ORIENTED_EDGE('',*,*,#29868,.T.); #21651=ORIENTED_EDGE('',*,*,#29354,.F.); #21652=ORIENTED_EDGE('',*,*,#29873,.F.); #21653=ORIENTED_EDGE('',*,*,#30445,.F.); #21654=ORIENTED_EDGE('',*,*,#30446,.F.); #21655=ORIENTED_EDGE('',*,*,#30381,.F.); #21656=ORIENTED_EDGE('',*,*,#30447,.T.); #21657=ORIENTED_EDGE('',*,*,#30448,.T.); #21658=ORIENTED_EDGE('',*,*,#30449,.T.); #21659=ORIENTED_EDGE('',*,*,#30450,.F.); #21660=ORIENTED_EDGE('',*,*,#30451,.F.); #21661=ORIENTED_EDGE('',*,*,#30452,.F.); #21662=ORIENTED_EDGE('',*,*,#30453,.F.); #21663=ORIENTED_EDGE('',*,*,#30454,.F.); #21664=ORIENTED_EDGE('',*,*,#30211,.T.); #21665=ORIENTED_EDGE('',*,*,#30455,.T.); #21666=ORIENTED_EDGE('',*,*,#30456,.T.); #21667=ORIENTED_EDGE('',*,*,#29790,.F.); #21668=ORIENTED_EDGE('',*,*,#30414,.F.); #21669=ORIENTED_EDGE('',*,*,#30457,.F.); #21670=ORIENTED_EDGE('',*,*,#30028,.T.); #21671=ORIENTED_EDGE('',*,*,#30458,.T.); #21672=ORIENTED_EDGE('',*,*,#30459,.T.); #21673=ORIENTED_EDGE('',*,*,#30460,.T.); #21674=ORIENTED_EDGE('',*,*,#29474,.F.); #21675=ORIENTED_EDGE('',*,*,#29477,.F.); #21676=ORIENTED_EDGE('',*,*,#30029,.T.); #21677=ORIENTED_EDGE('',*,*,#30457,.T.); #21678=ORIENTED_EDGE('',*,*,#30461,.F.); #21679=ORIENTED_EDGE('',*,*,#30462,.T.); #21680=ORIENTED_EDGE('',*,*,#30463,.T.); #21681=ORIENTED_EDGE('',*,*,#29451,.F.); #21682=ORIENTED_EDGE('',*,*,#29475,.F.); #21683=ORIENTED_EDGE('',*,*,#30464,.F.); #21684=ORIENTED_EDGE('',*,*,#30465,.F.); #21685=ORIENTED_EDGE('',*,*,#30466,.F.); #21686=ORIENTED_EDGE('',*,*,#30467,.F.); #21687=ORIENTED_EDGE('',*,*,#30462,.F.); #21688=ORIENTED_EDGE('',*,*,#30468,.T.); #21689=ORIENTED_EDGE('',*,*,#30467,.T.); #21690=ORIENTED_EDGE('',*,*,#30469,.F.); #21691=ORIENTED_EDGE('',*,*,#30470,.F.); #21692=ORIENTED_EDGE('',*,*,#30471,.F.); #21693=ORIENTED_EDGE('',*,*,#30472,.F.); #21694=ORIENTED_EDGE('',*,*,#29470,.F.); #21695=ORIENTED_EDGE('',*,*,#29467,.F.); #21696=ORIENTED_EDGE('',*,*,#29464,.F.); #21697=ORIENTED_EDGE('',*,*,#29461,.F.); #21698=ORIENTED_EDGE('',*,*,#29458,.F.); #21699=ORIENTED_EDGE('',*,*,#29455,.F.); #21700=ORIENTED_EDGE('',*,*,#29452,.F.); #21701=ORIENTED_EDGE('',*,*,#29448,.F.); #21702=ORIENTED_EDGE('',*,*,#30463,.F.); #21703=ORIENTED_EDGE('',*,*,#30468,.F.); #21704=ORIENTED_EDGE('',*,*,#29478,.T.); #21705=ORIENTED_EDGE('',*,*,#29476,.F.); #21706=ORIENTED_EDGE('',*,*,#29471,.T.); #21707=ORIENTED_EDGE('',*,*,#30472,.T.); #21708=ORIENTED_EDGE('',*,*,#30473,.T.); #21709=ORIENTED_EDGE('',*,*,#30474,.F.); #21710=ORIENTED_EDGE('',*,*,#30475,.F.); #21711=ORIENTED_EDGE('',*,*,#30030,.T.); #21712=ORIENTED_EDGE('',*,*,#30476,.F.); #21713=ORIENTED_EDGE('',*,*,#30477,.F.); #21714=ORIENTED_EDGE('',*,*,#30031,.T.); #21715=ORIENTED_EDGE('',*,*,#30475,.T.); #21716=ORIENTED_EDGE('',*,*,#29482,.F.); #21717=ORIENTED_EDGE('',*,*,#30478,.T.); #21718=ORIENTED_EDGE('',*,*,#30479,.T.); #21719=ORIENTED_EDGE('',*,*,#30480,.F.); #21720=ORIENTED_EDGE('',*,*,#30481,.T.); #21721=ORIENTED_EDGE('',*,*,#29491,.T.); #21722=ORIENTED_EDGE('',*,*,#30478,.F.); #21723=ORIENTED_EDGE('',*,*,#29481,.F.); #21724=ORIENTED_EDGE('',*,*,#29490,.F.); #21725=ORIENTED_EDGE('',*,*,#30482,.T.); #21726=ORIENTED_EDGE('',*,*,#30483,.F.); #21727=ORIENTED_EDGE('',*,*,#30484,.T.); #21728=ORIENTED_EDGE('',*,*,#30480,.T.); #21729=ORIENTED_EDGE('',*,*,#30485,.T.); #21730=ORIENTED_EDGE('',*,*,#30482,.F.); #21731=ORIENTED_EDGE('',*,*,#29489,.F.); #21732=ORIENTED_EDGE('',*,*,#29743,.F.); #21733=ORIENTED_EDGE('',*,*,#29487,.T.); #21734=ORIENTED_EDGE('',*,*,#30027,.T.); #21735=ORIENTED_EDGE('',*,*,#30486,.F.); #21736=ORIENTED_EDGE('',*,*,#30487,.F.); #21737=ORIENTED_EDGE('',*,*,#30488,.T.); #21738=ORIENTED_EDGE('',*,*,#30489,.F.); #21739=ORIENTED_EDGE('',*,*,#30490,.T.); #21740=ORIENTED_EDGE('',*,*,#30483,.T.); #21741=ORIENTED_EDGE('',*,*,#30488,.F.); #21742=ORIENTED_EDGE('',*,*,#29492,.F.); #21743=ORIENTED_EDGE('',*,*,#30481,.F.); #21744=ORIENTED_EDGE('',*,*,#30484,.F.); #21745=ORIENTED_EDGE('',*,*,#30490,.F.); #21746=ORIENTED_EDGE('',*,*,#30491,.F.); #21747=ORIENTED_EDGE('',*,*,#30492,.F.); #21748=ORIENTED_EDGE('',*,*,#29498,.F.); #21749=ORIENTED_EDGE('',*,*,#29496,.T.); #21750=ORIENTED_EDGE('',*,*,#29494,.T.); #21751=ORIENTED_EDGE('',*,*,#30492,.T.); #21752=ORIENTED_EDGE('',*,*,#30493,.F.); #21753=ORIENTED_EDGE('',*,*,#30494,.F.); #21754=ORIENTED_EDGE('',*,*,#29502,.T.); #21755=ORIENTED_EDGE('',*,*,#29506,.T.); #21756=ORIENTED_EDGE('',*,*,#29509,.T.); #21757=ORIENTED_EDGE('',*,*,#30494,.T.); #21758=ORIENTED_EDGE('',*,*,#30495,.T.); #21759=ORIENTED_EDGE('',*,*,#30496,.T.); #21760=ORIENTED_EDGE('',*,*,#30497,.F.); #21761=ORIENTED_EDGE('',*,*,#30498,.T.); #21762=ORIENTED_EDGE('',*,*,#29503,.T.); #21763=ORIENTED_EDGE('',*,*,#30496,.F.); #21764=ORIENTED_EDGE('',*,*,#29507,.F.); #21765=ORIENTED_EDGE('',*,*,#29504,.F.); #21766=ORIENTED_EDGE('',*,*,#29500,.F.); #21767=ORIENTED_EDGE('',*,*,#30498,.F.); #21768=ORIENTED_EDGE('',*,*,#30499,.F.); #21769=ORIENTED_EDGE('',*,*,#30500,.F.); #21770=ORIENTED_EDGE('',*,*,#29514,.F.); #21771=ORIENTED_EDGE('',*,*,#29512,.T.); #21772=ORIENTED_EDGE('',*,*,#29510,.T.); #21773=ORIENTED_EDGE('',*,*,#30500,.T.); #21774=ORIENTED_EDGE('',*,*,#30501,.F.); #21775=ORIENTED_EDGE('',*,*,#30502,.F.); #21776=ORIENTED_EDGE('',*,*,#29518,.T.); #21777=ORIENTED_EDGE('',*,*,#29522,.T.); #21778=ORIENTED_EDGE('',*,*,#29525,.T.); #21779=ORIENTED_EDGE('',*,*,#30502,.T.); #21780=ORIENTED_EDGE('',*,*,#30503,.T.); #21781=ORIENTED_EDGE('',*,*,#30504,.T.); #21782=ORIENTED_EDGE('',*,*,#30505,.F.); #21783=ORIENTED_EDGE('',*,*,#30506,.T.); #21784=ORIENTED_EDGE('',*,*,#29519,.T.); #21785=ORIENTED_EDGE('',*,*,#30504,.F.); #21786=ORIENTED_EDGE('',*,*,#29523,.F.); #21787=ORIENTED_EDGE('',*,*,#29520,.F.); #21788=ORIENTED_EDGE('',*,*,#29516,.F.); #21789=ORIENTED_EDGE('',*,*,#30506,.F.); #21790=ORIENTED_EDGE('',*,*,#30507,.F.); #21791=ORIENTED_EDGE('',*,*,#30508,.F.); #21792=ORIENTED_EDGE('',*,*,#29530,.F.); #21793=ORIENTED_EDGE('',*,*,#29528,.T.); #21794=ORIENTED_EDGE('',*,*,#29526,.T.); #21795=ORIENTED_EDGE('',*,*,#30508,.T.); #21796=ORIENTED_EDGE('',*,*,#30509,.F.); #21797=ORIENTED_EDGE('',*,*,#30510,.F.); #21798=ORIENTED_EDGE('',*,*,#29534,.T.); #21799=ORIENTED_EDGE('',*,*,#29538,.T.); #21800=ORIENTED_EDGE('',*,*,#29541,.T.); #21801=ORIENTED_EDGE('',*,*,#30510,.T.); #21802=ORIENTED_EDGE('',*,*,#30511,.T.); #21803=ORIENTED_EDGE('',*,*,#30512,.T.); #21804=ORIENTED_EDGE('',*,*,#30513,.F.); #21805=ORIENTED_EDGE('',*,*,#30514,.T.); #21806=ORIENTED_EDGE('',*,*,#29535,.T.); #21807=ORIENTED_EDGE('',*,*,#30512,.F.); #21808=ORIENTED_EDGE('',*,*,#29539,.F.); #21809=ORIENTED_EDGE('',*,*,#29536,.F.); #21810=ORIENTED_EDGE('',*,*,#29532,.F.); #21811=ORIENTED_EDGE('',*,*,#30514,.F.); #21812=ORIENTED_EDGE('',*,*,#30515,.F.); #21813=ORIENTED_EDGE('',*,*,#30516,.F.); #21814=ORIENTED_EDGE('',*,*,#29546,.F.); #21815=ORIENTED_EDGE('',*,*,#29544,.T.); #21816=ORIENTED_EDGE('',*,*,#29542,.T.); #21817=ORIENTED_EDGE('',*,*,#30516,.T.); #21818=ORIENTED_EDGE('',*,*,#30517,.F.); #21819=ORIENTED_EDGE('',*,*,#30518,.F.); #21820=ORIENTED_EDGE('',*,*,#29550,.T.); #21821=ORIENTED_EDGE('',*,*,#29554,.T.); #21822=ORIENTED_EDGE('',*,*,#29557,.T.); #21823=ORIENTED_EDGE('',*,*,#30518,.T.); #21824=ORIENTED_EDGE('',*,*,#30519,.T.); #21825=ORIENTED_EDGE('',*,*,#30520,.T.); #21826=ORIENTED_EDGE('',*,*,#30521,.F.); #21827=ORIENTED_EDGE('',*,*,#30522,.T.); #21828=ORIENTED_EDGE('',*,*,#29551,.T.); #21829=ORIENTED_EDGE('',*,*,#30520,.F.); #21830=ORIENTED_EDGE('',*,*,#29555,.F.); #21831=ORIENTED_EDGE('',*,*,#29552,.F.); #21832=ORIENTED_EDGE('',*,*,#29548,.F.); #21833=ORIENTED_EDGE('',*,*,#30522,.F.); #21834=ORIENTED_EDGE('',*,*,#30523,.F.); #21835=ORIENTED_EDGE('',*,*,#30524,.F.); #21836=ORIENTED_EDGE('',*,*,#29562,.F.); #21837=ORIENTED_EDGE('',*,*,#29560,.T.); #21838=ORIENTED_EDGE('',*,*,#29558,.T.); #21839=ORIENTED_EDGE('',*,*,#30524,.T.); #21840=ORIENTED_EDGE('',*,*,#30525,.F.); #21841=ORIENTED_EDGE('',*,*,#30526,.F.); #21842=ORIENTED_EDGE('',*,*,#29566,.T.); #21843=ORIENTED_EDGE('',*,*,#29570,.T.); #21844=ORIENTED_EDGE('',*,*,#29573,.T.); #21845=ORIENTED_EDGE('',*,*,#30526,.T.); #21846=ORIENTED_EDGE('',*,*,#30527,.T.); #21847=ORIENTED_EDGE('',*,*,#30528,.T.); #21848=ORIENTED_EDGE('',*,*,#30529,.F.); #21849=ORIENTED_EDGE('',*,*,#30530,.T.); #21850=ORIENTED_EDGE('',*,*,#29567,.T.); #21851=ORIENTED_EDGE('',*,*,#30528,.F.); #21852=ORIENTED_EDGE('',*,*,#29571,.F.); #21853=ORIENTED_EDGE('',*,*,#29568,.F.); #21854=ORIENTED_EDGE('',*,*,#29564,.F.); #21855=ORIENTED_EDGE('',*,*,#30530,.F.); #21856=ORIENTED_EDGE('',*,*,#30531,.F.); #21857=ORIENTED_EDGE('',*,*,#30532,.F.); #21858=ORIENTED_EDGE('',*,*,#29578,.F.); #21859=ORIENTED_EDGE('',*,*,#29576,.T.); #21860=ORIENTED_EDGE('',*,*,#29574,.T.); #21861=ORIENTED_EDGE('',*,*,#30532,.T.); #21862=ORIENTED_EDGE('',*,*,#30533,.F.); #21863=ORIENTED_EDGE('',*,*,#30534,.F.); #21864=ORIENTED_EDGE('',*,*,#29582,.T.); #21865=ORIENTED_EDGE('',*,*,#29586,.T.); #21866=ORIENTED_EDGE('',*,*,#29589,.T.); #21867=ORIENTED_EDGE('',*,*,#30534,.T.); #21868=ORIENTED_EDGE('',*,*,#30535,.T.); #21869=ORIENTED_EDGE('',*,*,#30536,.T.); #21870=ORIENTED_EDGE('',*,*,#30537,.F.); #21871=ORIENTED_EDGE('',*,*,#30538,.T.); #21872=ORIENTED_EDGE('',*,*,#29583,.T.); #21873=ORIENTED_EDGE('',*,*,#30536,.F.); #21874=ORIENTED_EDGE('',*,*,#29587,.F.); #21875=ORIENTED_EDGE('',*,*,#29584,.F.); #21876=ORIENTED_EDGE('',*,*,#29580,.F.); #21877=ORIENTED_EDGE('',*,*,#30538,.F.); #21878=ORIENTED_EDGE('',*,*,#30539,.F.); #21879=ORIENTED_EDGE('',*,*,#30540,.F.); #21880=ORIENTED_EDGE('',*,*,#29594,.F.); #21881=ORIENTED_EDGE('',*,*,#29592,.T.); #21882=ORIENTED_EDGE('',*,*,#29590,.T.); #21883=ORIENTED_EDGE('',*,*,#30540,.T.); #21884=ORIENTED_EDGE('',*,*,#30541,.F.); #21885=ORIENTED_EDGE('',*,*,#30542,.F.); #21886=ORIENTED_EDGE('',*,*,#29598,.T.); #21887=ORIENTED_EDGE('',*,*,#29602,.T.); #21888=ORIENTED_EDGE('',*,*,#29605,.T.); #21889=ORIENTED_EDGE('',*,*,#30542,.T.); #21890=ORIENTED_EDGE('',*,*,#30543,.T.); #21891=ORIENTED_EDGE('',*,*,#30544,.T.); #21892=ORIENTED_EDGE('',*,*,#30545,.F.); #21893=ORIENTED_EDGE('',*,*,#30546,.T.); #21894=ORIENTED_EDGE('',*,*,#29599,.T.); #21895=ORIENTED_EDGE('',*,*,#30544,.F.); #21896=ORIENTED_EDGE('',*,*,#29603,.F.); #21897=ORIENTED_EDGE('',*,*,#29600,.F.); #21898=ORIENTED_EDGE('',*,*,#29596,.F.); #21899=ORIENTED_EDGE('',*,*,#30546,.F.); #21900=ORIENTED_EDGE('',*,*,#30547,.F.); #21901=ORIENTED_EDGE('',*,*,#30548,.F.); #21902=ORIENTED_EDGE('',*,*,#29610,.F.); #21903=ORIENTED_EDGE('',*,*,#29608,.T.); #21904=ORIENTED_EDGE('',*,*,#29606,.T.); #21905=ORIENTED_EDGE('',*,*,#30548,.T.); #21906=ORIENTED_EDGE('',*,*,#30549,.F.); #21907=ORIENTED_EDGE('',*,*,#30550,.F.); #21908=ORIENTED_EDGE('',*,*,#29615,.T.); #21909=ORIENTED_EDGE('',*,*,#29618,.T.); #21910=ORIENTED_EDGE('',*,*,#29621,.T.); #21911=ORIENTED_EDGE('',*,*,#30550,.T.); #21912=ORIENTED_EDGE('',*,*,#30551,.T.); #21913=ORIENTED_EDGE('',*,*,#30552,.T.); #21914=ORIENTED_EDGE('',*,*,#30553,.F.); #21915=ORIENTED_EDGE('',*,*,#30554,.T.); #21916=ORIENTED_EDGE('',*,*,#29612,.T.); #21917=ORIENTED_EDGE('',*,*,#30552,.F.); #21918=ORIENTED_EDGE('',*,*,#29619,.F.); #21919=ORIENTED_EDGE('',*,*,#29616,.F.); #21920=ORIENTED_EDGE('',*,*,#29613,.F.); #21921=ORIENTED_EDGE('',*,*,#30554,.F.); #21922=ORIENTED_EDGE('',*,*,#30555,.F.); #21923=ORIENTED_EDGE('',*,*,#30556,.F.); #21924=ORIENTED_EDGE('',*,*,#29626,.F.); #21925=ORIENTED_EDGE('',*,*,#29624,.T.); #21926=ORIENTED_EDGE('',*,*,#29622,.T.); #21927=ORIENTED_EDGE('',*,*,#30556,.T.); #21928=ORIENTED_EDGE('',*,*,#30557,.F.); #21929=ORIENTED_EDGE('',*,*,#30558,.F.); #21930=ORIENTED_EDGE('',*,*,#29630,.T.); #21931=ORIENTED_EDGE('',*,*,#29634,.T.); #21932=ORIENTED_EDGE('',*,*,#29637,.T.); #21933=ORIENTED_EDGE('',*,*,#30558,.T.); #21934=ORIENTED_EDGE('',*,*,#30559,.T.); #21935=ORIENTED_EDGE('',*,*,#30560,.T.); #21936=ORIENTED_EDGE('',*,*,#30561,.F.); #21937=ORIENTED_EDGE('',*,*,#30562,.T.); #21938=ORIENTED_EDGE('',*,*,#29631,.T.); #21939=ORIENTED_EDGE('',*,*,#30560,.F.); #21940=ORIENTED_EDGE('',*,*,#29635,.F.); #21941=ORIENTED_EDGE('',*,*,#29632,.F.); #21942=ORIENTED_EDGE('',*,*,#29628,.F.); #21943=ORIENTED_EDGE('',*,*,#30562,.F.); #21944=ORIENTED_EDGE('',*,*,#30563,.F.); #21945=ORIENTED_EDGE('',*,*,#30564,.F.); #21946=ORIENTED_EDGE('',*,*,#29642,.F.); #21947=ORIENTED_EDGE('',*,*,#29640,.T.); #21948=ORIENTED_EDGE('',*,*,#29638,.T.); #21949=ORIENTED_EDGE('',*,*,#30564,.T.); #21950=ORIENTED_EDGE('',*,*,#30565,.F.); #21951=ORIENTED_EDGE('',*,*,#30566,.F.); #21952=ORIENTED_EDGE('',*,*,#29646,.T.); #21953=ORIENTED_EDGE('',*,*,#29650,.T.); #21954=ORIENTED_EDGE('',*,*,#29653,.T.); #21955=ORIENTED_EDGE('',*,*,#30566,.T.); #21956=ORIENTED_EDGE('',*,*,#30567,.T.); #21957=ORIENTED_EDGE('',*,*,#30568,.T.); #21958=ORIENTED_EDGE('',*,*,#30569,.F.); #21959=ORIENTED_EDGE('',*,*,#30570,.T.); #21960=ORIENTED_EDGE('',*,*,#29647,.T.); #21961=ORIENTED_EDGE('',*,*,#30568,.F.); #21962=ORIENTED_EDGE('',*,*,#29651,.F.); #21963=ORIENTED_EDGE('',*,*,#29648,.F.); #21964=ORIENTED_EDGE('',*,*,#29644,.F.); #21965=ORIENTED_EDGE('',*,*,#30570,.F.); #21966=ORIENTED_EDGE('',*,*,#30571,.F.); #21967=ORIENTED_EDGE('',*,*,#30572,.F.); #21968=ORIENTED_EDGE('',*,*,#29658,.F.); #21969=ORIENTED_EDGE('',*,*,#29656,.T.); #21970=ORIENTED_EDGE('',*,*,#29654,.T.); #21971=ORIENTED_EDGE('',*,*,#30572,.T.); #21972=ORIENTED_EDGE('',*,*,#30573,.F.); #21973=ORIENTED_EDGE('',*,*,#30574,.F.); #21974=ORIENTED_EDGE('',*,*,#29662,.T.); #21975=ORIENTED_EDGE('',*,*,#29666,.T.); #21976=ORIENTED_EDGE('',*,*,#29669,.T.); #21977=ORIENTED_EDGE('',*,*,#30574,.T.); #21978=ORIENTED_EDGE('',*,*,#30575,.T.); #21979=ORIENTED_EDGE('',*,*,#30576,.T.); #21980=ORIENTED_EDGE('',*,*,#30577,.F.); #21981=ORIENTED_EDGE('',*,*,#30578,.T.); #21982=ORIENTED_EDGE('',*,*,#29663,.T.); #21983=ORIENTED_EDGE('',*,*,#30576,.F.); #21984=ORIENTED_EDGE('',*,*,#29667,.F.); #21985=ORIENTED_EDGE('',*,*,#29664,.F.); #21986=ORIENTED_EDGE('',*,*,#29660,.F.); #21987=ORIENTED_EDGE('',*,*,#30578,.F.); #21988=ORIENTED_EDGE('',*,*,#30579,.F.); #21989=ORIENTED_EDGE('',*,*,#30580,.F.); #21990=ORIENTED_EDGE('',*,*,#29674,.F.); #21991=ORIENTED_EDGE('',*,*,#29672,.T.); #21992=ORIENTED_EDGE('',*,*,#29670,.T.); #21993=ORIENTED_EDGE('',*,*,#30580,.T.); #21994=ORIENTED_EDGE('',*,*,#30581,.F.); #21995=ORIENTED_EDGE('',*,*,#30582,.F.); #21996=ORIENTED_EDGE('',*,*,#29678,.T.); #21997=ORIENTED_EDGE('',*,*,#29682,.T.); #21998=ORIENTED_EDGE('',*,*,#29685,.T.); #21999=ORIENTED_EDGE('',*,*,#30582,.T.); #22000=ORIENTED_EDGE('',*,*,#30583,.T.); #22001=ORIENTED_EDGE('',*,*,#30584,.T.); #22002=ORIENTED_EDGE('',*,*,#30585,.F.); #22003=ORIENTED_EDGE('',*,*,#30586,.T.); #22004=ORIENTED_EDGE('',*,*,#29679,.T.); #22005=ORIENTED_EDGE('',*,*,#30584,.F.); #22006=ORIENTED_EDGE('',*,*,#29683,.F.); #22007=ORIENTED_EDGE('',*,*,#29680,.F.); #22008=ORIENTED_EDGE('',*,*,#29676,.F.); #22009=ORIENTED_EDGE('',*,*,#30586,.F.); #22010=ORIENTED_EDGE('',*,*,#30587,.F.); #22011=ORIENTED_EDGE('',*,*,#30588,.F.); #22012=ORIENTED_EDGE('',*,*,#29690,.F.); #22013=ORIENTED_EDGE('',*,*,#29688,.T.); #22014=ORIENTED_EDGE('',*,*,#29686,.T.); #22015=ORIENTED_EDGE('',*,*,#30588,.T.); #22016=ORIENTED_EDGE('',*,*,#30589,.F.); #22017=ORIENTED_EDGE('',*,*,#30590,.F.); #22018=ORIENTED_EDGE('',*,*,#29694,.T.); #22019=ORIENTED_EDGE('',*,*,#29698,.T.); #22020=ORIENTED_EDGE('',*,*,#29701,.T.); #22021=ORIENTED_EDGE('',*,*,#30590,.T.); #22022=ORIENTED_EDGE('',*,*,#30591,.T.); #22023=ORIENTED_EDGE('',*,*,#30592,.T.); #22024=ORIENTED_EDGE('',*,*,#30593,.F.); #22025=ORIENTED_EDGE('',*,*,#30594,.T.); #22026=ORIENTED_EDGE('',*,*,#29695,.T.); #22027=ORIENTED_EDGE('',*,*,#30592,.F.); #22028=ORIENTED_EDGE('',*,*,#29699,.F.); #22029=ORIENTED_EDGE('',*,*,#29696,.F.); #22030=ORIENTED_EDGE('',*,*,#29692,.F.); #22031=ORIENTED_EDGE('',*,*,#30594,.F.); #22032=ORIENTED_EDGE('',*,*,#30595,.F.); #22033=ORIENTED_EDGE('',*,*,#30596,.F.); #22034=ORIENTED_EDGE('',*,*,#29706,.F.); #22035=ORIENTED_EDGE('',*,*,#29704,.T.); #22036=ORIENTED_EDGE('',*,*,#29702,.T.); #22037=ORIENTED_EDGE('',*,*,#30596,.T.); #22038=ORIENTED_EDGE('',*,*,#30597,.F.); #22039=ORIENTED_EDGE('',*,*,#30598,.F.); #22040=ORIENTED_EDGE('',*,*,#29710,.T.); #22041=ORIENTED_EDGE('',*,*,#29714,.T.); #22042=ORIENTED_EDGE('',*,*,#29717,.T.); #22043=ORIENTED_EDGE('',*,*,#30598,.T.); #22044=ORIENTED_EDGE('',*,*,#30599,.T.); #22045=ORIENTED_EDGE('',*,*,#30600,.T.); #22046=ORIENTED_EDGE('',*,*,#30601,.F.); #22047=ORIENTED_EDGE('',*,*,#30602,.T.); #22048=ORIENTED_EDGE('',*,*,#29711,.T.); #22049=ORIENTED_EDGE('',*,*,#30600,.F.); #22050=ORIENTED_EDGE('',*,*,#29715,.F.); #22051=ORIENTED_EDGE('',*,*,#29712,.F.); #22052=ORIENTED_EDGE('',*,*,#29708,.F.); #22053=ORIENTED_EDGE('',*,*,#30602,.F.); #22054=ORIENTED_EDGE('',*,*,#30603,.F.); #22055=ORIENTED_EDGE('',*,*,#30604,.F.); #22056=ORIENTED_EDGE('',*,*,#29722,.F.); #22057=ORIENTED_EDGE('',*,*,#29720,.T.); #22058=ORIENTED_EDGE('',*,*,#29718,.T.); #22059=ORIENTED_EDGE('',*,*,#30604,.T.); #22060=ORIENTED_EDGE('',*,*,#30605,.F.); #22061=ORIENTED_EDGE('',*,*,#30606,.F.); #22062=ORIENTED_EDGE('',*,*,#29726,.T.); #22063=ORIENTED_EDGE('',*,*,#29730,.T.); #22064=ORIENTED_EDGE('',*,*,#29733,.T.); #22065=ORIENTED_EDGE('',*,*,#30606,.T.); #22066=ORIENTED_EDGE('',*,*,#30607,.T.); #22067=ORIENTED_EDGE('',*,*,#30608,.T.); #22068=ORIENTED_EDGE('',*,*,#30609,.F.); #22069=ORIENTED_EDGE('',*,*,#30610,.T.); #22070=ORIENTED_EDGE('',*,*,#29727,.T.); #22071=ORIENTED_EDGE('',*,*,#30608,.F.); #22072=ORIENTED_EDGE('',*,*,#29731,.F.); #22073=ORIENTED_EDGE('',*,*,#29728,.F.); #22074=ORIENTED_EDGE('',*,*,#29724,.F.); #22075=ORIENTED_EDGE('',*,*,#30610,.F.); #22076=ORIENTED_EDGE('',*,*,#30611,.F.); #22077=ORIENTED_EDGE('',*,*,#30612,.F.); #22078=ORIENTED_EDGE('',*,*,#29738,.F.); #22079=ORIENTED_EDGE('',*,*,#29736,.T.); #22080=ORIENTED_EDGE('',*,*,#29734,.T.); #22081=ORIENTED_EDGE('',*,*,#30612,.T.); #22082=ORIENTED_EDGE('',*,*,#30613,.F.); #22083=ORIENTED_EDGE('',*,*,#30614,.F.); #22084=ORIENTED_EDGE('',*,*,#30615,.T.); #22085=ORIENTED_EDGE('',*,*,#30616,.T.); #22086=ORIENTED_EDGE('',*,*,#29750,.T.); #22087=ORIENTED_EDGE('',*,*,#30614,.T.); #22088=ORIENTED_EDGE('',*,*,#30617,.T.); #22089=ORIENTED_EDGE('',*,*,#30618,.T.); #22090=ORIENTED_EDGE('',*,*,#30618,.F.); #22091=ORIENTED_EDGE('',*,*,#30619,.F.); #22092=ORIENTED_EDGE('',*,*,#30620,.F.); #22093=ORIENTED_EDGE('',*,*,#30621,.T.); #22094=ORIENTED_EDGE('',*,*,#30622,.F.); #22095=ORIENTED_EDGE('',*,*,#30620,.T.); #22096=ORIENTED_EDGE('',*,*,#30623,.T.); #22097=ORIENTED_EDGE('',*,*,#30624,.F.); #22098=ORIENTED_EDGE('',*,*,#30039,.F.); #22099=ORIENTED_EDGE('',*,*,#29746,.T.); #22100=ORIENTED_EDGE('',*,*,#29741,.F.); #22101=ORIENTED_EDGE('',*,*,#29748,.F.); #22102=ORIENTED_EDGE('',*,*,#30625,.F.); #22103=ORIENTED_EDGE('',*,*,#30626,.T.); #22104=ORIENTED_EDGE('',*,*,#30627,.T.); #22105=ORIENTED_EDGE('',*,*,#30615,.F.); #22106=ORIENTED_EDGE('',*,*,#30621,.F.); #22107=ORIENTED_EDGE('',*,*,#30622,.T.); #22108=ORIENTED_EDGE('',*,*,#29747,.F.); #22109=ORIENTED_EDGE('',*,*,#29753,.T.); #22110=ORIENTED_EDGE('',*,*,#30628,.T.); #22111=ORIENTED_EDGE('',*,*,#30625,.T.); #22112=ORIENTED_EDGE('',*,*,#29754,.T.); #22113=ORIENTED_EDGE('',*,*,#29751,.T.); #22114=ORIENTED_EDGE('',*,*,#30616,.F.); #22115=ORIENTED_EDGE('',*,*,#30627,.F.); #22116=ORIENTED_EDGE('',*,*,#30629,.T.); #22117=ORIENTED_EDGE('',*,*,#30630,.F.); #22118=ORIENTED_EDGE('',*,*,#30035,.F.); #22119=ORIENTED_EDGE('',*,*,#30631,.T.); #22120=ORIENTED_EDGE('',*,*,#29784,.T.); #22121=ORIENTED_EDGE('',*,*,#29780,.F.); #22122=ORIENTED_EDGE('',*,*,#30036,.F.); #22123=ORIENTED_EDGE('',*,*,#30630,.T.); #22124=ORIENTED_EDGE('',*,*,#30632,.T.); #22125=ORIENTED_EDGE('',*,*,#30633,.F.); #22126=ORIENTED_EDGE('',*,*,#30634,.F.); #22127=ORIENTED_EDGE('',*,*,#29758,.F.); #22128=ORIENTED_EDGE('',*,*,#30635,.T.); #22129=ORIENTED_EDGE('',*,*,#30636,.T.); #22130=ORIENTED_EDGE('',*,*,#30637,.T.); #22131=ORIENTED_EDGE('',*,*,#29777,.T.); #22132=ORIENTED_EDGE('',*,*,#29774,.T.); #22133=ORIENTED_EDGE('',*,*,#29771,.T.); #22134=ORIENTED_EDGE('',*,*,#29768,.T.); #22135=ORIENTED_EDGE('',*,*,#29765,.T.); #22136=ORIENTED_EDGE('',*,*,#29762,.T.); #22137=ORIENTED_EDGE('',*,*,#29759,.T.); #22138=ORIENTED_EDGE('',*,*,#29755,.T.); #22139=ORIENTED_EDGE('',*,*,#30634,.T.); #22140=ORIENTED_EDGE('',*,*,#30638,.T.); #22141=ORIENTED_EDGE('',*,*,#30636,.F.); #22142=ORIENTED_EDGE('',*,*,#30639,.T.); #22143=ORIENTED_EDGE('',*,*,#30640,.T.); #22144=ORIENTED_EDGE('',*,*,#30641,.F.); #22145=ORIENTED_EDGE('',*,*,#30642,.F.); #22146=ORIENTED_EDGE('',*,*,#30638,.F.); #22147=ORIENTED_EDGE('',*,*,#30643,.F.); #22148=ORIENTED_EDGE('',*,*,#30644,.F.); #22149=ORIENTED_EDGE('',*,*,#30642,.T.); #22150=ORIENTED_EDGE('',*,*,#30645,.T.); #22151=ORIENTED_EDGE('',*,*,#29785,.T.); #22152=ORIENTED_EDGE('',*,*,#29778,.T.); #22153=ORIENTED_EDGE('',*,*,#30637,.F.); #22154=ORIENTED_EDGE('',*,*,#29786,.T.); #22155=ORIENTED_EDGE('',*,*,#30646,.T.); #22156=ORIENTED_EDGE('',*,*,#30647,.F.); #22157=ORIENTED_EDGE('',*,*,#30037,.F.); #22158=ORIENTED_EDGE('',*,*,#29782,.T.); #22159=ORIENTED_EDGE('',*,*,#30648,.F.); #22160=ORIENTED_EDGE('',*,*,#30649,.F.); #22161=ORIENTED_EDGE('',*,*,#30033,.F.); #22162=ORIENTED_EDGE('',*,*,#30647,.T.); #22163=ORIENTED_EDGE('',*,*,#30650,.F.); #22164=ORIENTED_EDGE('',*,*,#29787,.F.); #22165=ORIENTED_EDGE('',*,*,#30651,.T.); #22166=ORIENTED_EDGE('',*,*,#30652,.F.); #22167=ORIENTED_EDGE('',*,*,#30650,.T.); #22168=ORIENTED_EDGE('',*,*,#30653,.T.); #22169=ORIENTED_EDGE('',*,*,#30654,.F.); #22170=ORIENTED_EDGE('',*,*,#30415,.T.); #22171=ORIENTED_EDGE('',*,*,#29788,.F.); #22172=ORIENTED_EDGE('',*,*,#29797,.F.); #22173=ORIENTED_EDGE('',*,*,#29794,.T.); #22174=ORIENTED_EDGE('',*,*,#29792,.T.); #22175=ORIENTED_EDGE('',*,*,#30416,.T.); #22176=ORIENTED_EDGE('',*,*,#30654,.T.); #22177=ORIENTED_EDGE('',*,*,#30655,.T.); #22178=ORIENTED_EDGE('',*,*,#30656,.F.); #22179=ORIENTED_EDGE('',*,*,#30417,.T.); #22180=ORIENTED_EDGE('',*,*,#30418,.T.); #22181=ORIENTED_EDGE('',*,*,#30656,.T.); #22182=ORIENTED_EDGE('',*,*,#30657,.T.); #22183=ORIENTED_EDGE('',*,*,#30658,.T.); #22184=ORIENTED_EDGE('',*,*,#29801,.F.); #22185=ORIENTED_EDGE('',*,*,#30659,.F.); #22186=ORIENTED_EDGE('',*,*,#29798,.F.); #22187=ORIENTED_EDGE('',*,*,#30658,.F.); #22188=ORIENTED_EDGE('',*,*,#30660,.F.); #22189=ORIENTED_EDGE('',*,*,#30659,.T.); #22190=ORIENTED_EDGE('',*,*,#30661,.T.); #22191=ORIENTED_EDGE('',*,*,#30662,.F.); #22192=ORIENTED_EDGE('',*,*,#30419,.T.); #22193=ORIENTED_EDGE('',*,*,#29799,.F.); #22194=ORIENTED_EDGE('',*,*,#29808,.F.); #22195=ORIENTED_EDGE('',*,*,#29805,.T.); #22196=ORIENTED_EDGE('',*,*,#29803,.T.); #22197=ORIENTED_EDGE('',*,*,#30420,.T.); #22198=ORIENTED_EDGE('',*,*,#30662,.T.); #22199=ORIENTED_EDGE('',*,*,#30663,.T.); #22200=ORIENTED_EDGE('',*,*,#30664,.F.); #22201=ORIENTED_EDGE('',*,*,#30421,.T.); #22202=ORIENTED_EDGE('',*,*,#30422,.T.); #22203=ORIENTED_EDGE('',*,*,#30664,.T.); #22204=ORIENTED_EDGE('',*,*,#30665,.T.); #22205=ORIENTED_EDGE('',*,*,#30666,.T.); #22206=ORIENTED_EDGE('',*,*,#29812,.F.); #22207=ORIENTED_EDGE('',*,*,#30667,.F.); #22208=ORIENTED_EDGE('',*,*,#29809,.F.); #22209=ORIENTED_EDGE('',*,*,#30666,.F.); #22210=ORIENTED_EDGE('',*,*,#30668,.F.); #22211=ORIENTED_EDGE('',*,*,#30667,.T.); #22212=ORIENTED_EDGE('',*,*,#30669,.T.); #22213=ORIENTED_EDGE('',*,*,#30670,.F.); #22214=ORIENTED_EDGE('',*,*,#30423,.T.); #22215=ORIENTED_EDGE('',*,*,#29810,.F.); #22216=ORIENTED_EDGE('',*,*,#29819,.F.); #22217=ORIENTED_EDGE('',*,*,#29816,.T.); #22218=ORIENTED_EDGE('',*,*,#29814,.T.); #22219=ORIENTED_EDGE('',*,*,#30424,.T.); #22220=ORIENTED_EDGE('',*,*,#30670,.T.); #22221=ORIENTED_EDGE('',*,*,#30671,.T.); #22222=ORIENTED_EDGE('',*,*,#30672,.F.); #22223=ORIENTED_EDGE('',*,*,#30425,.T.); #22224=ORIENTED_EDGE('',*,*,#30426,.T.); #22225=ORIENTED_EDGE('',*,*,#30672,.T.); #22226=ORIENTED_EDGE('',*,*,#30673,.T.); #22227=ORIENTED_EDGE('',*,*,#30674,.T.); #22228=ORIENTED_EDGE('',*,*,#29823,.F.); #22229=ORIENTED_EDGE('',*,*,#30675,.F.); #22230=ORIENTED_EDGE('',*,*,#29820,.F.); #22231=ORIENTED_EDGE('',*,*,#30674,.F.); #22232=ORIENTED_EDGE('',*,*,#30676,.F.); #22233=ORIENTED_EDGE('',*,*,#30675,.T.); #22234=ORIENTED_EDGE('',*,*,#30677,.T.); #22235=ORIENTED_EDGE('',*,*,#30678,.F.); #22236=ORIENTED_EDGE('',*,*,#30427,.T.); #22237=ORIENTED_EDGE('',*,*,#29821,.F.); #22238=ORIENTED_EDGE('',*,*,#29830,.F.); #22239=ORIENTED_EDGE('',*,*,#29827,.T.); #22240=ORIENTED_EDGE('',*,*,#29825,.T.); #22241=ORIENTED_EDGE('',*,*,#30428,.T.); #22242=ORIENTED_EDGE('',*,*,#30678,.T.); #22243=ORIENTED_EDGE('',*,*,#30679,.T.); #22244=ORIENTED_EDGE('',*,*,#30680,.F.); #22245=ORIENTED_EDGE('',*,*,#30429,.T.); #22246=ORIENTED_EDGE('',*,*,#30430,.T.); #22247=ORIENTED_EDGE('',*,*,#30680,.T.); #22248=ORIENTED_EDGE('',*,*,#30681,.T.); #22249=ORIENTED_EDGE('',*,*,#30682,.T.); #22250=ORIENTED_EDGE('',*,*,#29834,.F.); #22251=ORIENTED_EDGE('',*,*,#30683,.F.); #22252=ORIENTED_EDGE('',*,*,#29831,.F.); #22253=ORIENTED_EDGE('',*,*,#30682,.F.); #22254=ORIENTED_EDGE('',*,*,#30684,.F.); #22255=ORIENTED_EDGE('',*,*,#30683,.T.); #22256=ORIENTED_EDGE('',*,*,#30685,.T.); #22257=ORIENTED_EDGE('',*,*,#30686,.F.); #22258=ORIENTED_EDGE('',*,*,#30431,.T.); #22259=ORIENTED_EDGE('',*,*,#29832,.F.); #22260=ORIENTED_EDGE('',*,*,#29841,.F.); #22261=ORIENTED_EDGE('',*,*,#29838,.T.); #22262=ORIENTED_EDGE('',*,*,#29836,.T.); #22263=ORIENTED_EDGE('',*,*,#30432,.T.); #22264=ORIENTED_EDGE('',*,*,#30686,.T.); #22265=ORIENTED_EDGE('',*,*,#30687,.T.); #22266=ORIENTED_EDGE('',*,*,#30688,.F.); #22267=ORIENTED_EDGE('',*,*,#30433,.T.); #22268=ORIENTED_EDGE('',*,*,#30434,.T.); #22269=ORIENTED_EDGE('',*,*,#30688,.T.); #22270=ORIENTED_EDGE('',*,*,#30689,.T.); #22271=ORIENTED_EDGE('',*,*,#30690,.T.); #22272=ORIENTED_EDGE('',*,*,#29845,.F.); #22273=ORIENTED_EDGE('',*,*,#30691,.F.); #22274=ORIENTED_EDGE('',*,*,#29842,.F.); #22275=ORIENTED_EDGE('',*,*,#30690,.F.); #22276=ORIENTED_EDGE('',*,*,#30692,.F.); #22277=ORIENTED_EDGE('',*,*,#30691,.T.); #22278=ORIENTED_EDGE('',*,*,#30693,.T.); #22279=ORIENTED_EDGE('',*,*,#30694,.F.); #22280=ORIENTED_EDGE('',*,*,#30435,.T.); #22281=ORIENTED_EDGE('',*,*,#29843,.F.); #22282=ORIENTED_EDGE('',*,*,#29852,.F.); #22283=ORIENTED_EDGE('',*,*,#29849,.T.); #22284=ORIENTED_EDGE('',*,*,#29847,.T.); #22285=ORIENTED_EDGE('',*,*,#30436,.T.); #22286=ORIENTED_EDGE('',*,*,#30694,.T.); #22287=ORIENTED_EDGE('',*,*,#30695,.T.); #22288=ORIENTED_EDGE('',*,*,#30696,.F.); #22289=ORIENTED_EDGE('',*,*,#30437,.T.); #22290=ORIENTED_EDGE('',*,*,#30438,.T.); #22291=ORIENTED_EDGE('',*,*,#30696,.T.); #22292=ORIENTED_EDGE('',*,*,#30697,.T.); #22293=ORIENTED_EDGE('',*,*,#30698,.T.); #22294=ORIENTED_EDGE('',*,*,#29856,.F.); #22295=ORIENTED_EDGE('',*,*,#30699,.F.); #22296=ORIENTED_EDGE('',*,*,#29853,.F.); #22297=ORIENTED_EDGE('',*,*,#30698,.F.); #22298=ORIENTED_EDGE('',*,*,#30700,.F.); #22299=ORIENTED_EDGE('',*,*,#30699,.T.); #22300=ORIENTED_EDGE('',*,*,#30701,.T.); #22301=ORIENTED_EDGE('',*,*,#30702,.F.); #22302=ORIENTED_EDGE('',*,*,#30439,.T.); #22303=ORIENTED_EDGE('',*,*,#29854,.F.); #22304=ORIENTED_EDGE('',*,*,#29863,.F.); #22305=ORIENTED_EDGE('',*,*,#29860,.T.); #22306=ORIENTED_EDGE('',*,*,#29858,.T.); #22307=ORIENTED_EDGE('',*,*,#30440,.T.); #22308=ORIENTED_EDGE('',*,*,#30702,.T.); #22309=ORIENTED_EDGE('',*,*,#30703,.T.); #22310=ORIENTED_EDGE('',*,*,#30704,.F.); #22311=ORIENTED_EDGE('',*,*,#30441,.T.); #22312=ORIENTED_EDGE('',*,*,#30442,.T.); #22313=ORIENTED_EDGE('',*,*,#30704,.T.); #22314=ORIENTED_EDGE('',*,*,#30705,.T.); #22315=ORIENTED_EDGE('',*,*,#30706,.T.); #22316=ORIENTED_EDGE('',*,*,#29867,.F.); #22317=ORIENTED_EDGE('',*,*,#30707,.F.); #22318=ORIENTED_EDGE('',*,*,#29864,.F.); #22319=ORIENTED_EDGE('',*,*,#30706,.F.); #22320=ORIENTED_EDGE('',*,*,#30708,.F.); #22321=ORIENTED_EDGE('',*,*,#30707,.T.); #22322=ORIENTED_EDGE('',*,*,#30709,.T.); #22323=ORIENTED_EDGE('',*,*,#30710,.F.); #22324=ORIENTED_EDGE('',*,*,#30443,.T.); #22325=ORIENTED_EDGE('',*,*,#29865,.F.); #22326=ORIENTED_EDGE('',*,*,#29874,.F.); #22327=ORIENTED_EDGE('',*,*,#29871,.T.); #22328=ORIENTED_EDGE('',*,*,#29869,.T.); #22329=ORIENTED_EDGE('',*,*,#30444,.T.); #22330=ORIENTED_EDGE('',*,*,#30710,.T.); #22331=ORIENTED_EDGE('',*,*,#30711,.T.); #22332=ORIENTED_EDGE('',*,*,#30712,.F.); #22333=ORIENTED_EDGE('',*,*,#30445,.T.); #22334=ORIENTED_EDGE('',*,*,#30446,.T.); #22335=ORIENTED_EDGE('',*,*,#30712,.T.); #22336=ORIENTED_EDGE('',*,*,#30713,.T.); #22337=ORIENTED_EDGE('',*,*,#30714,.T.); #22338=ORIENTED_EDGE('',*,*,#29878,.F.); #22339=ORIENTED_EDGE('',*,*,#30715,.F.); #22340=ORIENTED_EDGE('',*,*,#30716,.F.); #22341=ORIENTED_EDGE('',*,*,#29875,.F.); #22342=ORIENTED_EDGE('',*,*,#30714,.F.); #22343=ORIENTED_EDGE('',*,*,#30716,.T.); #22344=ORIENTED_EDGE('',*,*,#30717,.T.); #22345=ORIENTED_EDGE('',*,*,#30718,.F.); #22346=ORIENTED_EDGE('',*,*,#30316,.T.); #22347=ORIENTED_EDGE('',*,*,#29876,.F.); #22348=ORIENTED_EDGE('',*,*,#29885,.F.); #22349=ORIENTED_EDGE('',*,*,#29882,.T.); #22350=ORIENTED_EDGE('',*,*,#29880,.T.); #22351=ORIENTED_EDGE('',*,*,#30317,.T.); #22352=ORIENTED_EDGE('',*,*,#30718,.T.); #22353=ORIENTED_EDGE('',*,*,#30719,.T.); #22354=ORIENTED_EDGE('',*,*,#30720,.F.); #22355=ORIENTED_EDGE('',*,*,#30318,.T.); #22356=ORIENTED_EDGE('',*,*,#30319,.T.); #22357=ORIENTED_EDGE('',*,*,#30720,.T.); #22358=ORIENTED_EDGE('',*,*,#30721,.T.); #22359=ORIENTED_EDGE('',*,*,#30722,.T.); #22360=ORIENTED_EDGE('',*,*,#29889,.F.); #22361=ORIENTED_EDGE('',*,*,#30723,.F.); #22362=ORIENTED_EDGE('',*,*,#29886,.F.); #22363=ORIENTED_EDGE('',*,*,#30722,.F.); #22364=ORIENTED_EDGE('',*,*,#30724,.F.); #22365=ORIENTED_EDGE('',*,*,#30723,.T.); #22366=ORIENTED_EDGE('',*,*,#30725,.T.); #22367=ORIENTED_EDGE('',*,*,#30726,.F.); #22368=ORIENTED_EDGE('',*,*,#30320,.T.); #22369=ORIENTED_EDGE('',*,*,#29887,.F.); #22370=ORIENTED_EDGE('',*,*,#29896,.F.); #22371=ORIENTED_EDGE('',*,*,#29893,.T.); #22372=ORIENTED_EDGE('',*,*,#29891,.T.); #22373=ORIENTED_EDGE('',*,*,#30321,.T.); #22374=ORIENTED_EDGE('',*,*,#30726,.T.); #22375=ORIENTED_EDGE('',*,*,#30727,.T.); #22376=ORIENTED_EDGE('',*,*,#30728,.F.); #22377=ORIENTED_EDGE('',*,*,#30322,.T.); #22378=ORIENTED_EDGE('',*,*,#30323,.T.); #22379=ORIENTED_EDGE('',*,*,#30728,.T.); #22380=ORIENTED_EDGE('',*,*,#30729,.T.); #22381=ORIENTED_EDGE('',*,*,#30730,.T.); #22382=ORIENTED_EDGE('',*,*,#29900,.F.); #22383=ORIENTED_EDGE('',*,*,#30731,.F.); #22384=ORIENTED_EDGE('',*,*,#29897,.F.); #22385=ORIENTED_EDGE('',*,*,#30730,.F.); #22386=ORIENTED_EDGE('',*,*,#30732,.F.); #22387=ORIENTED_EDGE('',*,*,#30731,.T.); #22388=ORIENTED_EDGE('',*,*,#30733,.T.); #22389=ORIENTED_EDGE('',*,*,#30734,.F.); #22390=ORIENTED_EDGE('',*,*,#30324,.T.); #22391=ORIENTED_EDGE('',*,*,#29898,.F.); #22392=ORIENTED_EDGE('',*,*,#29907,.F.); #22393=ORIENTED_EDGE('',*,*,#29904,.T.); #22394=ORIENTED_EDGE('',*,*,#29902,.T.); #22395=ORIENTED_EDGE('',*,*,#30325,.T.); #22396=ORIENTED_EDGE('',*,*,#30734,.T.); #22397=ORIENTED_EDGE('',*,*,#30735,.T.); #22398=ORIENTED_EDGE('',*,*,#30736,.F.); #22399=ORIENTED_EDGE('',*,*,#30326,.T.); #22400=ORIENTED_EDGE('',*,*,#30327,.T.); #22401=ORIENTED_EDGE('',*,*,#30736,.T.); #22402=ORIENTED_EDGE('',*,*,#30737,.T.); #22403=ORIENTED_EDGE('',*,*,#30738,.T.); #22404=ORIENTED_EDGE('',*,*,#29911,.F.); #22405=ORIENTED_EDGE('',*,*,#30739,.F.); #22406=ORIENTED_EDGE('',*,*,#29908,.F.); #22407=ORIENTED_EDGE('',*,*,#30738,.F.); #22408=ORIENTED_EDGE('',*,*,#30740,.F.); #22409=ORIENTED_EDGE('',*,*,#30739,.T.); #22410=ORIENTED_EDGE('',*,*,#30741,.T.); #22411=ORIENTED_EDGE('',*,*,#30742,.F.); #22412=ORIENTED_EDGE('',*,*,#30328,.T.); #22413=ORIENTED_EDGE('',*,*,#29909,.F.); #22414=ORIENTED_EDGE('',*,*,#29918,.F.); #22415=ORIENTED_EDGE('',*,*,#29915,.T.); #22416=ORIENTED_EDGE('',*,*,#29913,.T.); #22417=ORIENTED_EDGE('',*,*,#30329,.T.); #22418=ORIENTED_EDGE('',*,*,#30742,.T.); #22419=ORIENTED_EDGE('',*,*,#30743,.T.); #22420=ORIENTED_EDGE('',*,*,#30744,.F.); #22421=ORIENTED_EDGE('',*,*,#30330,.T.); #22422=ORIENTED_EDGE('',*,*,#30331,.T.); #22423=ORIENTED_EDGE('',*,*,#30744,.T.); #22424=ORIENTED_EDGE('',*,*,#30745,.T.); #22425=ORIENTED_EDGE('',*,*,#30746,.T.); #22426=ORIENTED_EDGE('',*,*,#29922,.F.); #22427=ORIENTED_EDGE('',*,*,#30747,.F.); #22428=ORIENTED_EDGE('',*,*,#29919,.F.); #22429=ORIENTED_EDGE('',*,*,#30746,.F.); #22430=ORIENTED_EDGE('',*,*,#30748,.F.); #22431=ORIENTED_EDGE('',*,*,#30747,.T.); #22432=ORIENTED_EDGE('',*,*,#30749,.T.); #22433=ORIENTED_EDGE('',*,*,#30750,.F.); #22434=ORIENTED_EDGE('',*,*,#30332,.T.); #22435=ORIENTED_EDGE('',*,*,#29920,.F.); #22436=ORIENTED_EDGE('',*,*,#29929,.F.); #22437=ORIENTED_EDGE('',*,*,#29926,.T.); #22438=ORIENTED_EDGE('',*,*,#29924,.T.); #22439=ORIENTED_EDGE('',*,*,#30333,.T.); #22440=ORIENTED_EDGE('',*,*,#30750,.T.); #22441=ORIENTED_EDGE('',*,*,#30751,.T.); #22442=ORIENTED_EDGE('',*,*,#30752,.F.); #22443=ORIENTED_EDGE('',*,*,#30334,.T.); #22444=ORIENTED_EDGE('',*,*,#30335,.T.); #22445=ORIENTED_EDGE('',*,*,#30752,.T.); #22446=ORIENTED_EDGE('',*,*,#30753,.T.); #22447=ORIENTED_EDGE('',*,*,#30754,.T.); #22448=ORIENTED_EDGE('',*,*,#29933,.F.); #22449=ORIENTED_EDGE('',*,*,#30755,.F.); #22450=ORIENTED_EDGE('',*,*,#29930,.F.); #22451=ORIENTED_EDGE('',*,*,#30754,.F.); #22452=ORIENTED_EDGE('',*,*,#30756,.F.); #22453=ORIENTED_EDGE('',*,*,#30755,.T.); #22454=ORIENTED_EDGE('',*,*,#30757,.T.); #22455=ORIENTED_EDGE('',*,*,#30758,.F.); #22456=ORIENTED_EDGE('',*,*,#30336,.T.); #22457=ORIENTED_EDGE('',*,*,#29931,.F.); #22458=ORIENTED_EDGE('',*,*,#29940,.F.); #22459=ORIENTED_EDGE('',*,*,#29937,.T.); #22460=ORIENTED_EDGE('',*,*,#29935,.T.); #22461=ORIENTED_EDGE('',*,*,#30337,.T.); #22462=ORIENTED_EDGE('',*,*,#30758,.T.); #22463=ORIENTED_EDGE('',*,*,#30759,.T.); #22464=ORIENTED_EDGE('',*,*,#30760,.F.); #22465=ORIENTED_EDGE('',*,*,#30338,.T.); #22466=ORIENTED_EDGE('',*,*,#30339,.T.); #22467=ORIENTED_EDGE('',*,*,#30760,.T.); #22468=ORIENTED_EDGE('',*,*,#30761,.T.); #22469=ORIENTED_EDGE('',*,*,#30762,.T.); #22470=ORIENTED_EDGE('',*,*,#29944,.F.); #22471=ORIENTED_EDGE('',*,*,#30763,.F.); #22472=ORIENTED_EDGE('',*,*,#29941,.F.); #22473=ORIENTED_EDGE('',*,*,#30762,.F.); #22474=ORIENTED_EDGE('',*,*,#30764,.F.); #22475=ORIENTED_EDGE('',*,*,#30763,.T.); #22476=ORIENTED_EDGE('',*,*,#30765,.T.); #22477=ORIENTED_EDGE('',*,*,#30766,.F.); #22478=ORIENTED_EDGE('',*,*,#30340,.T.); #22479=ORIENTED_EDGE('',*,*,#29942,.F.); #22480=ORIENTED_EDGE('',*,*,#29951,.F.); #22481=ORIENTED_EDGE('',*,*,#29948,.T.); #22482=ORIENTED_EDGE('',*,*,#29946,.T.); #22483=ORIENTED_EDGE('',*,*,#30341,.T.); #22484=ORIENTED_EDGE('',*,*,#30766,.T.); #22485=ORIENTED_EDGE('',*,*,#30767,.T.); #22486=ORIENTED_EDGE('',*,*,#30768,.F.); #22487=ORIENTED_EDGE('',*,*,#30342,.T.); #22488=ORIENTED_EDGE('',*,*,#30343,.T.); #22489=ORIENTED_EDGE('',*,*,#30768,.T.); #22490=ORIENTED_EDGE('',*,*,#30769,.T.); #22491=ORIENTED_EDGE('',*,*,#30770,.T.); #22492=ORIENTED_EDGE('',*,*,#29955,.F.); #22493=ORIENTED_EDGE('',*,*,#30771,.F.); #22494=ORIENTED_EDGE('',*,*,#29952,.F.); #22495=ORIENTED_EDGE('',*,*,#30770,.F.); #22496=ORIENTED_EDGE('',*,*,#30772,.F.); #22497=ORIENTED_EDGE('',*,*,#30771,.T.); #22498=ORIENTED_EDGE('',*,*,#30773,.T.); #22499=ORIENTED_EDGE('',*,*,#30774,.F.); #22500=ORIENTED_EDGE('',*,*,#30344,.T.); #22501=ORIENTED_EDGE('',*,*,#29953,.F.); #22502=ORIENTED_EDGE('',*,*,#29962,.F.); #22503=ORIENTED_EDGE('',*,*,#29959,.T.); #22504=ORIENTED_EDGE('',*,*,#29957,.T.); #22505=ORIENTED_EDGE('',*,*,#30345,.T.); #22506=ORIENTED_EDGE('',*,*,#30774,.T.); #22507=ORIENTED_EDGE('',*,*,#30775,.T.); #22508=ORIENTED_EDGE('',*,*,#30776,.F.); #22509=ORIENTED_EDGE('',*,*,#30346,.T.); #22510=ORIENTED_EDGE('',*,*,#30347,.T.); #22511=ORIENTED_EDGE('',*,*,#30776,.T.); #22512=ORIENTED_EDGE('',*,*,#30777,.T.); #22513=ORIENTED_EDGE('',*,*,#30778,.T.); #22514=ORIENTED_EDGE('',*,*,#30105,.F.); #22515=ORIENTED_EDGE('',*,*,#30103,.T.); #22516=ORIENTED_EDGE('',*,*,#30101,.F.); #22517=ORIENTED_EDGE('',*,*,#30099,.F.); #22518=ORIENTED_EDGE('',*,*,#30097,.F.); #22519=ORIENTED_EDGE('',*,*,#30095,.T.); #22520=ORIENTED_EDGE('',*,*,#30093,.F.); #22521=ORIENTED_EDGE('',*,*,#30091,.F.); #22522=ORIENTED_EDGE('',*,*,#30089,.F.); #22523=ORIENTED_EDGE('',*,*,#30087,.T.); #22524=ORIENTED_EDGE('',*,*,#30085,.F.); #22525=ORIENTED_EDGE('',*,*,#30083,.F.); #22526=ORIENTED_EDGE('',*,*,#30081,.F.); #22527=ORIENTED_EDGE('',*,*,#30079,.T.); #22528=ORIENTED_EDGE('',*,*,#30077,.F.); #22529=ORIENTED_EDGE('',*,*,#30075,.F.); #22530=ORIENTED_EDGE('',*,*,#30073,.F.); #22531=ORIENTED_EDGE('',*,*,#30071,.T.); #22532=ORIENTED_EDGE('',*,*,#30069,.F.); #22533=ORIENTED_EDGE('',*,*,#30067,.F.); #22534=ORIENTED_EDGE('',*,*,#30065,.F.); #22535=ORIENTED_EDGE('',*,*,#30063,.T.); #22536=ORIENTED_EDGE('',*,*,#30061,.F.); #22537=ORIENTED_EDGE('',*,*,#30059,.F.); #22538=ORIENTED_EDGE('',*,*,#30057,.F.); #22539=ORIENTED_EDGE('',*,*,#30055,.T.); #22540=ORIENTED_EDGE('',*,*,#30053,.F.); #22541=ORIENTED_EDGE('',*,*,#30051,.F.); #22542=ORIENTED_EDGE('',*,*,#30049,.F.); #22543=ORIENTED_EDGE('',*,*,#30047,.T.); #22544=ORIENTED_EDGE('',*,*,#30045,.F.); #22545=ORIENTED_EDGE('',*,*,#30043,.F.); #22546=ORIENTED_EDGE('',*,*,#30041,.F.); #22547=ORIENTED_EDGE('',*,*,#30040,.T.); #22548=ORIENTED_EDGE('',*,*,#30624,.T.); #22549=ORIENTED_EDGE('',*,*,#30779,.F.); #22550=ORIENTED_EDGE('',*,*,#30780,.F.); #22551=ORIENTED_EDGE('',*,*,#30781,.F.); #22552=ORIENTED_EDGE('',*,*,#30782,.F.); #22553=ORIENTED_EDGE('',*,*,#30631,.F.); #22554=ORIENTED_EDGE('',*,*,#30034,.F.); #22555=ORIENTED_EDGE('',*,*,#30649,.T.); #22556=ORIENTED_EDGE('',*,*,#30783,.F.); #22557=ORIENTED_EDGE('',*,*,#30784,.F.); #22558=ORIENTED_EDGE('',*,*,#30785,.F.); #22559=ORIENTED_EDGE('',*,*,#30458,.F.); #22560=ORIENTED_EDGE('',*,*,#30032,.T.); #22561=ORIENTED_EDGE('',*,*,#30477,.T.); #22562=ORIENTED_EDGE('',*,*,#30786,.F.); #22563=ORIENTED_EDGE('',*,*,#30787,.F.); #22564=ORIENTED_EDGE('',*,*,#30788,.F.); #22565=ORIENTED_EDGE('',*,*,#30789,.F.); #22566=ORIENTED_EDGE('',*,*,#30486,.T.); #22567=ORIENTED_EDGE('',*,*,#30026,.T.); #22568=ORIENTED_EDGE('',*,*,#30024,.F.); #22569=ORIENTED_EDGE('',*,*,#30022,.F.); #22570=ORIENTED_EDGE('',*,*,#30020,.F.); #22571=ORIENTED_EDGE('',*,*,#30018,.T.); #22572=ORIENTED_EDGE('',*,*,#30016,.F.); #22573=ORIENTED_EDGE('',*,*,#30014,.F.); #22574=ORIENTED_EDGE('',*,*,#30012,.F.); #22575=ORIENTED_EDGE('',*,*,#30010,.T.); #22576=ORIENTED_EDGE('',*,*,#30008,.F.); #22577=ORIENTED_EDGE('',*,*,#30006,.F.); #22578=ORIENTED_EDGE('',*,*,#30004,.F.); #22579=ORIENTED_EDGE('',*,*,#30002,.T.); #22580=ORIENTED_EDGE('',*,*,#30000,.F.); #22581=ORIENTED_EDGE('',*,*,#29998,.F.); #22582=ORIENTED_EDGE('',*,*,#29996,.F.); #22583=ORIENTED_EDGE('',*,*,#29994,.T.); #22584=ORIENTED_EDGE('',*,*,#29992,.F.); #22585=ORIENTED_EDGE('',*,*,#29990,.F.); #22586=ORIENTED_EDGE('',*,*,#29988,.F.); #22587=ORIENTED_EDGE('',*,*,#29986,.T.); #22588=ORIENTED_EDGE('',*,*,#29984,.F.); #22589=ORIENTED_EDGE('',*,*,#29982,.F.); #22590=ORIENTED_EDGE('',*,*,#29980,.F.); #22591=ORIENTED_EDGE('',*,*,#29978,.T.); #22592=ORIENTED_EDGE('',*,*,#29976,.F.); #22593=ORIENTED_EDGE('',*,*,#29974,.F.); #22594=ORIENTED_EDGE('',*,*,#29972,.F.); #22595=ORIENTED_EDGE('',*,*,#29970,.T.); #22596=ORIENTED_EDGE('',*,*,#29968,.F.); #22597=ORIENTED_EDGE('',*,*,#29966,.F.); #22598=ORIENTED_EDGE('',*,*,#29964,.F.); #22599=ORIENTED_EDGE('',*,*,#30107,.T.); #22600=ORIENTED_EDGE('',*,*,#30790,.T.); #22601=ORIENTED_EDGE('',*,*,#30791,.T.); #22602=ORIENTED_EDGE('',*,*,#30215,.F.); #22603=ORIENTED_EDGE('',*,*,#30348,.F.); #22604=ORIENTED_EDGE('',*,*,#30778,.F.); #22605=ORIENTED_EDGE('',*,*,#30792,.F.); #22606=ORIENTED_EDGE('',*,*,#30793,.T.); #22607=ORIENTED_EDGE('',*,*,#30106,.F.); #22608=ORIENTED_EDGE('',*,*,#30794,.T.); #22609=ORIENTED_EDGE('',*,*,#30795,.F.); #22610=ORIENTED_EDGE('',*,*,#30796,.F.); #22611=ORIENTED_EDGE('',*,*,#30382,.T.); #22612=ORIENTED_EDGE('',*,*,#30449,.F.); #22613=ORIENTED_EDGE('',*,*,#30797,.F.); #22614=ORIENTED_EDGE('',*,*,#30112,.F.); #22615=ORIENTED_EDGE('',*,*,#30383,.T.); #22616=ORIENTED_EDGE('',*,*,#30796,.T.); #22617=ORIENTED_EDGE('',*,*,#30113,.F.); #22618=ORIENTED_EDGE('',*,*,#30798,.F.); #22619=ORIENTED_EDGE('',*,*,#30115,.T.); #22620=ORIENTED_EDGE('',*,*,#30110,.F.); #22621=ORIENTED_EDGE('',*,*,#30116,.T.); #22622=ORIENTED_EDGE('',*,*,#30799,.F.); #22623=ORIENTED_EDGE('',*,*,#30800,.F.); #22624=ORIENTED_EDGE('',*,*,#30384,.T.); #22625=ORIENTED_EDGE('',*,*,#30385,.T.); #22626=ORIENTED_EDGE('',*,*,#30800,.T.); #22627=ORIENTED_EDGE('',*,*,#30801,.F.); #22628=ORIENTED_EDGE('',*,*,#30802,.T.); #22629=ORIENTED_EDGE('',*,*,#30118,.F.); #22630=ORIENTED_EDGE('',*,*,#30802,.F.); #22631=ORIENTED_EDGE('',*,*,#30803,.F.); #22632=ORIENTED_EDGE('',*,*,#30804,.F.); #22633=ORIENTED_EDGE('',*,*,#30119,.F.); #22634=ORIENTED_EDGE('',*,*,#30804,.T.); #22635=ORIENTED_EDGE('',*,*,#30805,.F.); #22636=ORIENTED_EDGE('',*,*,#30806,.F.); #22637=ORIENTED_EDGE('',*,*,#30386,.T.); #22638=ORIENTED_EDGE('',*,*,#30120,.F.); #22639=ORIENTED_EDGE('',*,*,#30807,.F.); #22640=ORIENTED_EDGE('',*,*,#30125,.F.); #22641=ORIENTED_EDGE('',*,*,#30387,.T.); #22642=ORIENTED_EDGE('',*,*,#30806,.T.); #22643=ORIENTED_EDGE('',*,*,#30126,.F.); #22644=ORIENTED_EDGE('',*,*,#30808,.F.); #22645=ORIENTED_EDGE('',*,*,#30128,.T.); #22646=ORIENTED_EDGE('',*,*,#30123,.F.); #22647=ORIENTED_EDGE('',*,*,#30129,.T.); #22648=ORIENTED_EDGE('',*,*,#30809,.F.); #22649=ORIENTED_EDGE('',*,*,#30810,.F.); #22650=ORIENTED_EDGE('',*,*,#30388,.T.); #22651=ORIENTED_EDGE('',*,*,#30389,.T.); #22652=ORIENTED_EDGE('',*,*,#30810,.T.); #22653=ORIENTED_EDGE('',*,*,#30811,.F.); #22654=ORIENTED_EDGE('',*,*,#30812,.T.); #22655=ORIENTED_EDGE('',*,*,#30131,.F.); #22656=ORIENTED_EDGE('',*,*,#30812,.F.); #22657=ORIENTED_EDGE('',*,*,#30813,.F.); #22658=ORIENTED_EDGE('',*,*,#30814,.F.); #22659=ORIENTED_EDGE('',*,*,#30132,.F.); #22660=ORIENTED_EDGE('',*,*,#30814,.T.); #22661=ORIENTED_EDGE('',*,*,#30815,.F.); #22662=ORIENTED_EDGE('',*,*,#30816,.F.); #22663=ORIENTED_EDGE('',*,*,#30390,.T.); #22664=ORIENTED_EDGE('',*,*,#30133,.F.); #22665=ORIENTED_EDGE('',*,*,#30817,.F.); #22666=ORIENTED_EDGE('',*,*,#30138,.F.); #22667=ORIENTED_EDGE('',*,*,#30391,.T.); #22668=ORIENTED_EDGE('',*,*,#30816,.T.); #22669=ORIENTED_EDGE('',*,*,#30139,.F.); #22670=ORIENTED_EDGE('',*,*,#30818,.F.); #22671=ORIENTED_EDGE('',*,*,#30141,.T.); #22672=ORIENTED_EDGE('',*,*,#30136,.F.); #22673=ORIENTED_EDGE('',*,*,#30142,.T.); #22674=ORIENTED_EDGE('',*,*,#30819,.F.); #22675=ORIENTED_EDGE('',*,*,#30820,.F.); #22676=ORIENTED_EDGE('',*,*,#30392,.T.); #22677=ORIENTED_EDGE('',*,*,#30393,.T.); #22678=ORIENTED_EDGE('',*,*,#30820,.T.); #22679=ORIENTED_EDGE('',*,*,#30821,.F.); #22680=ORIENTED_EDGE('',*,*,#30822,.T.); #22681=ORIENTED_EDGE('',*,*,#30144,.F.); #22682=ORIENTED_EDGE('',*,*,#30822,.F.); #22683=ORIENTED_EDGE('',*,*,#30823,.F.); #22684=ORIENTED_EDGE('',*,*,#30824,.F.); #22685=ORIENTED_EDGE('',*,*,#30145,.F.); #22686=ORIENTED_EDGE('',*,*,#30824,.T.); #22687=ORIENTED_EDGE('',*,*,#30825,.F.); #22688=ORIENTED_EDGE('',*,*,#30826,.F.); #22689=ORIENTED_EDGE('',*,*,#30394,.T.); #22690=ORIENTED_EDGE('',*,*,#30146,.F.); #22691=ORIENTED_EDGE('',*,*,#30827,.F.); #22692=ORIENTED_EDGE('',*,*,#30151,.F.); #22693=ORIENTED_EDGE('',*,*,#30395,.T.); #22694=ORIENTED_EDGE('',*,*,#30826,.T.); #22695=ORIENTED_EDGE('',*,*,#30152,.F.); #22696=ORIENTED_EDGE('',*,*,#30828,.F.); #22697=ORIENTED_EDGE('',*,*,#30154,.T.); #22698=ORIENTED_EDGE('',*,*,#30149,.F.); #22699=ORIENTED_EDGE('',*,*,#30155,.T.); #22700=ORIENTED_EDGE('',*,*,#30829,.F.); #22701=ORIENTED_EDGE('',*,*,#30830,.F.); #22702=ORIENTED_EDGE('',*,*,#30396,.T.); #22703=ORIENTED_EDGE('',*,*,#30397,.T.); #22704=ORIENTED_EDGE('',*,*,#30830,.T.); #22705=ORIENTED_EDGE('',*,*,#30831,.F.); #22706=ORIENTED_EDGE('',*,*,#30832,.T.); #22707=ORIENTED_EDGE('',*,*,#30157,.F.); #22708=ORIENTED_EDGE('',*,*,#30832,.F.); #22709=ORIENTED_EDGE('',*,*,#30833,.F.); #22710=ORIENTED_EDGE('',*,*,#30834,.F.); #22711=ORIENTED_EDGE('',*,*,#30158,.F.); #22712=ORIENTED_EDGE('',*,*,#30834,.T.); #22713=ORIENTED_EDGE('',*,*,#30835,.F.); #22714=ORIENTED_EDGE('',*,*,#30836,.F.); #22715=ORIENTED_EDGE('',*,*,#30398,.T.); #22716=ORIENTED_EDGE('',*,*,#30159,.F.); #22717=ORIENTED_EDGE('',*,*,#30837,.F.); #22718=ORIENTED_EDGE('',*,*,#30164,.F.); #22719=ORIENTED_EDGE('',*,*,#30399,.T.); #22720=ORIENTED_EDGE('',*,*,#30836,.T.); #22721=ORIENTED_EDGE('',*,*,#30165,.F.); #22722=ORIENTED_EDGE('',*,*,#30838,.F.); #22723=ORIENTED_EDGE('',*,*,#30167,.T.); #22724=ORIENTED_EDGE('',*,*,#30162,.F.); #22725=ORIENTED_EDGE('',*,*,#30168,.T.); #22726=ORIENTED_EDGE('',*,*,#30839,.F.); #22727=ORIENTED_EDGE('',*,*,#30840,.F.); #22728=ORIENTED_EDGE('',*,*,#30400,.T.); #22729=ORIENTED_EDGE('',*,*,#30401,.T.); #22730=ORIENTED_EDGE('',*,*,#30840,.T.); #22731=ORIENTED_EDGE('',*,*,#30841,.F.); #22732=ORIENTED_EDGE('',*,*,#30842,.T.); #22733=ORIENTED_EDGE('',*,*,#30170,.F.); #22734=ORIENTED_EDGE('',*,*,#30842,.F.); #22735=ORIENTED_EDGE('',*,*,#30843,.F.); #22736=ORIENTED_EDGE('',*,*,#30844,.F.); #22737=ORIENTED_EDGE('',*,*,#30171,.F.); #22738=ORIENTED_EDGE('',*,*,#30844,.T.); #22739=ORIENTED_EDGE('',*,*,#30845,.F.); #22740=ORIENTED_EDGE('',*,*,#30846,.F.); #22741=ORIENTED_EDGE('',*,*,#30402,.T.); #22742=ORIENTED_EDGE('',*,*,#30172,.F.); #22743=ORIENTED_EDGE('',*,*,#30847,.F.); #22744=ORIENTED_EDGE('',*,*,#30177,.F.); #22745=ORIENTED_EDGE('',*,*,#30403,.T.); #22746=ORIENTED_EDGE('',*,*,#30846,.T.); #22747=ORIENTED_EDGE('',*,*,#30178,.F.); #22748=ORIENTED_EDGE('',*,*,#30848,.F.); #22749=ORIENTED_EDGE('',*,*,#30180,.T.); #22750=ORIENTED_EDGE('',*,*,#30175,.F.); #22751=ORIENTED_EDGE('',*,*,#30181,.T.); #22752=ORIENTED_EDGE('',*,*,#30849,.F.); #22753=ORIENTED_EDGE('',*,*,#30850,.F.); #22754=ORIENTED_EDGE('',*,*,#30404,.T.); #22755=ORIENTED_EDGE('',*,*,#30405,.T.); #22756=ORIENTED_EDGE('',*,*,#30850,.T.); #22757=ORIENTED_EDGE('',*,*,#30851,.F.); #22758=ORIENTED_EDGE('',*,*,#30852,.T.); #22759=ORIENTED_EDGE('',*,*,#30183,.F.); #22760=ORIENTED_EDGE('',*,*,#30852,.F.); #22761=ORIENTED_EDGE('',*,*,#30853,.F.); #22762=ORIENTED_EDGE('',*,*,#30854,.F.); #22763=ORIENTED_EDGE('',*,*,#30184,.F.); #22764=ORIENTED_EDGE('',*,*,#30854,.T.); #22765=ORIENTED_EDGE('',*,*,#30855,.F.); #22766=ORIENTED_EDGE('',*,*,#30856,.F.); #22767=ORIENTED_EDGE('',*,*,#30406,.T.); #22768=ORIENTED_EDGE('',*,*,#30185,.F.); #22769=ORIENTED_EDGE('',*,*,#30857,.F.); #22770=ORIENTED_EDGE('',*,*,#30190,.F.); #22771=ORIENTED_EDGE('',*,*,#30407,.T.); #22772=ORIENTED_EDGE('',*,*,#30856,.T.); #22773=ORIENTED_EDGE('',*,*,#30191,.F.); #22774=ORIENTED_EDGE('',*,*,#30858,.F.); #22775=ORIENTED_EDGE('',*,*,#30193,.T.); #22776=ORIENTED_EDGE('',*,*,#30188,.F.); #22777=ORIENTED_EDGE('',*,*,#30194,.T.); #22778=ORIENTED_EDGE('',*,*,#30859,.F.); #22779=ORIENTED_EDGE('',*,*,#30860,.F.); #22780=ORIENTED_EDGE('',*,*,#30408,.T.); #22781=ORIENTED_EDGE('',*,*,#30409,.T.); #22782=ORIENTED_EDGE('',*,*,#30860,.T.); #22783=ORIENTED_EDGE('',*,*,#30861,.F.); #22784=ORIENTED_EDGE('',*,*,#30862,.T.); #22785=ORIENTED_EDGE('',*,*,#30196,.F.); #22786=ORIENTED_EDGE('',*,*,#30862,.F.); #22787=ORIENTED_EDGE('',*,*,#30863,.F.); #22788=ORIENTED_EDGE('',*,*,#30864,.F.); #22789=ORIENTED_EDGE('',*,*,#30197,.F.); #22790=ORIENTED_EDGE('',*,*,#30864,.T.); #22791=ORIENTED_EDGE('',*,*,#30865,.F.); #22792=ORIENTED_EDGE('',*,*,#30866,.F.); #22793=ORIENTED_EDGE('',*,*,#30410,.T.); #22794=ORIENTED_EDGE('',*,*,#30198,.F.); #22795=ORIENTED_EDGE('',*,*,#30867,.F.); #22796=ORIENTED_EDGE('',*,*,#30203,.F.); #22797=ORIENTED_EDGE('',*,*,#30411,.T.); #22798=ORIENTED_EDGE('',*,*,#30866,.T.); #22799=ORIENTED_EDGE('',*,*,#30204,.F.); #22800=ORIENTED_EDGE('',*,*,#30868,.F.); #22801=ORIENTED_EDGE('',*,*,#30206,.T.); #22802=ORIENTED_EDGE('',*,*,#30201,.F.); #22803=ORIENTED_EDGE('',*,*,#30207,.T.); #22804=ORIENTED_EDGE('',*,*,#30869,.F.); #22805=ORIENTED_EDGE('',*,*,#30870,.F.); #22806=ORIENTED_EDGE('',*,*,#30412,.T.); #22807=ORIENTED_EDGE('',*,*,#30413,.T.); #22808=ORIENTED_EDGE('',*,*,#30870,.T.); #22809=ORIENTED_EDGE('',*,*,#30871,.F.); #22810=ORIENTED_EDGE('',*,*,#30872,.T.); #22811=ORIENTED_EDGE('',*,*,#30209,.F.); #22812=ORIENTED_EDGE('',*,*,#30872,.F.); #22813=ORIENTED_EDGE('',*,*,#30873,.F.); #22814=ORIENTED_EDGE('',*,*,#30874,.F.); #22815=ORIENTED_EDGE('',*,*,#30455,.F.); #22816=ORIENTED_EDGE('',*,*,#30210,.F.); #22817=ORIENTED_EDGE('',*,*,#30875,.F.); #22818=ORIENTED_EDGE('',*,*,#30212,.F.); #22819=ORIENTED_EDGE('',*,*,#30791,.F.); #22820=ORIENTED_EDGE('',*,*,#30876,.F.); #22821=ORIENTED_EDGE('',*,*,#30877,.F.); #22822=ORIENTED_EDGE('',*,*,#30875,.T.); #22823=ORIENTED_EDGE('',*,*,#30878,.F.); #22824=ORIENTED_EDGE('',*,*,#30879,.F.); #22825=ORIENTED_EDGE('',*,*,#30349,.T.); #22826=ORIENTED_EDGE('',*,*,#30213,.F.); #22827=ORIENTED_EDGE('',*,*,#30880,.F.); #22828=ORIENTED_EDGE('',*,*,#30220,.F.); #22829=ORIENTED_EDGE('',*,*,#30350,.T.); #22830=ORIENTED_EDGE('',*,*,#30879,.T.); #22831=ORIENTED_EDGE('',*,*,#30221,.F.); #22832=ORIENTED_EDGE('',*,*,#30881,.F.); #22833=ORIENTED_EDGE('',*,*,#30223,.T.); #22834=ORIENTED_EDGE('',*,*,#30218,.F.); #22835=ORIENTED_EDGE('',*,*,#30224,.T.); #22836=ORIENTED_EDGE('',*,*,#30882,.F.); #22837=ORIENTED_EDGE('',*,*,#30883,.F.); #22838=ORIENTED_EDGE('',*,*,#30351,.T.); #22839=ORIENTED_EDGE('',*,*,#30352,.T.); #22840=ORIENTED_EDGE('',*,*,#30883,.T.); #22841=ORIENTED_EDGE('',*,*,#30884,.F.); #22842=ORIENTED_EDGE('',*,*,#30885,.T.); #22843=ORIENTED_EDGE('',*,*,#30226,.F.); #22844=ORIENTED_EDGE('',*,*,#30885,.F.); #22845=ORIENTED_EDGE('',*,*,#30886,.F.); #22846=ORIENTED_EDGE('',*,*,#30887,.F.); #22847=ORIENTED_EDGE('',*,*,#30227,.F.); #22848=ORIENTED_EDGE('',*,*,#30887,.T.); #22849=ORIENTED_EDGE('',*,*,#30888,.F.); #22850=ORIENTED_EDGE('',*,*,#30889,.F.); #22851=ORIENTED_EDGE('',*,*,#30353,.T.); #22852=ORIENTED_EDGE('',*,*,#30228,.F.); #22853=ORIENTED_EDGE('',*,*,#30890,.F.); #22854=ORIENTED_EDGE('',*,*,#30233,.F.); #22855=ORIENTED_EDGE('',*,*,#30354,.T.); #22856=ORIENTED_EDGE('',*,*,#30889,.T.); #22857=ORIENTED_EDGE('',*,*,#30234,.F.); #22858=ORIENTED_EDGE('',*,*,#30891,.F.); #22859=ORIENTED_EDGE('',*,*,#30236,.T.); #22860=ORIENTED_EDGE('',*,*,#30231,.F.); #22861=ORIENTED_EDGE('',*,*,#30237,.T.); #22862=ORIENTED_EDGE('',*,*,#30892,.F.); #22863=ORIENTED_EDGE('',*,*,#30893,.F.); #22864=ORIENTED_EDGE('',*,*,#30355,.T.); #22865=ORIENTED_EDGE('',*,*,#30356,.T.); #22866=ORIENTED_EDGE('',*,*,#30893,.T.); #22867=ORIENTED_EDGE('',*,*,#30894,.F.); #22868=ORIENTED_EDGE('',*,*,#30895,.T.); #22869=ORIENTED_EDGE('',*,*,#30239,.F.); #22870=ORIENTED_EDGE('',*,*,#30895,.F.); #22871=ORIENTED_EDGE('',*,*,#30896,.F.); #22872=ORIENTED_EDGE('',*,*,#30897,.F.); #22873=ORIENTED_EDGE('',*,*,#30240,.F.); #22874=ORIENTED_EDGE('',*,*,#30897,.T.); #22875=ORIENTED_EDGE('',*,*,#30898,.F.); #22876=ORIENTED_EDGE('',*,*,#30899,.F.); #22877=ORIENTED_EDGE('',*,*,#30357,.T.); #22878=ORIENTED_EDGE('',*,*,#30241,.F.); #22879=ORIENTED_EDGE('',*,*,#30900,.F.); #22880=ORIENTED_EDGE('',*,*,#30246,.F.); #22881=ORIENTED_EDGE('',*,*,#30358,.T.); #22882=ORIENTED_EDGE('',*,*,#30899,.T.); #22883=ORIENTED_EDGE('',*,*,#30247,.F.); #22884=ORIENTED_EDGE('',*,*,#30901,.F.); #22885=ORIENTED_EDGE('',*,*,#30249,.T.); #22886=ORIENTED_EDGE('',*,*,#30244,.F.); #22887=ORIENTED_EDGE('',*,*,#30250,.T.); #22888=ORIENTED_EDGE('',*,*,#30902,.F.); #22889=ORIENTED_EDGE('',*,*,#30903,.F.); #22890=ORIENTED_EDGE('',*,*,#30359,.T.); #22891=ORIENTED_EDGE('',*,*,#30360,.T.); #22892=ORIENTED_EDGE('',*,*,#30903,.T.); #22893=ORIENTED_EDGE('',*,*,#30904,.F.); #22894=ORIENTED_EDGE('',*,*,#30905,.T.); #22895=ORIENTED_EDGE('',*,*,#30252,.F.); #22896=ORIENTED_EDGE('',*,*,#30905,.F.); #22897=ORIENTED_EDGE('',*,*,#30906,.F.); #22898=ORIENTED_EDGE('',*,*,#30907,.F.); #22899=ORIENTED_EDGE('',*,*,#30253,.F.); #22900=ORIENTED_EDGE('',*,*,#30907,.T.); #22901=ORIENTED_EDGE('',*,*,#30908,.F.); #22902=ORIENTED_EDGE('',*,*,#30909,.F.); #22903=ORIENTED_EDGE('',*,*,#30361,.T.); #22904=ORIENTED_EDGE('',*,*,#30254,.F.); #22905=ORIENTED_EDGE('',*,*,#30910,.F.); #22906=ORIENTED_EDGE('',*,*,#30259,.F.); #22907=ORIENTED_EDGE('',*,*,#30362,.T.); #22908=ORIENTED_EDGE('',*,*,#30909,.T.); #22909=ORIENTED_EDGE('',*,*,#30260,.F.); #22910=ORIENTED_EDGE('',*,*,#30911,.F.); #22911=ORIENTED_EDGE('',*,*,#30262,.T.); #22912=ORIENTED_EDGE('',*,*,#30257,.F.); #22913=ORIENTED_EDGE('',*,*,#30263,.T.); #22914=ORIENTED_EDGE('',*,*,#30912,.F.); #22915=ORIENTED_EDGE('',*,*,#30913,.F.); #22916=ORIENTED_EDGE('',*,*,#30363,.T.); #22917=ORIENTED_EDGE('',*,*,#30364,.T.); #22918=ORIENTED_EDGE('',*,*,#30913,.T.); #22919=ORIENTED_EDGE('',*,*,#30914,.F.); #22920=ORIENTED_EDGE('',*,*,#30915,.T.); #22921=ORIENTED_EDGE('',*,*,#30265,.F.); #22922=ORIENTED_EDGE('',*,*,#30915,.F.); #22923=ORIENTED_EDGE('',*,*,#30916,.F.); #22924=ORIENTED_EDGE('',*,*,#30917,.F.); #22925=ORIENTED_EDGE('',*,*,#30266,.F.); #22926=ORIENTED_EDGE('',*,*,#30917,.T.); #22927=ORIENTED_EDGE('',*,*,#30918,.F.); #22928=ORIENTED_EDGE('',*,*,#30919,.F.); #22929=ORIENTED_EDGE('',*,*,#30365,.T.); #22930=ORIENTED_EDGE('',*,*,#30267,.F.); #22931=ORIENTED_EDGE('',*,*,#30920,.F.); #22932=ORIENTED_EDGE('',*,*,#30272,.F.); #22933=ORIENTED_EDGE('',*,*,#30366,.T.); #22934=ORIENTED_EDGE('',*,*,#30919,.T.); #22935=ORIENTED_EDGE('',*,*,#30273,.F.); #22936=ORIENTED_EDGE('',*,*,#30921,.F.); #22937=ORIENTED_EDGE('',*,*,#30275,.T.); #22938=ORIENTED_EDGE('',*,*,#30270,.F.); #22939=ORIENTED_EDGE('',*,*,#30276,.T.); #22940=ORIENTED_EDGE('',*,*,#30922,.F.); #22941=ORIENTED_EDGE('',*,*,#30923,.F.); #22942=ORIENTED_EDGE('',*,*,#30367,.T.); #22943=ORIENTED_EDGE('',*,*,#30368,.T.); #22944=ORIENTED_EDGE('',*,*,#30923,.T.); #22945=ORIENTED_EDGE('',*,*,#30924,.F.); #22946=ORIENTED_EDGE('',*,*,#30925,.T.); #22947=ORIENTED_EDGE('',*,*,#30278,.F.); #22948=ORIENTED_EDGE('',*,*,#30925,.F.); #22949=ORIENTED_EDGE('',*,*,#30926,.F.); #22950=ORIENTED_EDGE('',*,*,#30927,.F.); #22951=ORIENTED_EDGE('',*,*,#30279,.F.); #22952=ORIENTED_EDGE('',*,*,#30927,.T.); #22953=ORIENTED_EDGE('',*,*,#30928,.F.); #22954=ORIENTED_EDGE('',*,*,#30929,.F.); #22955=ORIENTED_EDGE('',*,*,#30369,.T.); #22956=ORIENTED_EDGE('',*,*,#30280,.F.); #22957=ORIENTED_EDGE('',*,*,#30930,.F.); #22958=ORIENTED_EDGE('',*,*,#30285,.F.); #22959=ORIENTED_EDGE('',*,*,#30370,.T.); #22960=ORIENTED_EDGE('',*,*,#30929,.T.); #22961=ORIENTED_EDGE('',*,*,#30286,.F.); #22962=ORIENTED_EDGE('',*,*,#30931,.F.); #22963=ORIENTED_EDGE('',*,*,#30288,.T.); #22964=ORIENTED_EDGE('',*,*,#30283,.F.); #22965=ORIENTED_EDGE('',*,*,#30289,.T.); #22966=ORIENTED_EDGE('',*,*,#30932,.F.); #22967=ORIENTED_EDGE('',*,*,#30933,.F.); #22968=ORIENTED_EDGE('',*,*,#30371,.T.); #22969=ORIENTED_EDGE('',*,*,#30372,.T.); #22970=ORIENTED_EDGE('',*,*,#30933,.T.); #22971=ORIENTED_EDGE('',*,*,#30934,.F.); #22972=ORIENTED_EDGE('',*,*,#30935,.T.); #22973=ORIENTED_EDGE('',*,*,#30291,.F.); #22974=ORIENTED_EDGE('',*,*,#30935,.F.); #22975=ORIENTED_EDGE('',*,*,#30936,.F.); #22976=ORIENTED_EDGE('',*,*,#30937,.F.); #22977=ORIENTED_EDGE('',*,*,#30292,.F.); #22978=ORIENTED_EDGE('',*,*,#30937,.T.); #22979=ORIENTED_EDGE('',*,*,#30938,.F.); #22980=ORIENTED_EDGE('',*,*,#30939,.F.); #22981=ORIENTED_EDGE('',*,*,#30373,.T.); #22982=ORIENTED_EDGE('',*,*,#30293,.F.); #22983=ORIENTED_EDGE('',*,*,#30940,.F.); #22984=ORIENTED_EDGE('',*,*,#30298,.F.); #22985=ORIENTED_EDGE('',*,*,#30374,.T.); #22986=ORIENTED_EDGE('',*,*,#30939,.T.); #22987=ORIENTED_EDGE('',*,*,#30299,.F.); #22988=ORIENTED_EDGE('',*,*,#30941,.F.); #22989=ORIENTED_EDGE('',*,*,#30301,.T.); #22990=ORIENTED_EDGE('',*,*,#30296,.F.); #22991=ORIENTED_EDGE('',*,*,#30302,.T.); #22992=ORIENTED_EDGE('',*,*,#30942,.F.); #22993=ORIENTED_EDGE('',*,*,#30943,.F.); #22994=ORIENTED_EDGE('',*,*,#30375,.T.); #22995=ORIENTED_EDGE('',*,*,#30376,.T.); #22996=ORIENTED_EDGE('',*,*,#30943,.T.); #22997=ORIENTED_EDGE('',*,*,#30944,.F.); #22998=ORIENTED_EDGE('',*,*,#30945,.T.); #22999=ORIENTED_EDGE('',*,*,#30304,.F.); #23000=ORIENTED_EDGE('',*,*,#30945,.F.); #23001=ORIENTED_EDGE('',*,*,#30946,.F.); #23002=ORIENTED_EDGE('',*,*,#30947,.F.); #23003=ORIENTED_EDGE('',*,*,#30305,.F.); #23004=ORIENTED_EDGE('',*,*,#30947,.T.); #23005=ORIENTED_EDGE('',*,*,#30948,.F.); #23006=ORIENTED_EDGE('',*,*,#30949,.F.); #23007=ORIENTED_EDGE('',*,*,#30377,.T.); #23008=ORIENTED_EDGE('',*,*,#30306,.F.); #23009=ORIENTED_EDGE('',*,*,#30950,.F.); #23010=ORIENTED_EDGE('',*,*,#30311,.F.); #23011=ORIENTED_EDGE('',*,*,#30378,.T.); #23012=ORIENTED_EDGE('',*,*,#30949,.T.); #23013=ORIENTED_EDGE('',*,*,#30312,.F.); #23014=ORIENTED_EDGE('',*,*,#30951,.F.); #23015=ORIENTED_EDGE('',*,*,#30314,.T.); #23016=ORIENTED_EDGE('',*,*,#30309,.F.); #23017=ORIENTED_EDGE('',*,*,#30315,.T.); #23018=ORIENTED_EDGE('',*,*,#30952,.F.); #23019=ORIENTED_EDGE('',*,*,#30953,.F.); #23020=ORIENTED_EDGE('',*,*,#30379,.T.); #23021=ORIENTED_EDGE('',*,*,#30380,.T.); #23022=ORIENTED_EDGE('',*,*,#30953,.T.); #23023=ORIENTED_EDGE('',*,*,#30954,.F.); #23024=ORIENTED_EDGE('',*,*,#30955,.T.); #23025=ORIENTED_EDGE('',*,*,#30447,.F.); #23026=ORIENTED_EDGE('',*,*,#30448,.F.); #23027=ORIENTED_EDGE('',*,*,#30955,.F.); #23028=ORIENTED_EDGE('',*,*,#30956,.F.); #23029=ORIENTED_EDGE('',*,*,#30794,.F.); #23030=ORIENTED_EDGE('',*,*,#30957,.F.); #23031=ORIENTED_EDGE('',*,*,#30452,.T.); #23032=ORIENTED_EDGE('',*,*,#30958,.T.); #23033=ORIENTED_EDGE('',*,*,#30959,.F.); #23034=ORIENTED_EDGE('',*,*,#30453,.T.); #23035=ORIENTED_EDGE('',*,*,#30957,.T.); #23036=ORIENTED_EDGE('',*,*,#30960,.F.); #23037=ORIENTED_EDGE('',*,*,#30961,.F.); #23038=ORIENTED_EDGE('',*,*,#30962,.F.); #23039=ORIENTED_EDGE('',*,*,#30963,.F.); #23040=ORIENTED_EDGE('',*,*,#30454,.T.); #23041=ORIENTED_EDGE('',*,*,#30961,.T.); #23042=ORIENTED_EDGE('',*,*,#30964,.F.); #23043=ORIENTED_EDGE('',*,*,#30965,.F.); #23044=ORIENTED_EDGE('',*,*,#30450,.T.); #23045=ORIENTED_EDGE('',*,*,#30963,.T.); #23046=ORIENTED_EDGE('',*,*,#30966,.F.); #23047=ORIENTED_EDGE('',*,*,#30958,.F.); #23048=ORIENTED_EDGE('',*,*,#30451,.T.); #23049=ORIENTED_EDGE('',*,*,#30965,.T.); #23050=ORIENTED_EDGE('',*,*,#30456,.F.); #23051=ORIENTED_EDGE('',*,*,#30874,.T.); #23052=ORIENTED_EDGE('',*,*,#30967,.T.); #23053=ORIENTED_EDGE('',*,*,#30968,.T.); #23054=ORIENTED_EDGE('',*,*,#30969,.F.); #23055=ORIENTED_EDGE('',*,*,#30651,.F.); #23056=ORIENTED_EDGE('',*,*,#30970,.F.); #23057=ORIENTED_EDGE('',*,*,#30971,.F.); #23058=ORIENTED_EDGE('',*,*,#30464,.T.); #23059=ORIENTED_EDGE('',*,*,#30461,.T.); #23060=ORIENTED_EDGE('',*,*,#30460,.F.); #23061=ORIENTED_EDGE('',*,*,#30459,.F.); #23062=ORIENTED_EDGE('',*,*,#30785,.T.); #23063=ORIENTED_EDGE('',*,*,#30972,.T.); #23064=ORIENTED_EDGE('',*,*,#30973,.F.); #23065=ORIENTED_EDGE('',*,*,#30465,.T.); #23066=ORIENTED_EDGE('',*,*,#30971,.T.); #23067=ORIENTED_EDGE('',*,*,#30974,.F.); #23068=ORIENTED_EDGE('',*,*,#30469,.T.); #23069=ORIENTED_EDGE('',*,*,#30466,.T.); #23070=ORIENTED_EDGE('',*,*,#30973,.T.); #23071=ORIENTED_EDGE('',*,*,#30975,.F.); #23072=ORIENTED_EDGE('',*,*,#30976,.F.); #23073=ORIENTED_EDGE('',*,*,#30471,.T.); #23074=ORIENTED_EDGE('',*,*,#30470,.T.); #23075=ORIENTED_EDGE('',*,*,#30976,.T.); #23076=ORIENTED_EDGE('',*,*,#30977,.F.); #23077=ORIENTED_EDGE('',*,*,#30978,.F.); #23078=ORIENTED_EDGE('',*,*,#30473,.F.); #23079=ORIENTED_EDGE('',*,*,#30786,.T.); #23080=ORIENTED_EDGE('',*,*,#30476,.T.); #23081=ORIENTED_EDGE('',*,*,#30474,.T.); #23082=ORIENTED_EDGE('',*,*,#30978,.T.); #23083=ORIENTED_EDGE('',*,*,#30979,.F.); #23084=ORIENTED_EDGE('',*,*,#30980,.F.); #23085=ORIENTED_EDGE('',*,*,#30981,.F.); #23086=ORIENTED_EDGE('',*,*,#30982,.F.); #23087=ORIENTED_EDGE('',*,*,#30787,.T.); #23088=ORIENTED_EDGE('',*,*,#30980,.T.); #23089=ORIENTED_EDGE('',*,*,#30983,.F.); #23090=ORIENTED_EDGE('',*,*,#30984,.F.); #23091=ORIENTED_EDGE('',*,*,#30788,.T.); #23092=ORIENTED_EDGE('',*,*,#30982,.T.); #23093=ORIENTED_EDGE('',*,*,#30485,.F.); #23094=ORIENTED_EDGE('',*,*,#30479,.F.); #23095=ORIENTED_EDGE('',*,*,#30985,.F.); #23096=ORIENTED_EDGE('',*,*,#30986,.F.); #23097=ORIENTED_EDGE('',*,*,#30779,.T.); #23098=ORIENTED_EDGE('',*,*,#30623,.F.); #23099=ORIENTED_EDGE('',*,*,#30619,.T.); #23100=ORIENTED_EDGE('',*,*,#30617,.F.); #23101=ORIENTED_EDGE('',*,*,#30613,.T.); #23102=ORIENTED_EDGE('',*,*,#30611,.T.); #23103=ORIENTED_EDGE('',*,*,#30609,.T.); #23104=ORIENTED_EDGE('',*,*,#30607,.F.); #23105=ORIENTED_EDGE('',*,*,#30605,.T.); #23106=ORIENTED_EDGE('',*,*,#30603,.T.); #23107=ORIENTED_EDGE('',*,*,#30601,.T.); #23108=ORIENTED_EDGE('',*,*,#30599,.F.); #23109=ORIENTED_EDGE('',*,*,#30597,.T.); #23110=ORIENTED_EDGE('',*,*,#30595,.T.); #23111=ORIENTED_EDGE('',*,*,#30593,.T.); #23112=ORIENTED_EDGE('',*,*,#30591,.F.); #23113=ORIENTED_EDGE('',*,*,#30589,.T.); #23114=ORIENTED_EDGE('',*,*,#30587,.T.); #23115=ORIENTED_EDGE('',*,*,#30585,.T.); #23116=ORIENTED_EDGE('',*,*,#30583,.F.); #23117=ORIENTED_EDGE('',*,*,#30581,.T.); #23118=ORIENTED_EDGE('',*,*,#30579,.T.); #23119=ORIENTED_EDGE('',*,*,#30577,.T.); #23120=ORIENTED_EDGE('',*,*,#30575,.F.); #23121=ORIENTED_EDGE('',*,*,#30573,.T.); #23122=ORIENTED_EDGE('',*,*,#30571,.T.); #23123=ORIENTED_EDGE('',*,*,#30569,.T.); #23124=ORIENTED_EDGE('',*,*,#30567,.F.); #23125=ORIENTED_EDGE('',*,*,#30565,.T.); #23126=ORIENTED_EDGE('',*,*,#30563,.T.); #23127=ORIENTED_EDGE('',*,*,#30561,.T.); #23128=ORIENTED_EDGE('',*,*,#30559,.F.); #23129=ORIENTED_EDGE('',*,*,#30557,.T.); #23130=ORIENTED_EDGE('',*,*,#30555,.T.); #23131=ORIENTED_EDGE('',*,*,#30553,.T.); #23132=ORIENTED_EDGE('',*,*,#30551,.F.); #23133=ORIENTED_EDGE('',*,*,#30549,.T.); #23134=ORIENTED_EDGE('',*,*,#30547,.T.); #23135=ORIENTED_EDGE('',*,*,#30545,.T.); #23136=ORIENTED_EDGE('',*,*,#30543,.F.); #23137=ORIENTED_EDGE('',*,*,#30541,.T.); #23138=ORIENTED_EDGE('',*,*,#30539,.T.); #23139=ORIENTED_EDGE('',*,*,#30537,.T.); #23140=ORIENTED_EDGE('',*,*,#30535,.F.); #23141=ORIENTED_EDGE('',*,*,#30533,.T.); #23142=ORIENTED_EDGE('',*,*,#30531,.T.); #23143=ORIENTED_EDGE('',*,*,#30529,.T.); #23144=ORIENTED_EDGE('',*,*,#30527,.F.); #23145=ORIENTED_EDGE('',*,*,#30525,.T.); #23146=ORIENTED_EDGE('',*,*,#30523,.T.); #23147=ORIENTED_EDGE('',*,*,#30521,.T.); #23148=ORIENTED_EDGE('',*,*,#30519,.F.); #23149=ORIENTED_EDGE('',*,*,#30517,.T.); #23150=ORIENTED_EDGE('',*,*,#30515,.T.); #23151=ORIENTED_EDGE('',*,*,#30513,.T.); #23152=ORIENTED_EDGE('',*,*,#30511,.F.); #23153=ORIENTED_EDGE('',*,*,#30509,.T.); #23154=ORIENTED_EDGE('',*,*,#30507,.T.); #23155=ORIENTED_EDGE('',*,*,#30505,.T.); #23156=ORIENTED_EDGE('',*,*,#30503,.F.); #23157=ORIENTED_EDGE('',*,*,#30501,.T.); #23158=ORIENTED_EDGE('',*,*,#30499,.T.); #23159=ORIENTED_EDGE('',*,*,#30497,.T.); #23160=ORIENTED_EDGE('',*,*,#30495,.F.); #23161=ORIENTED_EDGE('',*,*,#30493,.T.); #23162=ORIENTED_EDGE('',*,*,#30491,.T.); #23163=ORIENTED_EDGE('',*,*,#30489,.T.); #23164=ORIENTED_EDGE('',*,*,#30487,.T.); #23165=ORIENTED_EDGE('',*,*,#30789,.T.); #23166=ORIENTED_EDGE('',*,*,#30984,.T.); #23167=ORIENTED_EDGE('',*,*,#30628,.F.); #23168=ORIENTED_EDGE('',*,*,#30626,.F.); #23169=ORIENTED_EDGE('',*,*,#30987,.F.); #23170=ORIENTED_EDGE('',*,*,#30988,.F.); #23171=ORIENTED_EDGE('',*,*,#30780,.T.); #23172=ORIENTED_EDGE('',*,*,#30986,.T.); #23173=ORIENTED_EDGE('',*,*,#30989,.F.); #23174=ORIENTED_EDGE('',*,*,#30990,.F.); #23175=ORIENTED_EDGE('',*,*,#30781,.T.); #23176=ORIENTED_EDGE('',*,*,#30988,.T.); #23177=ORIENTED_EDGE('',*,*,#30632,.F.); #23178=ORIENTED_EDGE('',*,*,#30629,.F.); #23179=ORIENTED_EDGE('',*,*,#30782,.T.); #23180=ORIENTED_EDGE('',*,*,#30990,.T.); #23181=ORIENTED_EDGE('',*,*,#30991,.F.); #23182=ORIENTED_EDGE('',*,*,#30992,.F.); #23183=ORIENTED_EDGE('',*,*,#30635,.F.); #23184=ORIENTED_EDGE('',*,*,#30633,.T.); #23185=ORIENTED_EDGE('',*,*,#30992,.T.); #23186=ORIENTED_EDGE('',*,*,#30993,.F.); #23187=ORIENTED_EDGE('',*,*,#30994,.F.); #23188=ORIENTED_EDGE('',*,*,#30639,.F.); #23189=ORIENTED_EDGE('',*,*,#30995,.F.); #23190=ORIENTED_EDGE('',*,*,#30643,.T.); #23191=ORIENTED_EDGE('',*,*,#30640,.F.); #23192=ORIENTED_EDGE('',*,*,#30994,.T.); #23193=ORIENTED_EDGE('',*,*,#30996,.F.); #23194=ORIENTED_EDGE('',*,*,#30997,.F.); #23195=ORIENTED_EDGE('',*,*,#30644,.T.); #23196=ORIENTED_EDGE('',*,*,#30995,.T.); #23197=ORIENTED_EDGE('',*,*,#30998,.F.); #23198=ORIENTED_EDGE('',*,*,#30999,.F.); #23199=ORIENTED_EDGE('',*,*,#31000,.F.); #23200=ORIENTED_EDGE('',*,*,#30783,.T.); #23201=ORIENTED_EDGE('',*,*,#30648,.T.); #23202=ORIENTED_EDGE('',*,*,#30646,.F.); #23203=ORIENTED_EDGE('',*,*,#30645,.F.); #23204=ORIENTED_EDGE('',*,*,#30641,.T.); #23205=ORIENTED_EDGE('',*,*,#30997,.T.); #23206=ORIENTED_EDGE('',*,*,#30784,.T.); #23207=ORIENTED_EDGE('',*,*,#31000,.T.); #23208=ORIENTED_EDGE('',*,*,#31001,.F.); #23209=ORIENTED_EDGE('',*,*,#31002,.T.); #23210=ORIENTED_EDGE('',*,*,#30792,.T.); #23211=ORIENTED_EDGE('',*,*,#30777,.F.); #23212=ORIENTED_EDGE('',*,*,#30775,.F.); #23213=ORIENTED_EDGE('',*,*,#30773,.F.); #23214=ORIENTED_EDGE('',*,*,#30772,.T.); #23215=ORIENTED_EDGE('',*,*,#30769,.F.); #23216=ORIENTED_EDGE('',*,*,#30767,.F.); #23217=ORIENTED_EDGE('',*,*,#30765,.F.); #23218=ORIENTED_EDGE('',*,*,#30764,.T.); #23219=ORIENTED_EDGE('',*,*,#30761,.F.); #23220=ORIENTED_EDGE('',*,*,#30759,.F.); #23221=ORIENTED_EDGE('',*,*,#30757,.F.); #23222=ORIENTED_EDGE('',*,*,#30756,.T.); #23223=ORIENTED_EDGE('',*,*,#30753,.F.); #23224=ORIENTED_EDGE('',*,*,#30751,.F.); #23225=ORIENTED_EDGE('',*,*,#30749,.F.); #23226=ORIENTED_EDGE('',*,*,#30748,.T.); #23227=ORIENTED_EDGE('',*,*,#30745,.F.); #23228=ORIENTED_EDGE('',*,*,#30743,.F.); #23229=ORIENTED_EDGE('',*,*,#30741,.F.); #23230=ORIENTED_EDGE('',*,*,#30740,.T.); #23231=ORIENTED_EDGE('',*,*,#30737,.F.); #23232=ORIENTED_EDGE('',*,*,#30735,.F.); #23233=ORIENTED_EDGE('',*,*,#30733,.F.); #23234=ORIENTED_EDGE('',*,*,#30732,.T.); #23235=ORIENTED_EDGE('',*,*,#30729,.F.); #23236=ORIENTED_EDGE('',*,*,#30727,.F.); #23237=ORIENTED_EDGE('',*,*,#30725,.F.); #23238=ORIENTED_EDGE('',*,*,#30724,.T.); #23239=ORIENTED_EDGE('',*,*,#30721,.F.); #23240=ORIENTED_EDGE('',*,*,#30719,.F.); #23241=ORIENTED_EDGE('',*,*,#30717,.F.); #23242=ORIENTED_EDGE('',*,*,#30715,.T.); #23243=ORIENTED_EDGE('',*,*,#30713,.F.); #23244=ORIENTED_EDGE('',*,*,#30711,.F.); #23245=ORIENTED_EDGE('',*,*,#30709,.F.); #23246=ORIENTED_EDGE('',*,*,#30708,.T.); #23247=ORIENTED_EDGE('',*,*,#30705,.F.); #23248=ORIENTED_EDGE('',*,*,#30703,.F.); #23249=ORIENTED_EDGE('',*,*,#30701,.F.); #23250=ORIENTED_EDGE('',*,*,#30700,.T.); #23251=ORIENTED_EDGE('',*,*,#30697,.F.); #23252=ORIENTED_EDGE('',*,*,#30695,.F.); #23253=ORIENTED_EDGE('',*,*,#30693,.F.); #23254=ORIENTED_EDGE('',*,*,#30692,.T.); #23255=ORIENTED_EDGE('',*,*,#30689,.F.); #23256=ORIENTED_EDGE('',*,*,#30687,.F.); #23257=ORIENTED_EDGE('',*,*,#30685,.F.); #23258=ORIENTED_EDGE('',*,*,#30684,.T.); #23259=ORIENTED_EDGE('',*,*,#30681,.F.); #23260=ORIENTED_EDGE('',*,*,#30679,.F.); #23261=ORIENTED_EDGE('',*,*,#30677,.F.); #23262=ORIENTED_EDGE('',*,*,#30676,.T.); #23263=ORIENTED_EDGE('',*,*,#30673,.F.); #23264=ORIENTED_EDGE('',*,*,#30671,.F.); #23265=ORIENTED_EDGE('',*,*,#30669,.F.); #23266=ORIENTED_EDGE('',*,*,#30668,.T.); #23267=ORIENTED_EDGE('',*,*,#30665,.F.); #23268=ORIENTED_EDGE('',*,*,#30663,.F.); #23269=ORIENTED_EDGE('',*,*,#30661,.F.); #23270=ORIENTED_EDGE('',*,*,#30660,.T.); #23271=ORIENTED_EDGE('',*,*,#30657,.F.); #23272=ORIENTED_EDGE('',*,*,#30655,.F.); #23273=ORIENTED_EDGE('',*,*,#30653,.F.); #23274=ORIENTED_EDGE('',*,*,#30652,.T.); #23275=ORIENTED_EDGE('',*,*,#30969,.T.); #23276=ORIENTED_EDGE('',*,*,#31003,.F.); #23277=ORIENTED_EDGE('',*,*,#30972,.F.); #23278=ORIENTED_EDGE('',*,*,#30793,.F.); #23279=ORIENTED_EDGE('',*,*,#31002,.F.); #23280=ORIENTED_EDGE('',*,*,#31004,.T.); #23281=ORIENTED_EDGE('',*,*,#31005,.F.); #23282=ORIENTED_EDGE('',*,*,#30876,.T.); #23283=ORIENTED_EDGE('',*,*,#30790,.F.); #23284=ORIENTED_EDGE('',*,*,#30956,.T.); #23285=ORIENTED_EDGE('',*,*,#30954,.T.); #23286=ORIENTED_EDGE('',*,*,#30952,.T.); #23287=ORIENTED_EDGE('',*,*,#30951,.T.); #23288=ORIENTED_EDGE('',*,*,#30950,.T.); #23289=ORIENTED_EDGE('',*,*,#30948,.T.); #23290=ORIENTED_EDGE('',*,*,#30946,.T.); #23291=ORIENTED_EDGE('',*,*,#30944,.T.); #23292=ORIENTED_EDGE('',*,*,#30942,.T.); #23293=ORIENTED_EDGE('',*,*,#30941,.T.); #23294=ORIENTED_EDGE('',*,*,#30940,.T.); #23295=ORIENTED_EDGE('',*,*,#30938,.T.); #23296=ORIENTED_EDGE('',*,*,#30936,.T.); #23297=ORIENTED_EDGE('',*,*,#30934,.T.); #23298=ORIENTED_EDGE('',*,*,#30932,.T.); #23299=ORIENTED_EDGE('',*,*,#30931,.T.); #23300=ORIENTED_EDGE('',*,*,#30930,.T.); #23301=ORIENTED_EDGE('',*,*,#30928,.T.); #23302=ORIENTED_EDGE('',*,*,#30926,.T.); #23303=ORIENTED_EDGE('',*,*,#30924,.T.); #23304=ORIENTED_EDGE('',*,*,#30922,.T.); #23305=ORIENTED_EDGE('',*,*,#30921,.T.); #23306=ORIENTED_EDGE('',*,*,#30920,.T.); #23307=ORIENTED_EDGE('',*,*,#30918,.T.); #23308=ORIENTED_EDGE('',*,*,#30916,.T.); #23309=ORIENTED_EDGE('',*,*,#30914,.T.); #23310=ORIENTED_EDGE('',*,*,#30912,.T.); #23311=ORIENTED_EDGE('',*,*,#30911,.T.); #23312=ORIENTED_EDGE('',*,*,#30910,.T.); #23313=ORIENTED_EDGE('',*,*,#30908,.T.); #23314=ORIENTED_EDGE('',*,*,#30906,.T.); #23315=ORIENTED_EDGE('',*,*,#30904,.T.); #23316=ORIENTED_EDGE('',*,*,#30902,.T.); #23317=ORIENTED_EDGE('',*,*,#30901,.T.); #23318=ORIENTED_EDGE('',*,*,#30900,.T.); #23319=ORIENTED_EDGE('',*,*,#30898,.T.); #23320=ORIENTED_EDGE('',*,*,#30896,.T.); #23321=ORIENTED_EDGE('',*,*,#30894,.T.); #23322=ORIENTED_EDGE('',*,*,#30892,.T.); #23323=ORIENTED_EDGE('',*,*,#30891,.T.); #23324=ORIENTED_EDGE('',*,*,#30890,.T.); #23325=ORIENTED_EDGE('',*,*,#30888,.T.); #23326=ORIENTED_EDGE('',*,*,#30886,.T.); #23327=ORIENTED_EDGE('',*,*,#30884,.T.); #23328=ORIENTED_EDGE('',*,*,#30882,.T.); #23329=ORIENTED_EDGE('',*,*,#30881,.T.); #23330=ORIENTED_EDGE('',*,*,#30880,.T.); #23331=ORIENTED_EDGE('',*,*,#30878,.T.); #23332=ORIENTED_EDGE('',*,*,#30877,.T.); #23333=ORIENTED_EDGE('',*,*,#31005,.T.); #23334=ORIENTED_EDGE('',*,*,#31006,.T.); #23335=ORIENTED_EDGE('',*,*,#30967,.F.); #23336=ORIENTED_EDGE('',*,*,#30873,.T.); #23337=ORIENTED_EDGE('',*,*,#30871,.T.); #23338=ORIENTED_EDGE('',*,*,#30869,.T.); #23339=ORIENTED_EDGE('',*,*,#30868,.T.); #23340=ORIENTED_EDGE('',*,*,#30867,.T.); #23341=ORIENTED_EDGE('',*,*,#30865,.T.); #23342=ORIENTED_EDGE('',*,*,#30863,.T.); #23343=ORIENTED_EDGE('',*,*,#30861,.T.); #23344=ORIENTED_EDGE('',*,*,#30859,.T.); #23345=ORIENTED_EDGE('',*,*,#30858,.T.); #23346=ORIENTED_EDGE('',*,*,#30857,.T.); #23347=ORIENTED_EDGE('',*,*,#30855,.T.); #23348=ORIENTED_EDGE('',*,*,#30853,.T.); #23349=ORIENTED_EDGE('',*,*,#30851,.T.); #23350=ORIENTED_EDGE('',*,*,#30849,.T.); #23351=ORIENTED_EDGE('',*,*,#30848,.T.); #23352=ORIENTED_EDGE('',*,*,#30847,.T.); #23353=ORIENTED_EDGE('',*,*,#30845,.T.); #23354=ORIENTED_EDGE('',*,*,#30843,.T.); #23355=ORIENTED_EDGE('',*,*,#30841,.T.); #23356=ORIENTED_EDGE('',*,*,#30839,.T.); #23357=ORIENTED_EDGE('',*,*,#30838,.T.); #23358=ORIENTED_EDGE('',*,*,#30837,.T.); #23359=ORIENTED_EDGE('',*,*,#30835,.T.); #23360=ORIENTED_EDGE('',*,*,#30833,.T.); #23361=ORIENTED_EDGE('',*,*,#30831,.T.); #23362=ORIENTED_EDGE('',*,*,#30829,.T.); #23363=ORIENTED_EDGE('',*,*,#30828,.T.); #23364=ORIENTED_EDGE('',*,*,#30827,.T.); #23365=ORIENTED_EDGE('',*,*,#30825,.T.); #23366=ORIENTED_EDGE('',*,*,#30823,.T.); #23367=ORIENTED_EDGE('',*,*,#30821,.T.); #23368=ORIENTED_EDGE('',*,*,#30819,.T.); #23369=ORIENTED_EDGE('',*,*,#30818,.T.); #23370=ORIENTED_EDGE('',*,*,#30817,.T.); #23371=ORIENTED_EDGE('',*,*,#30815,.T.); #23372=ORIENTED_EDGE('',*,*,#30813,.T.); #23373=ORIENTED_EDGE('',*,*,#30811,.T.); #23374=ORIENTED_EDGE('',*,*,#30809,.T.); #23375=ORIENTED_EDGE('',*,*,#30808,.T.); #23376=ORIENTED_EDGE('',*,*,#30807,.T.); #23377=ORIENTED_EDGE('',*,*,#30805,.T.); #23378=ORIENTED_EDGE('',*,*,#30803,.T.); #23379=ORIENTED_EDGE('',*,*,#30801,.T.); #23380=ORIENTED_EDGE('',*,*,#30799,.T.); #23381=ORIENTED_EDGE('',*,*,#30798,.T.); #23382=ORIENTED_EDGE('',*,*,#30797,.T.); #23383=ORIENTED_EDGE('',*,*,#30795,.T.); #23384=ORIENTED_EDGE('',*,*,#31007,.T.); #23385=ORIENTED_EDGE('',*,*,#31008,.F.); #23386=ORIENTED_EDGE('',*,*,#31009,.F.); #23387=ORIENTED_EDGE('',*,*,#31010,.T.); #23388=ORIENTED_EDGE('',*,*,#31011,.T.); #23389=ORIENTED_EDGE('',*,*,#31010,.F.); #23390=ORIENTED_EDGE('',*,*,#31012,.F.); #23391=ORIENTED_EDGE('',*,*,#31013,.T.); #23392=ORIENTED_EDGE('',*,*,#31014,.T.); #23393=ORIENTED_EDGE('',*,*,#31013,.F.); #23394=ORIENTED_EDGE('',*,*,#31015,.F.); #23395=ORIENTED_EDGE('',*,*,#31016,.T.); #23396=ORIENTED_EDGE('',*,*,#31011,.F.); #23397=ORIENTED_EDGE('',*,*,#31014,.F.); #23398=ORIENTED_EDGE('',*,*,#31017,.F.); #23399=ORIENTED_EDGE('',*,*,#31018,.F.); #23400=ORIENTED_EDGE('',*,*,#31007,.F.); #23401=ORIENTED_EDGE('',*,*,#31006,.F.); #23402=ORIENTED_EDGE('',*,*,#31004,.F.); #23403=ORIENTED_EDGE('',*,*,#31001,.T.); #23404=ORIENTED_EDGE('',*,*,#30999,.T.); #23405=ORIENTED_EDGE('',*,*,#30998,.T.); #23406=ORIENTED_EDGE('',*,*,#30996,.T.); #23407=ORIENTED_EDGE('',*,*,#30993,.T.); #23408=ORIENTED_EDGE('',*,*,#30991,.T.); #23409=ORIENTED_EDGE('',*,*,#30989,.T.); #23410=ORIENTED_EDGE('',*,*,#30987,.T.); #23411=ORIENTED_EDGE('',*,*,#30985,.T.); #23412=ORIENTED_EDGE('',*,*,#30983,.T.); #23413=ORIENTED_EDGE('',*,*,#30981,.T.); #23414=ORIENTED_EDGE('',*,*,#30979,.T.); #23415=ORIENTED_EDGE('',*,*,#30977,.T.); #23416=ORIENTED_EDGE('',*,*,#30975,.T.); #23417=ORIENTED_EDGE('',*,*,#30974,.T.); #23418=ORIENTED_EDGE('',*,*,#30970,.T.); #23419=ORIENTED_EDGE('',*,*,#31003,.T.); #23420=ORIENTED_EDGE('',*,*,#30968,.F.); #23421=ORIENTED_EDGE('',*,*,#30966,.T.); #23422=ORIENTED_EDGE('',*,*,#30964,.T.); #23423=ORIENTED_EDGE('',*,*,#30962,.T.); #23424=ORIENTED_EDGE('',*,*,#30960,.T.); #23425=ORIENTED_EDGE('',*,*,#30959,.T.); #23426=ORIENTED_EDGE('',*,*,#31019,.F.); #23427=ORIENTED_EDGE('',*,*,#31020,.F.); #23428=ORIENTED_EDGE('',*,*,#31008,.T.); #23429=ORIENTED_EDGE('',*,*,#31018,.T.); #23430=ORIENTED_EDGE('',*,*,#31012,.T.); #23431=ORIENTED_EDGE('',*,*,#31009,.T.); #23432=ORIENTED_EDGE('',*,*,#31020,.T.); #23433=ORIENTED_EDGE('',*,*,#31021,.T.); #23434=ORIENTED_EDGE('',*,*,#31015,.T.); #23435=ORIENTED_EDGE('',*,*,#31021,.F.); #23436=ORIENTED_EDGE('',*,*,#31019,.T.); #23437=ORIENTED_EDGE('',*,*,#31017,.T.); #23438=ORIENTED_EDGE('',*,*,#31016,.F.); #23439=ORIENTED_EDGE('',*,*,#31022,.F.); #23440=ORIENTED_EDGE('',*,*,#31023,.F.); #23441=ORIENTED_EDGE('',*,*,#31024,.F.); #23442=ORIENTED_EDGE('',*,*,#31025,.F.); #23443=ORIENTED_EDGE('',*,*,#31026,.F.); #23444=ORIENTED_EDGE('',*,*,#31027,.F.); #23445=ORIENTED_EDGE('',*,*,#31028,.F.); #23446=ORIENTED_EDGE('',*,*,#31029,.F.); #23447=ORIENTED_EDGE('',*,*,#31030,.F.); #23448=ORIENTED_EDGE('',*,*,#31031,.F.); #23449=ORIENTED_EDGE('',*,*,#31032,.F.); #23450=ORIENTED_EDGE('',*,*,#31033,.F.); #23451=ORIENTED_EDGE('',*,*,#31034,.F.); #23452=ORIENTED_EDGE('',*,*,#31035,.F.); #23453=ORIENTED_EDGE('',*,*,#31036,.F.); #23454=ORIENTED_EDGE('',*,*,#31037,.F.); #23455=ORIENTED_EDGE('',*,*,#31038,.F.); #23456=ORIENTED_EDGE('',*,*,#31039,.T.); #23457=ORIENTED_EDGE('',*,*,#31040,.T.); #23458=ORIENTED_EDGE('',*,*,#31041,.T.); #23459=ORIENTED_EDGE('',*,*,#31042,.T.); #23460=ORIENTED_EDGE('',*,*,#31043,.T.); #23461=ORIENTED_EDGE('',*,*,#31044,.T.); #23462=ORIENTED_EDGE('',*,*,#31045,.T.); #23463=ORIENTED_EDGE('',*,*,#31046,.T.); #23464=ORIENTED_EDGE('',*,*,#31047,.T.); #23465=ORIENTED_EDGE('',*,*,#31048,.T.); #23466=ORIENTED_EDGE('',*,*,#31049,.T.); #23467=ORIENTED_EDGE('',*,*,#31050,.T.); #23468=ORIENTED_EDGE('',*,*,#31051,.T.); #23469=ORIENTED_EDGE('',*,*,#31052,.T.); #23470=ORIENTED_EDGE('',*,*,#31053,.T.); #23471=ORIENTED_EDGE('',*,*,#31054,.T.); #23472=ORIENTED_EDGE('',*,*,#31055,.T.); #23473=ORIENTED_EDGE('',*,*,#31056,.T.); #23474=ORIENTED_EDGE('',*,*,#31057,.T.); #23475=ORIENTED_EDGE('',*,*,#31058,.F.); #23476=ORIENTED_EDGE('',*,*,#31059,.F.); #23477=ORIENTED_EDGE('',*,*,#31060,.F.); #23478=ORIENTED_EDGE('',*,*,#31061,.F.); #23479=ORIENTED_EDGE('',*,*,#31062,.T.); #23480=ORIENTED_EDGE('',*,*,#31063,.T.); #23481=ORIENTED_EDGE('',*,*,#31064,.T.); #23482=ORIENTED_EDGE('',*,*,#31065,.T.); #23483=ORIENTED_EDGE('',*,*,#31066,.T.); #23484=ORIENTED_EDGE('',*,*,#31067,.T.); #23485=ORIENTED_EDGE('',*,*,#31068,.T.); #23486=ORIENTED_EDGE('',*,*,#31069,.T.); #23487=ORIENTED_EDGE('',*,*,#31070,.T.); #23488=ORIENTED_EDGE('',*,*,#31071,.T.); #23489=ORIENTED_EDGE('',*,*,#31072,.T.); #23490=ORIENTED_EDGE('',*,*,#31073,.T.); #23491=ORIENTED_EDGE('',*,*,#31074,.T.); #23492=ORIENTED_EDGE('',*,*,#31075,.T.); #23493=ORIENTED_EDGE('',*,*,#31076,.T.); #23494=ORIENTED_EDGE('',*,*,#31077,.T.); #23495=ORIENTED_EDGE('',*,*,#31078,.F.); #23496=ORIENTED_EDGE('',*,*,#31079,.T.); #23497=ORIENTED_EDGE('',*,*,#31080,.T.); #23498=ORIENTED_EDGE('',*,*,#31081,.F.); #23499=ORIENTED_EDGE('',*,*,#31082,.T.); #23500=ORIENTED_EDGE('',*,*,#31083,.F.); #23501=ORIENTED_EDGE('',*,*,#31084,.T.); #23502=ORIENTED_EDGE('',*,*,#31085,.T.); #23503=ORIENTED_EDGE('',*,*,#31086,.T.); #23504=ORIENTED_EDGE('',*,*,#31087,.F.); #23505=ORIENTED_EDGE('',*,*,#31088,.T.); #23506=ORIENTED_EDGE('',*,*,#31089,.F.); #23507=ORIENTED_EDGE('',*,*,#31090,.T.); #23508=ORIENTED_EDGE('',*,*,#31091,.T.); #23509=ORIENTED_EDGE('',*,*,#31092,.T.); #23510=ORIENTED_EDGE('',*,*,#31093,.F.); #23511=ORIENTED_EDGE('',*,*,#31094,.T.); #23512=ORIENTED_EDGE('',*,*,#31095,.T.); #23513=ORIENTED_EDGE('',*,*,#31096,.T.); #23514=ORIENTED_EDGE('',*,*,#31097,.T.); #23515=ORIENTED_EDGE('',*,*,#31098,.T.); #23516=ORIENTED_EDGE('',*,*,#31099,.T.); #23517=ORIENTED_EDGE('',*,*,#31100,.T.); #23518=ORIENTED_EDGE('',*,*,#31101,.T.); #23519=ORIENTED_EDGE('',*,*,#31102,.T.); #23520=ORIENTED_EDGE('',*,*,#31103,.T.); #23521=ORIENTED_EDGE('',*,*,#31104,.T.); #23522=ORIENTED_EDGE('',*,*,#31105,.T.); #23523=ORIENTED_EDGE('',*,*,#31106,.T.); #23524=ORIENTED_EDGE('',*,*,#31107,.T.); #23525=ORIENTED_EDGE('',*,*,#31108,.T.); #23526=ORIENTED_EDGE('',*,*,#31109,.T.); #23527=ORIENTED_EDGE('',*,*,#31110,.T.); #23528=ORIENTED_EDGE('',*,*,#31111,.T.); #23529=ORIENTED_EDGE('',*,*,#31112,.T.); #23530=ORIENTED_EDGE('',*,*,#31113,.T.); #23531=ORIENTED_EDGE('',*,*,#31114,.T.); #23532=ORIENTED_EDGE('',*,*,#31115,.T.); #23533=ORIENTED_EDGE('',*,*,#31116,.T.); #23534=ORIENTED_EDGE('',*,*,#31117,.T.); #23535=ORIENTED_EDGE('',*,*,#31118,.T.); #23536=ORIENTED_EDGE('',*,*,#31119,.T.); #23537=ORIENTED_EDGE('',*,*,#31120,.T.); #23538=ORIENTED_EDGE('',*,*,#31121,.T.); #23539=ORIENTED_EDGE('',*,*,#31122,.T.); #23540=ORIENTED_EDGE('',*,*,#31123,.T.); #23541=ORIENTED_EDGE('',*,*,#31124,.T.); #23542=ORIENTED_EDGE('',*,*,#31125,.T.); #23543=ORIENTED_EDGE('',*,*,#31126,.T.); #23544=ORIENTED_EDGE('',*,*,#31127,.T.); #23545=ORIENTED_EDGE('',*,*,#31128,.T.); #23546=ORIENTED_EDGE('',*,*,#31129,.T.); #23547=ORIENTED_EDGE('',*,*,#31130,.T.); #23548=ORIENTED_EDGE('',*,*,#31131,.F.); #23549=ORIENTED_EDGE('',*,*,#31132,.F.); #23550=ORIENTED_EDGE('',*,*,#31133,.F.); #23551=ORIENTED_EDGE('',*,*,#31134,.F.); #23552=ORIENTED_EDGE('',*,*,#31135,.F.); #23553=ORIENTED_EDGE('',*,*,#31136,.F.); #23554=ORIENTED_EDGE('',*,*,#31137,.F.); #23555=ORIENTED_EDGE('',*,*,#31138,.F.); #23556=ORIENTED_EDGE('',*,*,#31139,.F.); #23557=ORIENTED_EDGE('',*,*,#31140,.F.); #23558=ORIENTED_EDGE('',*,*,#31141,.F.); #23559=ORIENTED_EDGE('',*,*,#31142,.F.); #23560=ORIENTED_EDGE('',*,*,#31143,.F.); #23561=ORIENTED_EDGE('',*,*,#31144,.F.); #23562=ORIENTED_EDGE('',*,*,#31145,.F.); #23563=ORIENTED_EDGE('',*,*,#31146,.F.); #23564=ORIENTED_EDGE('',*,*,#31147,.F.); #23565=ORIENTED_EDGE('',*,*,#31148,.F.); #23566=ORIENTED_EDGE('',*,*,#31149,.F.); #23567=ORIENTED_EDGE('',*,*,#31150,.F.); #23568=ORIENTED_EDGE('',*,*,#31151,.F.); #23569=ORIENTED_EDGE('',*,*,#31152,.F.); #23570=ORIENTED_EDGE('',*,*,#31153,.F.); #23571=ORIENTED_EDGE('',*,*,#31154,.F.); #23572=ORIENTED_EDGE('',*,*,#31155,.F.); #23573=ORIENTED_EDGE('',*,*,#31156,.F.); #23574=ORIENTED_EDGE('',*,*,#31157,.F.); #23575=ORIENTED_EDGE('',*,*,#31158,.F.); #23576=ORIENTED_EDGE('',*,*,#31159,.F.); #23577=ORIENTED_EDGE('',*,*,#31160,.F.); #23578=ORIENTED_EDGE('',*,*,#31161,.F.); #23579=ORIENTED_EDGE('',*,*,#31162,.F.); #23580=ORIENTED_EDGE('',*,*,#31163,.F.); #23581=ORIENTED_EDGE('',*,*,#31164,.F.); #23582=ORIENTED_EDGE('',*,*,#31165,.F.); #23583=ORIENTED_EDGE('',*,*,#31166,.F.); #23584=ORIENTED_EDGE('',*,*,#31167,.F.); #23585=ORIENTED_EDGE('',*,*,#31168,.F.); #23586=ORIENTED_EDGE('',*,*,#31169,.F.); #23587=ORIENTED_EDGE('',*,*,#31170,.F.); #23588=ORIENTED_EDGE('',*,*,#31171,.F.); #23589=ORIENTED_EDGE('',*,*,#31172,.F.); #23590=ORIENTED_EDGE('',*,*,#31173,.F.); #23591=ORIENTED_EDGE('',*,*,#31174,.F.); #23592=ORIENTED_EDGE('',*,*,#31175,.F.); #23593=ORIENTED_EDGE('',*,*,#31176,.F.); #23594=ORIENTED_EDGE('',*,*,#31177,.F.); #23595=ORIENTED_EDGE('',*,*,#31178,.F.); #23596=ORIENTED_EDGE('',*,*,#31179,.F.); #23597=ORIENTED_EDGE('',*,*,#31180,.F.); #23598=ORIENTED_EDGE('',*,*,#31181,.F.); #23599=ORIENTED_EDGE('',*,*,#31182,.F.); #23600=ORIENTED_EDGE('',*,*,#31183,.F.); #23601=ORIENTED_EDGE('',*,*,#31184,.F.); #23602=ORIENTED_EDGE('',*,*,#31185,.F.); #23603=ORIENTED_EDGE('',*,*,#31186,.F.); #23604=ORIENTED_EDGE('',*,*,#31187,.F.); #23605=ORIENTED_EDGE('',*,*,#31188,.F.); #23606=ORIENTED_EDGE('',*,*,#31189,.F.); #23607=ORIENTED_EDGE('',*,*,#31190,.F.); #23608=ORIENTED_EDGE('',*,*,#31191,.F.); #23609=ORIENTED_EDGE('',*,*,#31192,.F.); #23610=ORIENTED_EDGE('',*,*,#31193,.F.); #23611=ORIENTED_EDGE('',*,*,#31194,.F.); #23612=ORIENTED_EDGE('',*,*,#31195,.F.); #23613=ORIENTED_EDGE('',*,*,#31196,.F.); #23614=ORIENTED_EDGE('',*,*,#31197,.F.); #23615=ORIENTED_EDGE('',*,*,#31198,.F.); #23616=ORIENTED_EDGE('',*,*,#31199,.T.); #23617=ORIENTED_EDGE('',*,*,#31200,.T.); #23618=ORIENTED_EDGE('',*,*,#31201,.T.); #23619=ORIENTED_EDGE('',*,*,#31202,.T.); #23620=ORIENTED_EDGE('',*,*,#31203,.F.); #23621=ORIENTED_EDGE('',*,*,#31078,.T.); #23622=ORIENTED_EDGE('',*,*,#31204,.T.); #23623=ORIENTED_EDGE('',*,*,#31205,.F.); #23624=ORIENTED_EDGE('',*,*,#31204,.F.); #23625=ORIENTED_EDGE('',*,*,#31093,.T.); #23626=ORIENTED_EDGE('',*,*,#31206,.T.); #23627=ORIENTED_EDGE('',*,*,#31207,.T.); #23628=ORIENTED_EDGE('',*,*,#31208,.T.); #23629=ORIENTED_EDGE('',*,*,#31209,.T.); #23630=ORIENTED_EDGE('',*,*,#31210,.F.); #23631=ORIENTED_EDGE('',*,*,#31211,.T.); #23632=ORIENTED_EDGE('',*,*,#31212,.T.); #23633=ORIENTED_EDGE('',*,*,#31213,.T.); #23634=ORIENTED_EDGE('',*,*,#31214,.T.); #23635=ORIENTED_EDGE('',*,*,#31215,.T.); #23636=ORIENTED_EDGE('',*,*,#31216,.F.); #23637=ORIENTED_EDGE('',*,*,#31217,.T.); #23638=ORIENTED_EDGE('',*,*,#31218,.F.); #23639=ORIENTED_EDGE('',*,*,#31219,.T.); #23640=ORIENTED_EDGE('',*,*,#31220,.T.); #23641=ORIENTED_EDGE('',*,*,#31221,.T.); #23642=ORIENTED_EDGE('',*,*,#31222,.F.); #23643=ORIENTED_EDGE('',*,*,#31223,.T.); #23644=ORIENTED_EDGE('',*,*,#31224,.F.); #23645=ORIENTED_EDGE('',*,*,#31225,.T.); #23646=ORIENTED_EDGE('',*,*,#31087,.T.); #23647=ORIENTED_EDGE('',*,*,#31226,.T.); #23648=ORIENTED_EDGE('',*,*,#31227,.T.); #23649=ORIENTED_EDGE('',*,*,#31228,.T.); #23650=ORIENTED_EDGE('',*,*,#31229,.F.); #23651=ORIENTED_EDGE('',*,*,#31230,.F.); #23652=ORIENTED_EDGE('',*,*,#31222,.T.); #23653=ORIENTED_EDGE('',*,*,#31231,.T.); #23654=ORIENTED_EDGE('',*,*,#31232,.T.); #23655=ORIENTED_EDGE('',*,*,#31233,.T.); #23656=ORIENTED_EDGE('',*,*,#31083,.T.); #23657=ORIENTED_EDGE('',*,*,#31234,.T.); #23658=ORIENTED_EDGE('',*,*,#31235,.F.); #23659=ORIENTED_EDGE('',*,*,#31236,.T.); #23660=ORIENTED_EDGE('',*,*,#31237,.T.); #23661=ORIENTED_EDGE('',*,*,#31238,.T.); #23662=ORIENTED_EDGE('',*,*,#31218,.T.); #23663=ORIENTED_EDGE('',*,*,#31239,.T.); #23664=ORIENTED_EDGE('',*,*,#31240,.T.); #23665=ORIENTED_EDGE('',*,*,#31241,.T.); #23666=ORIENTED_EDGE('',*,*,#31242,.T.); #23667=ORIENTED_EDGE('',*,*,#31243,.T.); #23668=ORIENTED_EDGE('',*,*,#31244,.F.); #23669=ORIENTED_EDGE('',*,*,#31216,.T.); #23670=ORIENTED_EDGE('',*,*,#31245,.T.); #23671=ORIENTED_EDGE('',*,*,#31246,.F.); #23672=ORIENTED_EDGE('',*,*,#31089,.T.); #23673=ORIENTED_EDGE('',*,*,#31247,.T.); #23674=ORIENTED_EDGE('',*,*,#31248,.T.); #23675=ORIENTED_EDGE('',*,*,#31249,.T.); #23676=ORIENTED_EDGE('',*,*,#31250,.T.); #23677=ORIENTED_EDGE('',*,*,#31251,.T.); #23678=ORIENTED_EDGE('',*,*,#31252,.T.); #23679=ORIENTED_EDGE('',*,*,#31253,.T.); #23680=ORIENTED_EDGE('',*,*,#31254,.F.); #23681=ORIENTED_EDGE('',*,*,#31255,.T.); #23682=ORIENTED_EDGE('',*,*,#31256,.T.); #23683=ORIENTED_EDGE('',*,*,#31257,.T.); #23684=ORIENTED_EDGE('',*,*,#31258,.F.); #23685=ORIENTED_EDGE('',*,*,#31259,.T.); #23686=ORIENTED_EDGE('',*,*,#31252,.F.); #23687=ORIENTED_EDGE('',*,*,#31260,.T.); #23688=ORIENTED_EDGE('',*,*,#31261,.T.); #23689=ORIENTED_EDGE('',*,*,#31262,.T.); #23690=ORIENTED_EDGE('',*,*,#31211,.F.); #23691=ORIENTED_EDGE('',*,*,#31263,.T.); #23692=ORIENTED_EDGE('',*,*,#31264,.T.); #23693=ORIENTED_EDGE('',*,*,#31265,.T.); #23694=ORIENTED_EDGE('',*,*,#31266,.T.); #23695=ORIENTED_EDGE('',*,*,#31081,.T.); #23696=ORIENTED_EDGE('',*,*,#31267,.F.); #23697=ORIENTED_EDGE('',*,*,#31258,.T.); #23698=ORIENTED_EDGE('',*,*,#31268,.T.); #23699=ORIENTED_EDGE('',*,*,#31269,.F.); #23700=ORIENTED_EDGE('',*,*,#31269,.T.); #23701=ORIENTED_EDGE('',*,*,#31270,.T.); #23702=ORIENTED_EDGE('',*,*,#31209,.F.); #23703=ORIENTED_EDGE('',*,*,#31271,.T.); #23704=ORIENTED_EDGE('',*,*,#31272,.T.); #23705=ORIENTED_EDGE('',*,*,#31273,.T.); #23706=ORIENTED_EDGE('',*,*,#31254,.T.); #23707=ORIENTED_EDGE('',*,*,#31274,.T.); #23708=ORIENTED_EDGE('',*,*,#31246,.T.); #23709=ORIENTED_EDGE('',*,*,#31275,.T.); #23710=ORIENTED_EDGE('',*,*,#31229,.T.); #23711=ORIENTED_EDGE('',*,*,#31276,.T.); #23712=ORIENTED_EDGE('',*,*,#31277,.T.); #23713=ORIENTED_EDGE('',*,*,#31278,.T.); #23714=ORIENTED_EDGE('',*,*,#31240,.F.); #23715=ORIENTED_EDGE('',*,*,#31279,.T.); #23716=ORIENTED_EDGE('',*,*,#31280,.T.); #23717=ORIENTED_EDGE('',*,*,#31281,.F.); #23718=ORIENTED_EDGE('',*,*,#31282,.T.); #23719=ORIENTED_EDGE('',*,*,#31205,.T.); #23720=ORIENTED_EDGE('',*,*,#31215,.F.); #23721=ORIENTED_EDGE('',*,*,#31283,.T.); #23722=ORIENTED_EDGE('',*,*,#31284,.T.); #23723=ORIENTED_EDGE('',*,*,#31285,.T.); #23724=ORIENTED_EDGE('',*,*,#31248,.F.); #23725=ORIENTED_EDGE('',*,*,#31286,.T.); #23726=ORIENTED_EDGE('',*,*,#31224,.T.); #23727=ORIENTED_EDGE('',*,*,#31287,.T.); #23728=ORIENTED_EDGE('',*,*,#31288,.T.); #23729=ORIENTED_EDGE('',*,*,#31289,.T.); #23730=ORIENTED_EDGE('',*,*,#31235,.T.); #23731=ORIENTED_EDGE('',*,*,#31290,.T.); #23732=ORIENTED_EDGE('',*,*,#31265,.F.); #23733=ORIENTED_EDGE('',*,*,#31291,.F.); #23734=ORIENTED_EDGE('',*,*,#31292,.F.); #23735=ORIENTED_EDGE('',*,*,#31207,.F.); #23736=ORIENTED_EDGE('',*,*,#31293,.T.); #23737=ORIENTED_EDGE('',*,*,#31294,.F.); #23738=ORIENTED_EDGE('',*,*,#31295,.T.); #23739=ORIENTED_EDGE('',*,*,#31294,.T.); #23740=ORIENTED_EDGE('',*,*,#31296,.T.); #23741=ORIENTED_EDGE('',*,*,#31297,.F.); #23742=ORIENTED_EDGE('',*,*,#31298,.T.); #23743=ORIENTED_EDGE('',*,*,#31297,.T.); #23744=ORIENTED_EDGE('',*,*,#31299,.T.); #23745=ORIENTED_EDGE('',*,*,#31256,.F.); #23746=ORIENTED_EDGE('',*,*,#31300,.T.); #23747=ORIENTED_EDGE('',*,*,#31301,.F.); #23748=ORIENTED_EDGE('',*,*,#31302,.T.); #23749=ORIENTED_EDGE('',*,*,#31242,.F.); #23750=ORIENTED_EDGE('',*,*,#31303,.T.); #23751=ORIENTED_EDGE('',*,*,#31304,.F.); #23752=ORIENTED_EDGE('',*,*,#31305,.T.); #23753=ORIENTED_EDGE('',*,*,#31301,.T.); #23754=ORIENTED_EDGE('',*,*,#31306,.T.); #23755=ORIENTED_EDGE('',*,*,#31227,.F.); #23756=ORIENTED_EDGE('',*,*,#31307,.T.); #23757=ORIENTED_EDGE('',*,*,#31304,.T.); #23758=ORIENTED_EDGE('',*,*,#31308,.T.); #23759=ORIENTED_EDGE('',*,*,#31232,.F.); #23760=ORIENTED_EDGE('',*,*,#31309,.T.); #23761=ORIENTED_EDGE('',*,*,#31310,.F.); #23762=ORIENTED_EDGE('',*,*,#31311,.T.); #23763=ORIENTED_EDGE('',*,*,#31310,.T.); #23764=ORIENTED_EDGE('',*,*,#31312,.T.); #23765=ORIENTED_EDGE('',*,*,#31313,.F.); #23766=ORIENTED_EDGE('',*,*,#31314,.T.); #23767=ORIENTED_EDGE('',*,*,#31313,.T.); #23768=ORIENTED_EDGE('',*,*,#31315,.T.); #23769=ORIENTED_EDGE('',*,*,#31237,.F.); #23770=ORIENTED_EDGE('',*,*,#31316,.T.); #23771=ORIENTED_EDGE('',*,*,#31317,.F.); #23772=ORIENTED_EDGE('',*,*,#31318,.T.); #23773=ORIENTED_EDGE('',*,*,#31250,.F.); #23774=ORIENTED_EDGE('',*,*,#31319,.T.); #23775=ORIENTED_EDGE('',*,*,#31320,.F.); #23776=ORIENTED_EDGE('',*,*,#31321,.T.); #23777=ORIENTED_EDGE('',*,*,#31317,.T.); #23778=ORIENTED_EDGE('',*,*,#31322,.T.); #23779=ORIENTED_EDGE('',*,*,#31213,.F.); #23780=ORIENTED_EDGE('',*,*,#31323,.T.); #23781=ORIENTED_EDGE('',*,*,#31320,.T.); #23782=ORIENTED_EDGE('',*,*,#31324,.T.); #23783=ORIENTED_EDGE('',*,*,#31255,.F.); #23784=ORIENTED_EDGE('',*,*,#31273,.F.); #23785=ORIENTED_EDGE('',*,*,#31325,.F.); #23786=ORIENTED_EDGE('',*,*,#31300,.F.); #23787=ORIENTED_EDGE('',*,*,#31325,.T.); #23788=ORIENTED_EDGE('',*,*,#31272,.F.); #23789=ORIENTED_EDGE('',*,*,#31326,.F.); #23790=ORIENTED_EDGE('',*,*,#31298,.F.); #23791=ORIENTED_EDGE('',*,*,#31326,.T.); #23792=ORIENTED_EDGE('',*,*,#31271,.F.); #23793=ORIENTED_EDGE('',*,*,#31208,.F.); #23794=ORIENTED_EDGE('',*,*,#31295,.F.); #23795=ORIENTED_EDGE('',*,*,#31249,.F.); #23796=ORIENTED_EDGE('',*,*,#31285,.F.); #23797=ORIENTED_EDGE('',*,*,#31327,.F.); #23798=ORIENTED_EDGE('',*,*,#31319,.F.); #23799=ORIENTED_EDGE('',*,*,#31327,.T.); #23800=ORIENTED_EDGE('',*,*,#31284,.F.); #23801=ORIENTED_EDGE('',*,*,#31328,.F.); #23802=ORIENTED_EDGE('',*,*,#31322,.F.); #23803=ORIENTED_EDGE('',*,*,#31214,.F.); #23804=ORIENTED_EDGE('',*,*,#31324,.F.); #23805=ORIENTED_EDGE('',*,*,#31328,.T.); #23806=ORIENTED_EDGE('',*,*,#31283,.F.); #23807=ORIENTED_EDGE('',*,*,#31236,.F.); #23808=ORIENTED_EDGE('',*,*,#31289,.F.); #23809=ORIENTED_EDGE('',*,*,#31329,.F.); #23810=ORIENTED_EDGE('',*,*,#31316,.F.); #23811=ORIENTED_EDGE('',*,*,#31329,.T.); #23812=ORIENTED_EDGE('',*,*,#31288,.F.); #23813=ORIENTED_EDGE('',*,*,#31330,.F.); #23814=ORIENTED_EDGE('',*,*,#31314,.F.); #23815=ORIENTED_EDGE('',*,*,#31233,.F.); #23816=ORIENTED_EDGE('',*,*,#31311,.F.); #23817=ORIENTED_EDGE('',*,*,#31330,.T.); #23818=ORIENTED_EDGE('',*,*,#31287,.F.); #23819=ORIENTED_EDGE('',*,*,#31241,.F.); #23820=ORIENTED_EDGE('',*,*,#31278,.F.); #23821=ORIENTED_EDGE('',*,*,#31331,.F.); #23822=ORIENTED_EDGE('',*,*,#31303,.F.); #23823=ORIENTED_EDGE('',*,*,#31331,.T.); #23824=ORIENTED_EDGE('',*,*,#31277,.F.); #23825=ORIENTED_EDGE('',*,*,#31332,.F.); #23826=ORIENTED_EDGE('',*,*,#31306,.F.); #23827=ORIENTED_EDGE('',*,*,#31228,.F.); #23828=ORIENTED_EDGE('',*,*,#31308,.F.); #23829=ORIENTED_EDGE('',*,*,#31332,.T.); #23830=ORIENTED_EDGE('',*,*,#31276,.F.); #23831=ORIENTED_EDGE('',*,*,#31231,.F.); #23832=ORIENTED_EDGE('',*,*,#31221,.F.); #23833=ORIENTED_EDGE('',*,*,#31333,.F.); #23834=ORIENTED_EDGE('',*,*,#31309,.F.); #23835=ORIENTED_EDGE('',*,*,#31333,.T.); #23836=ORIENTED_EDGE('',*,*,#31220,.F.); #23837=ORIENTED_EDGE('',*,*,#31334,.F.); #23838=ORIENTED_EDGE('',*,*,#31312,.F.); #23839=ORIENTED_EDGE('',*,*,#31334,.T.); #23840=ORIENTED_EDGE('',*,*,#31219,.F.); #23841=ORIENTED_EDGE('',*,*,#31238,.F.); #23842=ORIENTED_EDGE('',*,*,#31315,.F.); #23843=ORIENTED_EDGE('',*,*,#31212,.F.); #23844=ORIENTED_EDGE('',*,*,#31262,.F.); #23845=ORIENTED_EDGE('',*,*,#31335,.F.); #23846=ORIENTED_EDGE('',*,*,#31323,.F.); #23847=ORIENTED_EDGE('',*,*,#31335,.T.); #23848=ORIENTED_EDGE('',*,*,#31261,.F.); #23849=ORIENTED_EDGE('',*,*,#31336,.F.); #23850=ORIENTED_EDGE('',*,*,#31321,.F.); #23851=ORIENTED_EDGE('',*,*,#31251,.F.); #23852=ORIENTED_EDGE('',*,*,#31318,.F.); #23853=ORIENTED_EDGE('',*,*,#31336,.T.); #23854=ORIENTED_EDGE('',*,*,#31260,.F.); #23855=ORIENTED_EDGE('',*,*,#31226,.F.); #23856=ORIENTED_EDGE('',*,*,#31086,.F.); #23857=ORIENTED_EDGE('',*,*,#31337,.F.); #23858=ORIENTED_EDGE('',*,*,#31307,.F.); #23859=ORIENTED_EDGE('',*,*,#31337,.T.); #23860=ORIENTED_EDGE('',*,*,#31085,.F.); #23861=ORIENTED_EDGE('',*,*,#31338,.F.); #23862=ORIENTED_EDGE('',*,*,#31305,.F.); #23863=ORIENTED_EDGE('',*,*,#31243,.F.); #23864=ORIENTED_EDGE('',*,*,#31302,.F.); #23865=ORIENTED_EDGE('',*,*,#31338,.T.); #23866=ORIENTED_EDGE('',*,*,#31084,.F.); #23867=ORIENTED_EDGE('',*,*,#31257,.F.); #23868=ORIENTED_EDGE('',*,*,#31299,.F.); #23869=ORIENTED_EDGE('',*,*,#31339,.F.); #23870=ORIENTED_EDGE('',*,*,#31090,.F.); #23871=ORIENTED_EDGE('',*,*,#31339,.T.); #23872=ORIENTED_EDGE('',*,*,#31296,.F.); #23873=ORIENTED_EDGE('',*,*,#31340,.F.); #23874=ORIENTED_EDGE('',*,*,#31091,.F.); #23875=ORIENTED_EDGE('',*,*,#31340,.T.); #23876=ORIENTED_EDGE('',*,*,#31293,.F.); #23877=ORIENTED_EDGE('',*,*,#31206,.F.); #23878=ORIENTED_EDGE('',*,*,#31092,.F.); #23879=ORIENTED_EDGE('',*,*,#31210,.T.); #23880=ORIENTED_EDGE('',*,*,#31270,.F.); #23881=ORIENTED_EDGE('',*,*,#31268,.F.); #23882=ORIENTED_EDGE('',*,*,#31263,.F.); #23883=ORIENTED_EDGE('',*,*,#31267,.T.); #23884=ORIENTED_EDGE('',*,*,#31274,.F.); #23885=ORIENTED_EDGE('',*,*,#31253,.F.); #23886=ORIENTED_EDGE('',*,*,#31259,.F.); #23887=ORIENTED_EDGE('',*,*,#31230,.T.); #23888=ORIENTED_EDGE('',*,*,#31275,.F.); #23889=ORIENTED_EDGE('',*,*,#31245,.F.); #23890=ORIENTED_EDGE('',*,*,#31223,.F.); #23891=ORIENTED_EDGE('',*,*,#31244,.T.); #23892=ORIENTED_EDGE('',*,*,#31279,.F.); #23893=ORIENTED_EDGE('',*,*,#31239,.F.); #23894=ORIENTED_EDGE('',*,*,#31217,.F.); #23895=ORIENTED_EDGE('',*,*,#31290,.F.); #23896=ORIENTED_EDGE('',*,*,#31234,.F.); #23897=ORIENTED_EDGE('',*,*,#31082,.F.); #23898=ORIENTED_EDGE('',*,*,#31266,.F.); #23899=ORIENTED_EDGE('',*,*,#31286,.F.); #23900=ORIENTED_EDGE('',*,*,#31247,.F.); #23901=ORIENTED_EDGE('',*,*,#31088,.F.); #23902=ORIENTED_EDGE('',*,*,#31225,.F.); #23903=ORIENTED_EDGE('',*,*,#31080,.F.); #23904=ORIENTED_EDGE('',*,*,#31341,.T.); #23905=ORIENTED_EDGE('',*,*,#31291,.T.); #23906=ORIENTED_EDGE('',*,*,#31264,.F.); #23907=ORIENTED_EDGE('',*,*,#31342,.T.); #23908=ORIENTED_EDGE('',*,*,#31292,.T.); #23909=ORIENTED_EDGE('',*,*,#31343,.T.); #23910=ORIENTED_EDGE('',*,*,#31344,.T.); #23911=ORIENTED_EDGE('',*,*,#31345,.T.); #23912=ORIENTED_EDGE('',*,*,#31346,.T.); #23913=ORIENTED_EDGE('',*,*,#31282,.F.); #23914=ORIENTED_EDGE('',*,*,#31347,.F.); #23915=ORIENTED_EDGE('',*,*,#31348,.F.); #23916=ORIENTED_EDGE('',*,*,#31349,.F.); #23917=ORIENTED_EDGE('',*,*,#31350,.F.); #23918=ORIENTED_EDGE('',*,*,#31351,.F.); #23919=ORIENTED_EDGE('',*,*,#31350,.T.); #23920=ORIENTED_EDGE('',*,*,#31352,.F.); #23921=ORIENTED_EDGE('',*,*,#31344,.F.); #23922=ORIENTED_EDGE('',*,*,#31353,.T.); #23923=ORIENTED_EDGE('',*,*,#31349,.T.); #23924=ORIENTED_EDGE('',*,*,#31354,.F.); #23925=ORIENTED_EDGE('',*,*,#31345,.F.); #23926=ORIENTED_EDGE('',*,*,#31352,.T.); #23927=ORIENTED_EDGE('',*,*,#31348,.T.); #23928=ORIENTED_EDGE('',*,*,#31355,.F.); #23929=ORIENTED_EDGE('',*,*,#31346,.F.); #23930=ORIENTED_EDGE('',*,*,#31354,.T.); #23931=ORIENTED_EDGE('',*,*,#31347,.T.); #23932=ORIENTED_EDGE('',*,*,#31281,.T.); #23933=ORIENTED_EDGE('',*,*,#31342,.F.); #23934=ORIENTED_EDGE('',*,*,#31355,.T.); #23935=ORIENTED_EDGE('',*,*,#31203,.T.); #23936=ORIENTED_EDGE('',*,*,#31351,.T.); #23937=ORIENTED_EDGE('',*,*,#31353,.F.); #23938=ORIENTED_EDGE('',*,*,#31343,.F.); #23939=ORIENTED_EDGE('',*,*,#31341,.F.); #23940=ORIENTED_EDGE('',*,*,#31079,.F.); #23941=ORIENTED_EDGE('',*,*,#31094,.F.); #23942=ORIENTED_EDGE('',*,*,#31280,.F.); #23943=ORIENTED_EDGE('',*,*,#31096,.F.); #23944=ORIENTED_EDGE('',*,*,#31356,.F.); #23945=ORIENTED_EDGE('',*,*,#31357,.T.); #23946=ORIENTED_EDGE('',*,*,#31358,.T.); #23947=ORIENTED_EDGE('',*,*,#31097,.F.); #23948=ORIENTED_EDGE('',*,*,#31358,.F.); #23949=ORIENTED_EDGE('',*,*,#31359,.T.); #23950=ORIENTED_EDGE('',*,*,#31360,.T.); #23951=ORIENTED_EDGE('',*,*,#31098,.F.); #23952=ORIENTED_EDGE('',*,*,#31360,.F.); #23953=ORIENTED_EDGE('',*,*,#31361,.T.); #23954=ORIENTED_EDGE('',*,*,#31362,.T.); #23955=ORIENTED_EDGE('',*,*,#31095,.F.); #23956=ORIENTED_EDGE('',*,*,#31362,.F.); #23957=ORIENTED_EDGE('',*,*,#31363,.T.); #23958=ORIENTED_EDGE('',*,*,#31356,.T.); #23959=ORIENTED_EDGE('',*,*,#31357,.F.); #23960=ORIENTED_EDGE('',*,*,#31363,.F.); #23961=ORIENTED_EDGE('',*,*,#31361,.F.); #23962=ORIENTED_EDGE('',*,*,#31359,.F.); #23963=ORIENTED_EDGE('',*,*,#31075,.F.); #23964=ORIENTED_EDGE('',*,*,#31364,.F.); #23965=ORIENTED_EDGE('',*,*,#31365,.T.); #23966=ORIENTED_EDGE('',*,*,#31366,.T.); #23967=ORIENTED_EDGE('',*,*,#31076,.F.); #23968=ORIENTED_EDGE('',*,*,#31366,.F.); #23969=ORIENTED_EDGE('',*,*,#31367,.T.); #23970=ORIENTED_EDGE('',*,*,#31368,.T.); #23971=ORIENTED_EDGE('',*,*,#31077,.F.); #23972=ORIENTED_EDGE('',*,*,#31368,.F.); #23973=ORIENTED_EDGE('',*,*,#31369,.T.); #23974=ORIENTED_EDGE('',*,*,#31370,.T.); #23975=ORIENTED_EDGE('',*,*,#31074,.F.); #23976=ORIENTED_EDGE('',*,*,#31370,.F.); #23977=ORIENTED_EDGE('',*,*,#31371,.T.); #23978=ORIENTED_EDGE('',*,*,#31364,.T.); #23979=ORIENTED_EDGE('',*,*,#31365,.F.); #23980=ORIENTED_EDGE('',*,*,#31371,.F.); #23981=ORIENTED_EDGE('',*,*,#31369,.F.); #23982=ORIENTED_EDGE('',*,*,#31367,.F.); #23983=ORIENTED_EDGE('',*,*,#31100,.F.); #23984=ORIENTED_EDGE('',*,*,#31372,.F.); #23985=ORIENTED_EDGE('',*,*,#31373,.T.); #23986=ORIENTED_EDGE('',*,*,#31374,.T.); #23987=ORIENTED_EDGE('',*,*,#31101,.F.); #23988=ORIENTED_EDGE('',*,*,#31374,.F.); #23989=ORIENTED_EDGE('',*,*,#31375,.T.); #23990=ORIENTED_EDGE('',*,*,#31376,.T.); #23991=ORIENTED_EDGE('',*,*,#31102,.F.); #23992=ORIENTED_EDGE('',*,*,#31376,.F.); #23993=ORIENTED_EDGE('',*,*,#31377,.T.); #23994=ORIENTED_EDGE('',*,*,#31378,.T.); #23995=ORIENTED_EDGE('',*,*,#31099,.F.); #23996=ORIENTED_EDGE('',*,*,#31378,.F.); #23997=ORIENTED_EDGE('',*,*,#31379,.T.); #23998=ORIENTED_EDGE('',*,*,#31372,.T.); #23999=ORIENTED_EDGE('',*,*,#31373,.F.); #24000=ORIENTED_EDGE('',*,*,#31379,.F.); #24001=ORIENTED_EDGE('',*,*,#31377,.F.); #24002=ORIENTED_EDGE('',*,*,#31375,.F.); #24003=ORIENTED_EDGE('',*,*,#31071,.F.); #24004=ORIENTED_EDGE('',*,*,#31380,.F.); #24005=ORIENTED_EDGE('',*,*,#31381,.T.); #24006=ORIENTED_EDGE('',*,*,#31382,.T.); #24007=ORIENTED_EDGE('',*,*,#31072,.F.); #24008=ORIENTED_EDGE('',*,*,#31382,.F.); #24009=ORIENTED_EDGE('',*,*,#31383,.T.); #24010=ORIENTED_EDGE('',*,*,#31384,.T.); #24011=ORIENTED_EDGE('',*,*,#31073,.F.); #24012=ORIENTED_EDGE('',*,*,#31384,.F.); #24013=ORIENTED_EDGE('',*,*,#31385,.T.); #24014=ORIENTED_EDGE('',*,*,#31386,.T.); #24015=ORIENTED_EDGE('',*,*,#31070,.F.); #24016=ORIENTED_EDGE('',*,*,#31386,.F.); #24017=ORIENTED_EDGE('',*,*,#31387,.T.); #24018=ORIENTED_EDGE('',*,*,#31380,.T.); #24019=ORIENTED_EDGE('',*,*,#31381,.F.); #24020=ORIENTED_EDGE('',*,*,#31387,.F.); #24021=ORIENTED_EDGE('',*,*,#31385,.F.); #24022=ORIENTED_EDGE('',*,*,#31383,.F.); #24023=ORIENTED_EDGE('',*,*,#31104,.F.); #24024=ORIENTED_EDGE('',*,*,#31388,.F.); #24025=ORIENTED_EDGE('',*,*,#31389,.T.); #24026=ORIENTED_EDGE('',*,*,#31390,.T.); #24027=ORIENTED_EDGE('',*,*,#31105,.F.); #24028=ORIENTED_EDGE('',*,*,#31390,.F.); #24029=ORIENTED_EDGE('',*,*,#31391,.T.); #24030=ORIENTED_EDGE('',*,*,#31392,.T.); #24031=ORIENTED_EDGE('',*,*,#31106,.F.); #24032=ORIENTED_EDGE('',*,*,#31392,.F.); #24033=ORIENTED_EDGE('',*,*,#31393,.T.); #24034=ORIENTED_EDGE('',*,*,#31394,.T.); #24035=ORIENTED_EDGE('',*,*,#31103,.F.); #24036=ORIENTED_EDGE('',*,*,#31394,.F.); #24037=ORIENTED_EDGE('',*,*,#31395,.T.); #24038=ORIENTED_EDGE('',*,*,#31388,.T.); #24039=ORIENTED_EDGE('',*,*,#31389,.F.); #24040=ORIENTED_EDGE('',*,*,#31395,.F.); #24041=ORIENTED_EDGE('',*,*,#31393,.F.); #24042=ORIENTED_EDGE('',*,*,#31391,.F.); #24043=ORIENTED_EDGE('',*,*,#31067,.F.); #24044=ORIENTED_EDGE('',*,*,#31396,.F.); #24045=ORIENTED_EDGE('',*,*,#31397,.T.); #24046=ORIENTED_EDGE('',*,*,#31398,.T.); #24047=ORIENTED_EDGE('',*,*,#31068,.F.); #24048=ORIENTED_EDGE('',*,*,#31398,.F.); #24049=ORIENTED_EDGE('',*,*,#31399,.T.); #24050=ORIENTED_EDGE('',*,*,#31400,.T.); #24051=ORIENTED_EDGE('',*,*,#31069,.F.); #24052=ORIENTED_EDGE('',*,*,#31400,.F.); #24053=ORIENTED_EDGE('',*,*,#31401,.T.); #24054=ORIENTED_EDGE('',*,*,#31402,.T.); #24055=ORIENTED_EDGE('',*,*,#31066,.F.); #24056=ORIENTED_EDGE('',*,*,#31402,.F.); #24057=ORIENTED_EDGE('',*,*,#31403,.T.); #24058=ORIENTED_EDGE('',*,*,#31396,.T.); #24059=ORIENTED_EDGE('',*,*,#31397,.F.); #24060=ORIENTED_EDGE('',*,*,#31403,.F.); #24061=ORIENTED_EDGE('',*,*,#31401,.F.); #24062=ORIENTED_EDGE('',*,*,#31399,.F.); #24063=ORIENTED_EDGE('',*,*,#31108,.F.); #24064=ORIENTED_EDGE('',*,*,#31404,.F.); #24065=ORIENTED_EDGE('',*,*,#31405,.T.); #24066=ORIENTED_EDGE('',*,*,#31406,.T.); #24067=ORIENTED_EDGE('',*,*,#31109,.F.); #24068=ORIENTED_EDGE('',*,*,#31406,.F.); #24069=ORIENTED_EDGE('',*,*,#31407,.T.); #24070=ORIENTED_EDGE('',*,*,#31408,.T.); #24071=ORIENTED_EDGE('',*,*,#31110,.F.); #24072=ORIENTED_EDGE('',*,*,#31408,.F.); #24073=ORIENTED_EDGE('',*,*,#31409,.T.); #24074=ORIENTED_EDGE('',*,*,#31410,.T.); #24075=ORIENTED_EDGE('',*,*,#31107,.F.); #24076=ORIENTED_EDGE('',*,*,#31410,.F.); #24077=ORIENTED_EDGE('',*,*,#31411,.T.); #24078=ORIENTED_EDGE('',*,*,#31404,.T.); #24079=ORIENTED_EDGE('',*,*,#31405,.F.); #24080=ORIENTED_EDGE('',*,*,#31411,.F.); #24081=ORIENTED_EDGE('',*,*,#31409,.F.); #24082=ORIENTED_EDGE('',*,*,#31407,.F.); #24083=ORIENTED_EDGE('',*,*,#31063,.F.); #24084=ORIENTED_EDGE('',*,*,#31412,.F.); #24085=ORIENTED_EDGE('',*,*,#31413,.T.); #24086=ORIENTED_EDGE('',*,*,#31414,.T.); #24087=ORIENTED_EDGE('',*,*,#31064,.F.); #24088=ORIENTED_EDGE('',*,*,#31414,.F.); #24089=ORIENTED_EDGE('',*,*,#31415,.T.); #24090=ORIENTED_EDGE('',*,*,#31416,.T.); #24091=ORIENTED_EDGE('',*,*,#31065,.F.); #24092=ORIENTED_EDGE('',*,*,#31416,.F.); #24093=ORIENTED_EDGE('',*,*,#31417,.T.); #24094=ORIENTED_EDGE('',*,*,#31418,.T.); #24095=ORIENTED_EDGE('',*,*,#31062,.F.); #24096=ORIENTED_EDGE('',*,*,#31418,.F.); #24097=ORIENTED_EDGE('',*,*,#31419,.T.); #24098=ORIENTED_EDGE('',*,*,#31412,.T.); #24099=ORIENTED_EDGE('',*,*,#31413,.F.); #24100=ORIENTED_EDGE('',*,*,#31419,.F.); #24101=ORIENTED_EDGE('',*,*,#31417,.F.); #24102=ORIENTED_EDGE('',*,*,#31415,.F.); #24103=ORIENTED_EDGE('',*,*,#31112,.F.); #24104=ORIENTED_EDGE('',*,*,#31420,.F.); #24105=ORIENTED_EDGE('',*,*,#31421,.T.); #24106=ORIENTED_EDGE('',*,*,#31422,.T.); #24107=ORIENTED_EDGE('',*,*,#31113,.F.); #24108=ORIENTED_EDGE('',*,*,#31422,.F.); #24109=ORIENTED_EDGE('',*,*,#31423,.T.); #24110=ORIENTED_EDGE('',*,*,#31424,.T.); #24111=ORIENTED_EDGE('',*,*,#31114,.F.); #24112=ORIENTED_EDGE('',*,*,#31424,.F.); #24113=ORIENTED_EDGE('',*,*,#31425,.T.); #24114=ORIENTED_EDGE('',*,*,#31426,.T.); #24115=ORIENTED_EDGE('',*,*,#31111,.F.); #24116=ORIENTED_EDGE('',*,*,#31426,.F.); #24117=ORIENTED_EDGE('',*,*,#31427,.T.); #24118=ORIENTED_EDGE('',*,*,#31420,.T.); #24119=ORIENTED_EDGE('',*,*,#31421,.F.); #24120=ORIENTED_EDGE('',*,*,#31427,.F.); #24121=ORIENTED_EDGE('',*,*,#31425,.F.); #24122=ORIENTED_EDGE('',*,*,#31423,.F.); #24123=ORIENTED_EDGE('',*,*,#31058,.T.); #24124=ORIENTED_EDGE('',*,*,#31428,.F.); #24125=ORIENTED_EDGE('',*,*,#31429,.F.); #24126=ORIENTED_EDGE('',*,*,#31430,.T.); #24127=ORIENTED_EDGE('',*,*,#31061,.T.); #24128=ORIENTED_EDGE('',*,*,#31431,.F.); #24129=ORIENTED_EDGE('',*,*,#31432,.F.); #24130=ORIENTED_EDGE('',*,*,#31428,.T.); #24131=ORIENTED_EDGE('',*,*,#31060,.T.); #24132=ORIENTED_EDGE('',*,*,#31433,.F.); #24133=ORIENTED_EDGE('',*,*,#31434,.F.); #24134=ORIENTED_EDGE('',*,*,#31431,.T.); #24135=ORIENTED_EDGE('',*,*,#31059,.T.); #24136=ORIENTED_EDGE('',*,*,#31430,.F.); #24137=ORIENTED_EDGE('',*,*,#31435,.F.); #24138=ORIENTED_EDGE('',*,*,#31433,.T.); #24139=ORIENTED_EDGE('',*,*,#31429,.T.); #24140=ORIENTED_EDGE('',*,*,#31432,.T.); #24141=ORIENTED_EDGE('',*,*,#31434,.T.); #24142=ORIENTED_EDGE('',*,*,#31435,.T.); #24143=ORIENTED_EDGE('',*,*,#31055,.F.); #24144=ORIENTED_EDGE('',*,*,#31436,.F.); #24145=ORIENTED_EDGE('',*,*,#31437,.T.); #24146=ORIENTED_EDGE('',*,*,#31438,.T.); #24147=ORIENTED_EDGE('',*,*,#31056,.F.); #24148=ORIENTED_EDGE('',*,*,#31438,.F.); #24149=ORIENTED_EDGE('',*,*,#31439,.T.); #24150=ORIENTED_EDGE('',*,*,#31440,.T.); #24151=ORIENTED_EDGE('',*,*,#31057,.F.); #24152=ORIENTED_EDGE('',*,*,#31440,.F.); #24153=ORIENTED_EDGE('',*,*,#31441,.T.); #24154=ORIENTED_EDGE('',*,*,#31442,.T.); #24155=ORIENTED_EDGE('',*,*,#31054,.F.); #24156=ORIENTED_EDGE('',*,*,#31442,.F.); #24157=ORIENTED_EDGE('',*,*,#31443,.T.); #24158=ORIENTED_EDGE('',*,*,#31436,.T.); #24159=ORIENTED_EDGE('',*,*,#31437,.F.); #24160=ORIENTED_EDGE('',*,*,#31443,.F.); #24161=ORIENTED_EDGE('',*,*,#31441,.F.); #24162=ORIENTED_EDGE('',*,*,#31439,.F.); #24163=ORIENTED_EDGE('',*,*,#31116,.F.); #24164=ORIENTED_EDGE('',*,*,#31444,.F.); #24165=ORIENTED_EDGE('',*,*,#31445,.T.); #24166=ORIENTED_EDGE('',*,*,#31446,.T.); #24167=ORIENTED_EDGE('',*,*,#31117,.F.); #24168=ORIENTED_EDGE('',*,*,#31446,.F.); #24169=ORIENTED_EDGE('',*,*,#31447,.T.); #24170=ORIENTED_EDGE('',*,*,#31448,.T.); #24171=ORIENTED_EDGE('',*,*,#31118,.F.); #24172=ORIENTED_EDGE('',*,*,#31448,.F.); #24173=ORIENTED_EDGE('',*,*,#31449,.T.); #24174=ORIENTED_EDGE('',*,*,#31450,.T.); #24175=ORIENTED_EDGE('',*,*,#31115,.F.); #24176=ORIENTED_EDGE('',*,*,#31450,.F.); #24177=ORIENTED_EDGE('',*,*,#31451,.T.); #24178=ORIENTED_EDGE('',*,*,#31444,.T.); #24179=ORIENTED_EDGE('',*,*,#31445,.F.); #24180=ORIENTED_EDGE('',*,*,#31451,.F.); #24181=ORIENTED_EDGE('',*,*,#31449,.F.); #24182=ORIENTED_EDGE('',*,*,#31447,.F.); #24183=ORIENTED_EDGE('',*,*,#31051,.F.); #24184=ORIENTED_EDGE('',*,*,#31452,.F.); #24185=ORIENTED_EDGE('',*,*,#31453,.T.); #24186=ORIENTED_EDGE('',*,*,#31454,.T.); #24187=ORIENTED_EDGE('',*,*,#31052,.F.); #24188=ORIENTED_EDGE('',*,*,#31454,.F.); #24189=ORIENTED_EDGE('',*,*,#31455,.T.); #24190=ORIENTED_EDGE('',*,*,#31456,.T.); #24191=ORIENTED_EDGE('',*,*,#31053,.F.); #24192=ORIENTED_EDGE('',*,*,#31456,.F.); #24193=ORIENTED_EDGE('',*,*,#31457,.T.); #24194=ORIENTED_EDGE('',*,*,#31458,.T.); #24195=ORIENTED_EDGE('',*,*,#31050,.F.); #24196=ORIENTED_EDGE('',*,*,#31458,.F.); #24197=ORIENTED_EDGE('',*,*,#31459,.T.); #24198=ORIENTED_EDGE('',*,*,#31452,.T.); #24199=ORIENTED_EDGE('',*,*,#31453,.F.); #24200=ORIENTED_EDGE('',*,*,#31459,.F.); #24201=ORIENTED_EDGE('',*,*,#31457,.F.); #24202=ORIENTED_EDGE('',*,*,#31455,.F.); #24203=ORIENTED_EDGE('',*,*,#31120,.F.); #24204=ORIENTED_EDGE('',*,*,#31460,.F.); #24205=ORIENTED_EDGE('',*,*,#31461,.T.); #24206=ORIENTED_EDGE('',*,*,#31462,.T.); #24207=ORIENTED_EDGE('',*,*,#31121,.F.); #24208=ORIENTED_EDGE('',*,*,#31462,.F.); #24209=ORIENTED_EDGE('',*,*,#31463,.T.); #24210=ORIENTED_EDGE('',*,*,#31464,.T.); #24211=ORIENTED_EDGE('',*,*,#31122,.F.); #24212=ORIENTED_EDGE('',*,*,#31464,.F.); #24213=ORIENTED_EDGE('',*,*,#31465,.T.); #24214=ORIENTED_EDGE('',*,*,#31466,.T.); #24215=ORIENTED_EDGE('',*,*,#31119,.F.); #24216=ORIENTED_EDGE('',*,*,#31466,.F.); #24217=ORIENTED_EDGE('',*,*,#31467,.T.); #24218=ORIENTED_EDGE('',*,*,#31460,.T.); #24219=ORIENTED_EDGE('',*,*,#31461,.F.); #24220=ORIENTED_EDGE('',*,*,#31467,.F.); #24221=ORIENTED_EDGE('',*,*,#31465,.F.); #24222=ORIENTED_EDGE('',*,*,#31463,.F.); #24223=ORIENTED_EDGE('',*,*,#31039,.F.); #24224=ORIENTED_EDGE('',*,*,#31468,.F.); #24225=ORIENTED_EDGE('',*,*,#31469,.T.); #24226=ORIENTED_EDGE('',*,*,#31470,.T.); #24227=ORIENTED_EDGE('',*,*,#31040,.F.); #24228=ORIENTED_EDGE('',*,*,#31470,.F.); #24229=ORIENTED_EDGE('',*,*,#31471,.T.); #24230=ORIENTED_EDGE('',*,*,#31472,.T.); #24231=ORIENTED_EDGE('',*,*,#31041,.F.); #24232=ORIENTED_EDGE('',*,*,#31472,.F.); #24233=ORIENTED_EDGE('',*,*,#31473,.T.); #24234=ORIENTED_EDGE('',*,*,#31474,.T.); #24235=ORIENTED_EDGE('',*,*,#31469,.F.); #24236=ORIENTED_EDGE('',*,*,#31475,.T.); #24237=ORIENTED_EDGE('',*,*,#31476,.T.); #24238=ORIENTED_EDGE('',*,*,#31477,.T.); #24239=ORIENTED_EDGE('',*,*,#31478,.T.); #24240=ORIENTED_EDGE('',*,*,#31479,.T.); #24241=ORIENTED_EDGE('',*,*,#31473,.F.); #24242=ORIENTED_EDGE('',*,*,#31471,.F.); #24243=ORIENTED_EDGE('',*,*,#31124,.F.); #24244=ORIENTED_EDGE('',*,*,#31480,.F.); #24245=ORIENTED_EDGE('',*,*,#31481,.T.); #24246=ORIENTED_EDGE('',*,*,#31482,.T.); #24247=ORIENTED_EDGE('',*,*,#31125,.F.); #24248=ORIENTED_EDGE('',*,*,#31482,.F.); #24249=ORIENTED_EDGE('',*,*,#31483,.T.); #24250=ORIENTED_EDGE('',*,*,#31484,.T.); #24251=ORIENTED_EDGE('',*,*,#31126,.F.); #24252=ORIENTED_EDGE('',*,*,#31484,.F.); #24253=ORIENTED_EDGE('',*,*,#31485,.T.); #24254=ORIENTED_EDGE('',*,*,#31486,.T.); #24255=ORIENTED_EDGE('',*,*,#31123,.F.); #24256=ORIENTED_EDGE('',*,*,#31486,.F.); #24257=ORIENTED_EDGE('',*,*,#31487,.T.); #24258=ORIENTED_EDGE('',*,*,#31480,.T.); #24259=ORIENTED_EDGE('',*,*,#31481,.F.); #24260=ORIENTED_EDGE('',*,*,#31487,.F.); #24261=ORIENTED_EDGE('',*,*,#31485,.F.); #24262=ORIENTED_EDGE('',*,*,#31483,.F.); #24263=ORIENTED_EDGE('',*,*,#31047,.F.); #24264=ORIENTED_EDGE('',*,*,#31488,.F.); #24265=ORIENTED_EDGE('',*,*,#31489,.T.); #24266=ORIENTED_EDGE('',*,*,#31490,.T.); #24267=ORIENTED_EDGE('',*,*,#31048,.F.); #24268=ORIENTED_EDGE('',*,*,#31490,.F.); #24269=ORIENTED_EDGE('',*,*,#31491,.T.); #24270=ORIENTED_EDGE('',*,*,#31492,.T.); #24271=ORIENTED_EDGE('',*,*,#31049,.F.); #24272=ORIENTED_EDGE('',*,*,#31492,.F.); #24273=ORIENTED_EDGE('',*,*,#31493,.T.); #24274=ORIENTED_EDGE('',*,*,#31494,.T.); #24275=ORIENTED_EDGE('',*,*,#31046,.F.); #24276=ORIENTED_EDGE('',*,*,#31494,.F.); #24277=ORIENTED_EDGE('',*,*,#31495,.T.); #24278=ORIENTED_EDGE('',*,*,#31488,.T.); #24279=ORIENTED_EDGE('',*,*,#31489,.F.); #24280=ORIENTED_EDGE('',*,*,#31495,.F.); #24281=ORIENTED_EDGE('',*,*,#31493,.F.); #24282=ORIENTED_EDGE('',*,*,#31491,.F.); #24283=ORIENTED_EDGE('',*,*,#31128,.F.); #24284=ORIENTED_EDGE('',*,*,#31496,.F.); #24285=ORIENTED_EDGE('',*,*,#31497,.T.); #24286=ORIENTED_EDGE('',*,*,#31498,.T.); #24287=ORIENTED_EDGE('',*,*,#31129,.F.); #24288=ORIENTED_EDGE('',*,*,#31498,.F.); #24289=ORIENTED_EDGE('',*,*,#31499,.T.); #24290=ORIENTED_EDGE('',*,*,#31500,.T.); #24291=ORIENTED_EDGE('',*,*,#31130,.F.); #24292=ORIENTED_EDGE('',*,*,#31500,.F.); #24293=ORIENTED_EDGE('',*,*,#31501,.T.); #24294=ORIENTED_EDGE('',*,*,#31502,.T.); #24295=ORIENTED_EDGE('',*,*,#31127,.F.); #24296=ORIENTED_EDGE('',*,*,#31502,.F.); #24297=ORIENTED_EDGE('',*,*,#31503,.T.); #24298=ORIENTED_EDGE('',*,*,#31496,.T.); #24299=ORIENTED_EDGE('',*,*,#31497,.F.); #24300=ORIENTED_EDGE('',*,*,#31503,.F.); #24301=ORIENTED_EDGE('',*,*,#31501,.F.); #24302=ORIENTED_EDGE('',*,*,#31499,.F.); #24303=ORIENTED_EDGE('',*,*,#31043,.F.); #24304=ORIENTED_EDGE('',*,*,#31504,.F.); #24305=ORIENTED_EDGE('',*,*,#31505,.T.); #24306=ORIENTED_EDGE('',*,*,#31506,.T.); #24307=ORIENTED_EDGE('',*,*,#31044,.F.); #24308=ORIENTED_EDGE('',*,*,#31506,.F.); #24309=ORIENTED_EDGE('',*,*,#31507,.T.); #24310=ORIENTED_EDGE('',*,*,#31508,.T.); #24311=ORIENTED_EDGE('',*,*,#31045,.F.); #24312=ORIENTED_EDGE('',*,*,#31508,.F.); #24313=ORIENTED_EDGE('',*,*,#31509,.T.); #24314=ORIENTED_EDGE('',*,*,#31510,.T.); #24315=ORIENTED_EDGE('',*,*,#31042,.F.); #24316=ORIENTED_EDGE('',*,*,#31510,.F.); #24317=ORIENTED_EDGE('',*,*,#31511,.T.); #24318=ORIENTED_EDGE('',*,*,#31504,.T.); #24319=ORIENTED_EDGE('',*,*,#31505,.F.); #24320=ORIENTED_EDGE('',*,*,#31511,.F.); #24321=ORIENTED_EDGE('',*,*,#31509,.F.); #24322=ORIENTED_EDGE('',*,*,#31507,.F.); #24323=ORIENTED_EDGE('',*,*,#31134,.T.); #24324=ORIENTED_EDGE('',*,*,#31512,.F.); #24325=ORIENTED_EDGE('',*,*,#31513,.F.); #24326=ORIENTED_EDGE('',*,*,#31514,.T.); #24327=ORIENTED_EDGE('',*,*,#31133,.T.); #24328=ORIENTED_EDGE('',*,*,#31515,.F.); #24329=ORIENTED_EDGE('',*,*,#31516,.F.); #24330=ORIENTED_EDGE('',*,*,#31512,.T.); #24331=ORIENTED_EDGE('',*,*,#31132,.T.); #24332=ORIENTED_EDGE('',*,*,#31517,.F.); #24333=ORIENTED_EDGE('',*,*,#31518,.F.); #24334=ORIENTED_EDGE('',*,*,#31515,.T.); #24335=ORIENTED_EDGE('',*,*,#31131,.T.); #24336=ORIENTED_EDGE('',*,*,#31519,.F.); #24337=ORIENTED_EDGE('',*,*,#31520,.F.); #24338=ORIENTED_EDGE('',*,*,#31517,.T.); #24339=ORIENTED_EDGE('',*,*,#31139,.T.); #24340=ORIENTED_EDGE('',*,*,#31521,.F.); #24341=ORIENTED_EDGE('',*,*,#31522,.F.); #24342=ORIENTED_EDGE('',*,*,#31519,.T.); #24343=ORIENTED_EDGE('',*,*,#31138,.T.); #24344=ORIENTED_EDGE('',*,*,#31523,.F.); #24345=ORIENTED_EDGE('',*,*,#31524,.F.); #24346=ORIENTED_EDGE('',*,*,#31521,.T.); #24347=ORIENTED_EDGE('',*,*,#31137,.T.); #24348=ORIENTED_EDGE('',*,*,#31525,.F.); #24349=ORIENTED_EDGE('',*,*,#31526,.F.); #24350=ORIENTED_EDGE('',*,*,#31523,.T.); #24351=ORIENTED_EDGE('',*,*,#31136,.T.); #24352=ORIENTED_EDGE('',*,*,#31527,.F.); #24353=ORIENTED_EDGE('',*,*,#31528,.F.); #24354=ORIENTED_EDGE('',*,*,#31525,.T.); #24355=ORIENTED_EDGE('',*,*,#31135,.T.); #24356=ORIENTED_EDGE('',*,*,#31514,.F.); #24357=ORIENTED_EDGE('',*,*,#31529,.F.); #24358=ORIENTED_EDGE('',*,*,#31527,.T.); #24359=ORIENTED_EDGE('',*,*,#31513,.T.); #24360=ORIENTED_EDGE('',*,*,#31516,.T.); #24361=ORIENTED_EDGE('',*,*,#31518,.T.); #24362=ORIENTED_EDGE('',*,*,#31520,.T.); #24363=ORIENTED_EDGE('',*,*,#31522,.T.); #24364=ORIENTED_EDGE('',*,*,#31524,.T.); #24365=ORIENTED_EDGE('',*,*,#31526,.T.); #24366=ORIENTED_EDGE('',*,*,#31528,.T.); #24367=ORIENTED_EDGE('',*,*,#31529,.T.); #24368=ORIENTED_EDGE('',*,*,#31530,.T.); #24369=ORIENTED_EDGE('',*,*,#31531,.F.); #24370=ORIENTED_EDGE('',*,*,#31532,.F.); #24371=ORIENTED_EDGE('',*,*,#31533,.T.); #24372=ORIENTED_EDGE('',*,*,#31534,.T.); #24373=ORIENTED_EDGE('',*,*,#31535,.F.); #24374=ORIENTED_EDGE('',*,*,#31536,.F.); #24375=ORIENTED_EDGE('',*,*,#31531,.T.); #24376=ORIENTED_EDGE('',*,*,#31140,.T.); #24377=ORIENTED_EDGE('',*,*,#31537,.F.); #24378=ORIENTED_EDGE('',*,*,#31538,.F.); #24379=ORIENTED_EDGE('',*,*,#31539,.T.); #24380=ORIENTED_EDGE('',*,*,#31146,.T.); #24381=ORIENTED_EDGE('',*,*,#31540,.F.); #24382=ORIENTED_EDGE('',*,*,#31541,.F.); #24383=ORIENTED_EDGE('',*,*,#31537,.T.); #24384=ORIENTED_EDGE('',*,*,#31145,.T.); #24385=ORIENTED_EDGE('',*,*,#31542,.F.); #24386=ORIENTED_EDGE('',*,*,#31543,.F.); #24387=ORIENTED_EDGE('',*,*,#31540,.T.); #24388=ORIENTED_EDGE('',*,*,#31144,.T.); #24389=ORIENTED_EDGE('',*,*,#31544,.F.); #24390=ORIENTED_EDGE('',*,*,#31545,.F.); #24391=ORIENTED_EDGE('',*,*,#31542,.T.); #24392=ORIENTED_EDGE('',*,*,#31143,.T.); #24393=ORIENTED_EDGE('',*,*,#31546,.F.); #24394=ORIENTED_EDGE('',*,*,#31547,.F.); #24395=ORIENTED_EDGE('',*,*,#31544,.T.); #24396=ORIENTED_EDGE('',*,*,#31142,.T.); #24397=ORIENTED_EDGE('',*,*,#31548,.F.); #24398=ORIENTED_EDGE('',*,*,#31549,.F.); #24399=ORIENTED_EDGE('',*,*,#31546,.T.); #24400=ORIENTED_EDGE('',*,*,#31141,.T.); #24401=ORIENTED_EDGE('',*,*,#31539,.F.); #24402=ORIENTED_EDGE('',*,*,#31550,.F.); #24403=ORIENTED_EDGE('',*,*,#31548,.T.); #24404=ORIENTED_EDGE('',*,*,#31551,.T.); #24405=ORIENTED_EDGE('',*,*,#31533,.F.); #24406=ORIENTED_EDGE('',*,*,#31552,.F.); #24407=ORIENTED_EDGE('',*,*,#31535,.T.); #24408=ORIENTED_EDGE('',*,*,#31538,.T.); #24409=ORIENTED_EDGE('',*,*,#31541,.T.); #24410=ORIENTED_EDGE('',*,*,#31543,.T.); #24411=ORIENTED_EDGE('',*,*,#31545,.T.); #24412=ORIENTED_EDGE('',*,*,#31547,.T.); #24413=ORIENTED_EDGE('',*,*,#31549,.T.); #24414=ORIENTED_EDGE('',*,*,#31550,.T.); #24415=ORIENTED_EDGE('',*,*,#31532,.T.); #24416=ORIENTED_EDGE('',*,*,#31536,.T.); #24417=ORIENTED_EDGE('',*,*,#31552,.T.); #24418=ORIENTED_EDGE('',*,*,#31530,.F.); #24419=ORIENTED_EDGE('',*,*,#31551,.F.); #24420=ORIENTED_EDGE('',*,*,#31534,.F.); #24421=ORIENTED_EDGE('',*,*,#31147,.T.); #24422=ORIENTED_EDGE('',*,*,#31553,.F.); #24423=ORIENTED_EDGE('',*,*,#31554,.F.); #24424=ORIENTED_EDGE('',*,*,#31555,.T.); #24425=ORIENTED_EDGE('',*,*,#31154,.T.); #24426=ORIENTED_EDGE('',*,*,#31556,.F.); #24427=ORIENTED_EDGE('',*,*,#31557,.F.); #24428=ORIENTED_EDGE('',*,*,#31553,.T.); #24429=ORIENTED_EDGE('',*,*,#31153,.T.); #24430=ORIENTED_EDGE('',*,*,#31558,.F.); #24431=ORIENTED_EDGE('',*,*,#31559,.F.); #24432=ORIENTED_EDGE('',*,*,#31556,.T.); #24433=ORIENTED_EDGE('',*,*,#31152,.T.); #24434=ORIENTED_EDGE('',*,*,#31560,.F.); #24435=ORIENTED_EDGE('',*,*,#31561,.F.); #24436=ORIENTED_EDGE('',*,*,#31558,.T.); #24437=ORIENTED_EDGE('',*,*,#31151,.T.); #24438=ORIENTED_EDGE('',*,*,#31562,.F.); #24439=ORIENTED_EDGE('',*,*,#31563,.F.); #24440=ORIENTED_EDGE('',*,*,#31560,.T.); #24441=ORIENTED_EDGE('',*,*,#31150,.T.); #24442=ORIENTED_EDGE('',*,*,#31564,.F.); #24443=ORIENTED_EDGE('',*,*,#31565,.F.); #24444=ORIENTED_EDGE('',*,*,#31562,.T.); #24445=ORIENTED_EDGE('',*,*,#31149,.T.); #24446=ORIENTED_EDGE('',*,*,#31566,.F.); #24447=ORIENTED_EDGE('',*,*,#31567,.F.); #24448=ORIENTED_EDGE('',*,*,#31564,.T.); #24449=ORIENTED_EDGE('',*,*,#31148,.T.); #24450=ORIENTED_EDGE('',*,*,#31555,.F.); #24451=ORIENTED_EDGE('',*,*,#31568,.F.); #24452=ORIENTED_EDGE('',*,*,#31566,.T.); #24453=ORIENTED_EDGE('',*,*,#31554,.T.); #24454=ORIENTED_EDGE('',*,*,#31557,.T.); #24455=ORIENTED_EDGE('',*,*,#31559,.T.); #24456=ORIENTED_EDGE('',*,*,#31561,.T.); #24457=ORIENTED_EDGE('',*,*,#31563,.T.); #24458=ORIENTED_EDGE('',*,*,#31565,.T.); #24459=ORIENTED_EDGE('',*,*,#31567,.T.); #24460=ORIENTED_EDGE('',*,*,#31568,.T.); #24461=ORIENTED_EDGE('',*,*,#31155,.T.); #24462=ORIENTED_EDGE('',*,*,#31569,.F.); #24463=ORIENTED_EDGE('',*,*,#31570,.F.); #24464=ORIENTED_EDGE('',*,*,#31571,.T.); #24465=ORIENTED_EDGE('',*,*,#31163,.T.); #24466=ORIENTED_EDGE('',*,*,#31572,.F.); #24467=ORIENTED_EDGE('',*,*,#31573,.F.); #24468=ORIENTED_EDGE('',*,*,#31569,.T.); #24469=ORIENTED_EDGE('',*,*,#31162,.T.); #24470=ORIENTED_EDGE('',*,*,#31574,.F.); #24471=ORIENTED_EDGE('',*,*,#31575,.F.); #24472=ORIENTED_EDGE('',*,*,#31572,.T.); #24473=ORIENTED_EDGE('',*,*,#31161,.T.); #24474=ORIENTED_EDGE('',*,*,#31576,.F.); #24475=ORIENTED_EDGE('',*,*,#31577,.F.); #24476=ORIENTED_EDGE('',*,*,#31574,.T.); #24477=ORIENTED_EDGE('',*,*,#31160,.T.); #24478=ORIENTED_EDGE('',*,*,#31578,.F.); #24479=ORIENTED_EDGE('',*,*,#31579,.F.); #24480=ORIENTED_EDGE('',*,*,#31576,.T.); #24481=ORIENTED_EDGE('',*,*,#31159,.T.); #24482=ORIENTED_EDGE('',*,*,#31580,.F.); #24483=ORIENTED_EDGE('',*,*,#31581,.F.); #24484=ORIENTED_EDGE('',*,*,#31578,.T.); #24485=ORIENTED_EDGE('',*,*,#31158,.T.); #24486=ORIENTED_EDGE('',*,*,#31582,.F.); #24487=ORIENTED_EDGE('',*,*,#31583,.F.); #24488=ORIENTED_EDGE('',*,*,#31580,.T.); #24489=ORIENTED_EDGE('',*,*,#31157,.T.); #24490=ORIENTED_EDGE('',*,*,#31584,.F.); #24491=ORIENTED_EDGE('',*,*,#31585,.F.); #24492=ORIENTED_EDGE('',*,*,#31582,.T.); #24493=ORIENTED_EDGE('',*,*,#31156,.T.); #24494=ORIENTED_EDGE('',*,*,#31571,.F.); #24495=ORIENTED_EDGE('',*,*,#31586,.F.); #24496=ORIENTED_EDGE('',*,*,#31584,.T.); #24497=ORIENTED_EDGE('',*,*,#31570,.T.); #24498=ORIENTED_EDGE('',*,*,#31573,.T.); #24499=ORIENTED_EDGE('',*,*,#31575,.T.); #24500=ORIENTED_EDGE('',*,*,#31577,.T.); #24501=ORIENTED_EDGE('',*,*,#31579,.T.); #24502=ORIENTED_EDGE('',*,*,#31581,.T.); #24503=ORIENTED_EDGE('',*,*,#31583,.T.); #24504=ORIENTED_EDGE('',*,*,#31585,.T.); #24505=ORIENTED_EDGE('',*,*,#31586,.T.); #24506=ORIENTED_EDGE('',*,*,#31164,.T.); #24507=ORIENTED_EDGE('',*,*,#31587,.F.); #24508=ORIENTED_EDGE('',*,*,#31588,.F.); #24509=ORIENTED_EDGE('',*,*,#31589,.T.); #24510=ORIENTED_EDGE('',*,*,#31167,.T.); #24511=ORIENTED_EDGE('',*,*,#31590,.F.); #24512=ORIENTED_EDGE('',*,*,#31591,.F.); #24513=ORIENTED_EDGE('',*,*,#31587,.T.); #24514=ORIENTED_EDGE('',*,*,#31166,.T.); #24515=ORIENTED_EDGE('',*,*,#31592,.F.); #24516=ORIENTED_EDGE('',*,*,#31593,.F.); #24517=ORIENTED_EDGE('',*,*,#31590,.T.); #24518=ORIENTED_EDGE('',*,*,#31165,.T.); #24519=ORIENTED_EDGE('',*,*,#31589,.F.); #24520=ORIENTED_EDGE('',*,*,#31594,.F.); #24521=ORIENTED_EDGE('',*,*,#31592,.T.); #24522=ORIENTED_EDGE('',*,*,#31588,.T.); #24523=ORIENTED_EDGE('',*,*,#31591,.T.); #24524=ORIENTED_EDGE('',*,*,#31593,.T.); #24525=ORIENTED_EDGE('',*,*,#31594,.T.); #24526=ORIENTED_EDGE('',*,*,#31168,.T.); #24527=ORIENTED_EDGE('',*,*,#31595,.F.); #24528=ORIENTED_EDGE('',*,*,#31596,.F.); #24529=ORIENTED_EDGE('',*,*,#31597,.T.); #24530=ORIENTED_EDGE('',*,*,#31172,.T.); #24531=ORIENTED_EDGE('',*,*,#31598,.F.); #24532=ORIENTED_EDGE('',*,*,#31599,.F.); #24533=ORIENTED_EDGE('',*,*,#31595,.T.); #24534=ORIENTED_EDGE('',*,*,#31171,.T.); #24535=ORIENTED_EDGE('',*,*,#31600,.F.); #24536=ORIENTED_EDGE('',*,*,#31601,.F.); #24537=ORIENTED_EDGE('',*,*,#31598,.T.); #24538=ORIENTED_EDGE('',*,*,#31170,.T.); #24539=ORIENTED_EDGE('',*,*,#31602,.F.); #24540=ORIENTED_EDGE('',*,*,#31603,.F.); #24541=ORIENTED_EDGE('',*,*,#31600,.T.); #24542=ORIENTED_EDGE('',*,*,#31169,.T.); #24543=ORIENTED_EDGE('',*,*,#31597,.F.); #24544=ORIENTED_EDGE('',*,*,#31604,.F.); #24545=ORIENTED_EDGE('',*,*,#31602,.T.); #24546=ORIENTED_EDGE('',*,*,#31596,.T.); #24547=ORIENTED_EDGE('',*,*,#31599,.T.); #24548=ORIENTED_EDGE('',*,*,#31601,.T.); #24549=ORIENTED_EDGE('',*,*,#31603,.T.); #24550=ORIENTED_EDGE('',*,*,#31604,.T.); #24551=ORIENTED_EDGE('',*,*,#31173,.T.); #24552=ORIENTED_EDGE('',*,*,#31605,.F.); #24553=ORIENTED_EDGE('',*,*,#31606,.F.); #24554=ORIENTED_EDGE('',*,*,#31607,.T.); #24555=ORIENTED_EDGE('',*,*,#31184,.T.); #24556=ORIENTED_EDGE('',*,*,#31608,.F.); #24557=ORIENTED_EDGE('',*,*,#31609,.F.); #24558=ORIENTED_EDGE('',*,*,#31605,.T.); #24559=ORIENTED_EDGE('',*,*,#31183,.T.); #24560=ORIENTED_EDGE('',*,*,#31610,.F.); #24561=ORIENTED_EDGE('',*,*,#31611,.F.); #24562=ORIENTED_EDGE('',*,*,#31608,.T.); #24563=ORIENTED_EDGE('',*,*,#31182,.T.); #24564=ORIENTED_EDGE('',*,*,#31612,.F.); #24565=ORIENTED_EDGE('',*,*,#31613,.F.); #24566=ORIENTED_EDGE('',*,*,#31610,.T.); #24567=ORIENTED_EDGE('',*,*,#31181,.T.); #24568=ORIENTED_EDGE('',*,*,#31614,.F.); #24569=ORIENTED_EDGE('',*,*,#31615,.F.); #24570=ORIENTED_EDGE('',*,*,#31612,.T.); #24571=ORIENTED_EDGE('',*,*,#31180,.T.); #24572=ORIENTED_EDGE('',*,*,#31616,.F.); #24573=ORIENTED_EDGE('',*,*,#31617,.F.); #24574=ORIENTED_EDGE('',*,*,#31614,.T.); #24575=ORIENTED_EDGE('',*,*,#31179,.T.); #24576=ORIENTED_EDGE('',*,*,#31618,.F.); #24577=ORIENTED_EDGE('',*,*,#31619,.F.); #24578=ORIENTED_EDGE('',*,*,#31616,.T.); #24579=ORIENTED_EDGE('',*,*,#31178,.T.); #24580=ORIENTED_EDGE('',*,*,#31620,.F.); #24581=ORIENTED_EDGE('',*,*,#31621,.F.); #24582=ORIENTED_EDGE('',*,*,#31618,.T.); #24583=ORIENTED_EDGE('',*,*,#31177,.T.); #24584=ORIENTED_EDGE('',*,*,#31622,.F.); #24585=ORIENTED_EDGE('',*,*,#31623,.F.); #24586=ORIENTED_EDGE('',*,*,#31620,.T.); #24587=ORIENTED_EDGE('',*,*,#31176,.T.); #24588=ORIENTED_EDGE('',*,*,#31624,.F.); #24589=ORIENTED_EDGE('',*,*,#31625,.F.); #24590=ORIENTED_EDGE('',*,*,#31622,.T.); #24591=ORIENTED_EDGE('',*,*,#31175,.T.); #24592=ORIENTED_EDGE('',*,*,#31626,.F.); #24593=ORIENTED_EDGE('',*,*,#31627,.F.); #24594=ORIENTED_EDGE('',*,*,#31624,.T.); #24595=ORIENTED_EDGE('',*,*,#31174,.T.); #24596=ORIENTED_EDGE('',*,*,#31607,.F.); #24597=ORIENTED_EDGE('',*,*,#31628,.F.); #24598=ORIENTED_EDGE('',*,*,#31626,.T.); #24599=ORIENTED_EDGE('',*,*,#31606,.T.); #24600=ORIENTED_EDGE('',*,*,#31609,.T.); #24601=ORIENTED_EDGE('',*,*,#31611,.T.); #24602=ORIENTED_EDGE('',*,*,#31613,.T.); #24603=ORIENTED_EDGE('',*,*,#31615,.T.); #24604=ORIENTED_EDGE('',*,*,#31617,.T.); #24605=ORIENTED_EDGE('',*,*,#31619,.T.); #24606=ORIENTED_EDGE('',*,*,#31621,.T.); #24607=ORIENTED_EDGE('',*,*,#31623,.T.); #24608=ORIENTED_EDGE('',*,*,#31625,.T.); #24609=ORIENTED_EDGE('',*,*,#31627,.T.); #24610=ORIENTED_EDGE('',*,*,#31628,.T.); #24611=ORIENTED_EDGE('',*,*,#31187,.T.); #24612=ORIENTED_EDGE('',*,*,#31629,.F.); #24613=ORIENTED_EDGE('',*,*,#31630,.F.); #24614=ORIENTED_EDGE('',*,*,#31631,.T.); #24615=ORIENTED_EDGE('',*,*,#31186,.T.); #24616=ORIENTED_EDGE('',*,*,#31632,.F.); #24617=ORIENTED_EDGE('',*,*,#31633,.F.); #24618=ORIENTED_EDGE('',*,*,#31629,.T.); #24619=ORIENTED_EDGE('',*,*,#31185,.T.); #24620=ORIENTED_EDGE('',*,*,#31634,.F.); #24621=ORIENTED_EDGE('',*,*,#31635,.F.); #24622=ORIENTED_EDGE('',*,*,#31632,.T.); #24623=ORIENTED_EDGE('',*,*,#31196,.T.); #24624=ORIENTED_EDGE('',*,*,#31636,.F.); #24625=ORIENTED_EDGE('',*,*,#31637,.F.); #24626=ORIENTED_EDGE('',*,*,#31634,.T.); #24627=ORIENTED_EDGE('',*,*,#31195,.T.); #24628=ORIENTED_EDGE('',*,*,#31638,.F.); #24629=ORIENTED_EDGE('',*,*,#31639,.F.); #24630=ORIENTED_EDGE('',*,*,#31636,.T.); #24631=ORIENTED_EDGE('',*,*,#31194,.T.); #24632=ORIENTED_EDGE('',*,*,#31640,.F.); #24633=ORIENTED_EDGE('',*,*,#31641,.F.); #24634=ORIENTED_EDGE('',*,*,#31638,.T.); #24635=ORIENTED_EDGE('',*,*,#31193,.T.); #24636=ORIENTED_EDGE('',*,*,#31642,.F.); #24637=ORIENTED_EDGE('',*,*,#31643,.F.); #24638=ORIENTED_EDGE('',*,*,#31640,.T.); #24639=ORIENTED_EDGE('',*,*,#31192,.T.); #24640=ORIENTED_EDGE('',*,*,#31644,.F.); #24641=ORIENTED_EDGE('',*,*,#31645,.F.); #24642=ORIENTED_EDGE('',*,*,#31642,.T.); #24643=ORIENTED_EDGE('',*,*,#31191,.T.); #24644=ORIENTED_EDGE('',*,*,#31646,.F.); #24645=ORIENTED_EDGE('',*,*,#31647,.F.); #24646=ORIENTED_EDGE('',*,*,#31644,.T.); #24647=ORIENTED_EDGE('',*,*,#31190,.T.); #24648=ORIENTED_EDGE('',*,*,#31648,.F.); #24649=ORIENTED_EDGE('',*,*,#31649,.F.); #24650=ORIENTED_EDGE('',*,*,#31646,.T.); #24651=ORIENTED_EDGE('',*,*,#31189,.T.); #24652=ORIENTED_EDGE('',*,*,#31650,.F.); #24653=ORIENTED_EDGE('',*,*,#31651,.F.); #24654=ORIENTED_EDGE('',*,*,#31648,.T.); #24655=ORIENTED_EDGE('',*,*,#31188,.T.); #24656=ORIENTED_EDGE('',*,*,#31631,.F.); #24657=ORIENTED_EDGE('',*,*,#31652,.F.); #24658=ORIENTED_EDGE('',*,*,#31650,.T.); #24659=ORIENTED_EDGE('',*,*,#31630,.T.); #24660=ORIENTED_EDGE('',*,*,#31633,.T.); #24661=ORIENTED_EDGE('',*,*,#31635,.T.); #24662=ORIENTED_EDGE('',*,*,#31637,.T.); #24663=ORIENTED_EDGE('',*,*,#31639,.T.); #24664=ORIENTED_EDGE('',*,*,#31641,.T.); #24665=ORIENTED_EDGE('',*,*,#31643,.T.); #24666=ORIENTED_EDGE('',*,*,#31645,.T.); #24667=ORIENTED_EDGE('',*,*,#31647,.T.); #24668=ORIENTED_EDGE('',*,*,#31649,.T.); #24669=ORIENTED_EDGE('',*,*,#31651,.T.); #24670=ORIENTED_EDGE('',*,*,#31652,.T.); #24671=ORIENTED_EDGE('',*,*,#31031,.T.); #24672=ORIENTED_EDGE('',*,*,#31653,.F.); #24673=ORIENTED_EDGE('',*,*,#31654,.F.); #24674=ORIENTED_EDGE('',*,*,#31655,.T.); #24675=ORIENTED_EDGE('',*,*,#31033,.T.); #24676=ORIENTED_EDGE('',*,*,#31656,.F.); #24677=ORIENTED_EDGE('',*,*,#31657,.F.); #24678=ORIENTED_EDGE('',*,*,#31653,.T.); #24679=ORIENTED_EDGE('',*,*,#31198,.T.); #24680=ORIENTED_EDGE('',*,*,#31658,.F.); #24681=ORIENTED_EDGE('',*,*,#31659,.F.); #24682=ORIENTED_EDGE('',*,*,#31660,.T.); #24683=ORIENTED_EDGE('',*,*,#31197,.T.); #24684=ORIENTED_EDGE('',*,*,#31660,.F.); #24685=ORIENTED_EDGE('',*,*,#31661,.F.); #24686=ORIENTED_EDGE('',*,*,#31658,.T.); #24687=ORIENTED_EDGE('',*,*,#31032,.T.); #24688=ORIENTED_EDGE('',*,*,#31655,.F.); #24689=ORIENTED_EDGE('',*,*,#31662,.F.); #24690=ORIENTED_EDGE('',*,*,#31656,.T.); #24691=ORIENTED_EDGE('',*,*,#31659,.T.); #24692=ORIENTED_EDGE('',*,*,#31661,.T.); #24693=ORIENTED_EDGE('',*,*,#31654,.T.); #24694=ORIENTED_EDGE('',*,*,#31657,.T.); #24695=ORIENTED_EDGE('',*,*,#31662,.T.); #24696=ORIENTED_EDGE('',*,*,#31200,.F.); #24697=ORIENTED_EDGE('',*,*,#31663,.F.); #24698=ORIENTED_EDGE('',*,*,#31664,.T.); #24699=ORIENTED_EDGE('',*,*,#31665,.T.); #24700=ORIENTED_EDGE('',*,*,#31201,.F.); #24701=ORIENTED_EDGE('',*,*,#31665,.F.); #24702=ORIENTED_EDGE('',*,*,#31666,.T.); #24703=ORIENTED_EDGE('',*,*,#31667,.T.); #24704=ORIENTED_EDGE('',*,*,#31202,.F.); #24705=ORIENTED_EDGE('',*,*,#31667,.F.); #24706=ORIENTED_EDGE('',*,*,#31668,.T.); #24707=ORIENTED_EDGE('',*,*,#31669,.T.); #24708=ORIENTED_EDGE('',*,*,#31199,.F.); #24709=ORIENTED_EDGE('',*,*,#31669,.F.); #24710=ORIENTED_EDGE('',*,*,#31670,.T.); #24711=ORIENTED_EDGE('',*,*,#31663,.T.); #24712=ORIENTED_EDGE('',*,*,#31664,.F.); #24713=ORIENTED_EDGE('',*,*,#31670,.F.); #24714=ORIENTED_EDGE('',*,*,#31668,.F.); #24715=ORIENTED_EDGE('',*,*,#31666,.F.); #24716=ORIENTED_EDGE('',*,*,#31671,.T.); #24717=ORIENTED_EDGE('',*,*,#31672,.F.); #24718=ORIENTED_EDGE('',*,*,#31673,.F.); #24719=ORIENTED_EDGE('',*,*,#31674,.T.); #24720=ORIENTED_EDGE('',*,*,#31675,.T.); #24721=ORIENTED_EDGE('',*,*,#31676,.F.); #24722=ORIENTED_EDGE('',*,*,#31677,.F.); #24723=ORIENTED_EDGE('',*,*,#31672,.T.); #24724=ORIENTED_EDGE('',*,*,#31678,.T.); #24725=ORIENTED_EDGE('',*,*,#31679,.F.); #24726=ORIENTED_EDGE('',*,*,#31680,.F.); #24727=ORIENTED_EDGE('',*,*,#31676,.T.); #24728=ORIENTED_EDGE('',*,*,#31681,.T.); #24729=ORIENTED_EDGE('',*,*,#31682,.F.); #24730=ORIENTED_EDGE('',*,*,#31683,.F.); #24731=ORIENTED_EDGE('',*,*,#31679,.T.); #24732=ORIENTED_EDGE('',*,*,#31022,.T.); #24733=ORIENTED_EDGE('',*,*,#31684,.F.); #24734=ORIENTED_EDGE('',*,*,#31685,.F.); #24735=ORIENTED_EDGE('',*,*,#31686,.T.); #24736=ORIENTED_EDGE('',*,*,#31030,.T.); #24737=ORIENTED_EDGE('',*,*,#31687,.F.); #24738=ORIENTED_EDGE('',*,*,#31688,.F.); #24739=ORIENTED_EDGE('',*,*,#31684,.T.); #24740=ORIENTED_EDGE('',*,*,#31029,.T.); #24741=ORIENTED_EDGE('',*,*,#31689,.F.); #24742=ORIENTED_EDGE('',*,*,#31690,.F.); #24743=ORIENTED_EDGE('',*,*,#31687,.T.); #24744=ORIENTED_EDGE('',*,*,#31028,.T.); #24745=ORIENTED_EDGE('',*,*,#31691,.F.); #24746=ORIENTED_EDGE('',*,*,#31692,.F.); #24747=ORIENTED_EDGE('',*,*,#31689,.T.); #24748=ORIENTED_EDGE('',*,*,#31027,.T.); #24749=ORIENTED_EDGE('',*,*,#31693,.F.); #24750=ORIENTED_EDGE('',*,*,#31694,.F.); #24751=ORIENTED_EDGE('',*,*,#31691,.T.); #24752=ORIENTED_EDGE('',*,*,#31026,.T.); #24753=ORIENTED_EDGE('',*,*,#31695,.F.); #24754=ORIENTED_EDGE('',*,*,#31696,.F.); #24755=ORIENTED_EDGE('',*,*,#31693,.T.); #24756=ORIENTED_EDGE('',*,*,#31025,.T.); #24757=ORIENTED_EDGE('',*,*,#31697,.F.); #24758=ORIENTED_EDGE('',*,*,#31698,.F.); #24759=ORIENTED_EDGE('',*,*,#31695,.T.); #24760=ORIENTED_EDGE('',*,*,#31024,.T.); #24761=ORIENTED_EDGE('',*,*,#31699,.F.); #24762=ORIENTED_EDGE('',*,*,#31700,.F.); #24763=ORIENTED_EDGE('',*,*,#31697,.T.); #24764=ORIENTED_EDGE('',*,*,#31023,.T.); #24765=ORIENTED_EDGE('',*,*,#31686,.F.); #24766=ORIENTED_EDGE('',*,*,#31701,.F.); #24767=ORIENTED_EDGE('',*,*,#31699,.T.); #24768=ORIENTED_EDGE('',*,*,#31702,.T.); #24769=ORIENTED_EDGE('',*,*,#31674,.F.); #24770=ORIENTED_EDGE('',*,*,#31703,.F.); #24771=ORIENTED_EDGE('',*,*,#31682,.T.); #24772=ORIENTED_EDGE('',*,*,#31685,.T.); #24773=ORIENTED_EDGE('',*,*,#31688,.T.); #24774=ORIENTED_EDGE('',*,*,#31690,.T.); #24775=ORIENTED_EDGE('',*,*,#31692,.T.); #24776=ORIENTED_EDGE('',*,*,#31694,.T.); #24777=ORIENTED_EDGE('',*,*,#31696,.T.); #24778=ORIENTED_EDGE('',*,*,#31698,.T.); #24779=ORIENTED_EDGE('',*,*,#31700,.T.); #24780=ORIENTED_EDGE('',*,*,#31701,.T.); #24781=ORIENTED_EDGE('',*,*,#31673,.T.); #24782=ORIENTED_EDGE('',*,*,#31677,.T.); #24783=ORIENTED_EDGE('',*,*,#31680,.T.); #24784=ORIENTED_EDGE('',*,*,#31683,.T.); #24785=ORIENTED_EDGE('',*,*,#31703,.T.); #24786=ORIENTED_EDGE('',*,*,#31671,.F.); #24787=ORIENTED_EDGE('',*,*,#31702,.F.); #24788=ORIENTED_EDGE('',*,*,#31681,.F.); #24789=ORIENTED_EDGE('',*,*,#31678,.F.); #24790=ORIENTED_EDGE('',*,*,#31675,.F.); #24791=ORIENTED_EDGE('',*,*,#31479,.F.); #24792=ORIENTED_EDGE('',*,*,#31704,.T.); #24793=ORIENTED_EDGE('',*,*,#31034,.T.); #24794=ORIENTED_EDGE('',*,*,#31474,.F.); #24795=ORIENTED_EDGE('',*,*,#31036,.T.); #24796=ORIENTED_EDGE('',*,*,#31705,.F.); #24797=ORIENTED_EDGE('',*,*,#31477,.F.); #24798=ORIENTED_EDGE('',*,*,#31706,.T.); #24799=ORIENTED_EDGE('',*,*,#31035,.T.); #24800=ORIENTED_EDGE('',*,*,#31704,.F.); #24801=ORIENTED_EDGE('',*,*,#31478,.F.); #24802=ORIENTED_EDGE('',*,*,#31705,.T.); #24803=ORIENTED_EDGE('',*,*,#31468,.T.); #24804=ORIENTED_EDGE('',*,*,#31038,.T.); #24805=ORIENTED_EDGE('',*,*,#31707,.F.); #24806=ORIENTED_EDGE('',*,*,#31475,.F.); #24807=ORIENTED_EDGE('',*,*,#31037,.T.); #24808=ORIENTED_EDGE('',*,*,#31706,.F.); #24809=ORIENTED_EDGE('',*,*,#31476,.F.); #24810=ORIENTED_EDGE('',*,*,#31707,.T.); #24811=VERTEX_POINT('',#41053); #24812=VERTEX_POINT('',#41054); #24813=VERTEX_POINT('',#41059); #24814=VERTEX_POINT('',#41064); #24815=VERTEX_POINT('',#41069); #24816=VERTEX_POINT('',#41074); #24817=VERTEX_POINT('',#41079); #24818=VERTEX_POINT('',#41084); #24819=VERTEX_POINT('',#41093); #24820=VERTEX_POINT('',#41094); #24821=VERTEX_POINT('',#41099); #24822=VERTEX_POINT('',#41104); #24823=VERTEX_POINT('',#41109); #24824=VERTEX_POINT('',#41114); #24825=VERTEX_POINT('',#41119); #24826=VERTEX_POINT('',#41124); #24827=VERTEX_POINT('',#41129); #24828=VERTEX_POINT('',#41134); #24829=VERTEX_POINT('',#41139); #24830=VERTEX_POINT('',#41144); #24831=VERTEX_POINT('',#41149); #24832=VERTEX_POINT('',#41158); #24833=VERTEX_POINT('',#41159); #24834=VERTEX_POINT('',#41164); #24835=VERTEX_POINT('',#41169); #24836=VERTEX_POINT('',#41174); #24837=VERTEX_POINT('',#41179); #24838=VERTEX_POINT('',#41184); #24839=VERTEX_POINT('',#41189); #24840=VERTEX_POINT('',#41194); #24841=VERTEX_POINT('',#41199); #24842=VERTEX_POINT('',#41208); #24843=VERTEX_POINT('',#41209); #24844=VERTEX_POINT('',#41214); #24845=VERTEX_POINT('',#41219); #24846=VERTEX_POINT('',#41224); #24847=VERTEX_POINT('',#41229); #24848=VERTEX_POINT('',#41234); #24849=VERTEX_POINT('',#41239); #24850=VERTEX_POINT('',#41244); #24851=VERTEX_POINT('',#41249); #24852=VERTEX_POINT('',#41278); #24853=VERTEX_POINT('',#41279); #24854=VERTEX_POINT('',#41284); #24855=VERTEX_POINT('',#41289); #24856=VERTEX_POINT('',#41298); #24857=VERTEX_POINT('',#41299); #24858=VERTEX_POINT('',#41304); #24859=VERTEX_POINT('',#41309); #24860=VERTEX_POINT('',#41314); #24861=VERTEX_POINT('',#41319); #24862=VERTEX_POINT('',#41325); #24863=VERTEX_POINT('',#41326); #24864=VERTEX_POINT('',#41328); #24865=VERTEX_POINT('',#41330); #24866=VERTEX_POINT('',#41332); #24867=VERTEX_POINT('',#41334); #24868=VERTEX_POINT('',#41336); #24869=VERTEX_POINT('',#41338); #24870=VERTEX_POINT('',#41340); #24871=VERTEX_POINT('',#41342); #24872=VERTEX_POINT('',#41344); #24873=VERTEX_POINT('',#41346); #24874=VERTEX_POINT('',#41348); #24875=VERTEX_POINT('',#41350); #24876=VERTEX_POINT('',#41352); #24877=VERTEX_POINT('',#41354); #24878=VERTEX_POINT('',#41356); #24879=VERTEX_POINT('',#41358); #24880=VERTEX_POINT('',#41360); #24881=VERTEX_POINT('',#41362); #24882=VERTEX_POINT('',#41364); #24883=VERTEX_POINT('',#41366); #24884=VERTEX_POINT('',#41368); #24885=VERTEX_POINT('',#41370); #24886=VERTEX_POINT('',#41372); #24887=VERTEX_POINT('',#41374); #24888=VERTEX_POINT('',#41376); #24889=VERTEX_POINT('',#41378); #24890=VERTEX_POINT('',#41380); #24891=VERTEX_POINT('',#41382); #24892=VERTEX_POINT('',#41384); #24893=VERTEX_POINT('',#41386); #24894=VERTEX_POINT('',#41408); #24895=VERTEX_POINT('',#41409); #24896=VERTEX_POINT('',#41414); #24897=VERTEX_POINT('',#41453); #24898=VERTEX_POINT('',#41454); #24899=VERTEX_POINT('',#41459); #24900=VERTEX_POINT('',#41464); #24901=VERTEX_POINT('',#41469); #24902=VERTEX_POINT('',#41492); #24903=VERTEX_POINT('',#41493); #24904=VERTEX_POINT('',#41498); #24905=VERTEX_POINT('',#41503); #24906=VERTEX_POINT('',#41508); #24907=VERTEX_POINT('',#41513); #24908=VERTEX_POINT('',#41518); #24909=VERTEX_POINT('',#41537); #24910=VERTEX_POINT('',#41568); #24911=VERTEX_POINT('',#41573); #24912=VERTEX_POINT('',#41574); #24913=VERTEX_POINT('',#41579); #24914=VERTEX_POINT('',#41584); #24915=VERTEX_POINT('',#41589); #24916=VERTEX_POINT('',#41594); #24917=VERTEX_POINT('',#41599); #24918=VERTEX_POINT('',#41604); #24919=VERTEX_POINT('',#41609); #24920=VERTEX_POINT('',#41614); #24921=VERTEX_POINT('',#41631); #24922=VERTEX_POINT('',#41632); #24923=VERTEX_POINT('',#41647); #24924=VERTEX_POINT('',#41648); #24925=VERTEX_POINT('',#41653); #24926=VERTEX_POINT('',#41658); #24927=VERTEX_POINT('',#41663); #24928=VERTEX_POINT('',#41668); #24929=VERTEX_POINT('',#41673); #24930=VERTEX_POINT('',#41678); #24931=VERTEX_POINT('',#41685); #24932=VERTEX_POINT('',#41686); #24933=VERTEX_POINT('',#41688); #24934=VERTEX_POINT('',#41690); #24935=VERTEX_POINT('',#41692); #24936=VERTEX_POINT('',#41694); #24937=VERTEX_POINT('',#41696); #24938=VERTEX_POINT('',#41698); #24939=VERTEX_POINT('',#41700); #24940=VERTEX_POINT('',#41702); #24941=VERTEX_POINT('',#41706); #24942=VERTEX_POINT('',#41707); #24943=VERTEX_POINT('',#41709); #24944=VERTEX_POINT('',#41711); #24945=VERTEX_POINT('',#41713); #24946=VERTEX_POINT('',#41715); #24947=VERTEX_POINT('',#41717); #24948=VERTEX_POINT('',#41721); #24949=VERTEX_POINT('',#41722); #24950=VERTEX_POINT('',#41724); #24951=VERTEX_POINT('',#41726); #24952=VERTEX_POINT('',#41728); #24953=VERTEX_POINT('',#41730); #24954=VERTEX_POINT('',#41732); #24955=VERTEX_POINT('',#41736); #24956=VERTEX_POINT('',#41737); #24957=VERTEX_POINT('',#41742); #24958=VERTEX_POINT('',#41743); #24959=VERTEX_POINT('',#41745); #24960=VERTEX_POINT('',#41750); #24961=VERTEX_POINT('',#41751); #24962=VERTEX_POINT('',#41756); #24963=VERTEX_POINT('',#41758); #24964=VERTEX_POINT('',#41760); #24965=VERTEX_POINT('',#41762); #24966=VERTEX_POINT('',#41764); #24967=VERTEX_POINT('',#41766); #24968=VERTEX_POINT('',#41768); #24969=VERTEX_POINT('',#41770); #24970=VERTEX_POINT('',#41772); #24971=VERTEX_POINT('',#41774); #24972=VERTEX_POINT('',#41776); #24973=VERTEX_POINT('',#41778); #24974=VERTEX_POINT('',#41780); #24975=VERTEX_POINT('',#41783); #24976=VERTEX_POINT('',#41785); #24977=VERTEX_POINT('',#41787); #24978=VERTEX_POINT('',#41789); #24979=VERTEX_POINT('',#41791); #24980=VERTEX_POINT('',#41795); #24981=VERTEX_POINT('',#41797); #24982=VERTEX_POINT('',#41801); #24983=VERTEX_POINT('',#41811); #24984=VERTEX_POINT('',#41815); #24985=VERTEX_POINT('',#41819); #24986=VERTEX_POINT('',#41820); #24987=VERTEX_POINT('',#41822); #24988=VERTEX_POINT('',#41824); #24989=VERTEX_POINT('',#41828); #24990=VERTEX_POINT('',#41830); #24991=VERTEX_POINT('',#41834); #24992=VERTEX_POINT('',#41836); #24993=VERTEX_POINT('',#41838); #24994=VERTEX_POINT('',#41840); #24995=VERTEX_POINT('',#41844); #24996=VERTEX_POINT('',#41846); #24997=VERTEX_POINT('',#41849); #24998=VERTEX_POINT('',#41851); #24999=VERTEX_POINT('',#41853); #25000=VERTEX_POINT('',#41855); #25001=VERTEX_POINT('',#41859); #25002=VERTEX_POINT('',#41860); #25003=VERTEX_POINT('',#41863); #25004=VERTEX_POINT('',#41865); #25005=VERTEX_POINT('',#41867); #25006=VERTEX_POINT('',#41870); #25007=VERTEX_POINT('',#41873); #25008=VERTEX_POINT('',#41876); #25009=VERTEX_POINT('',#41877); #25010=VERTEX_POINT('',#41879); #25011=VERTEX_POINT('',#41881); #25012=VERTEX_POINT('',#41883); #25013=VERTEX_POINT('',#41885); #25014=VERTEX_POINT('',#41887); #25015=VERTEX_POINT('',#41889); #25016=VERTEX_POINT('',#41893); #25017=VERTEX_POINT('',#41894); #25018=VERTEX_POINT('',#41897); #25019=VERTEX_POINT('',#41899); #25020=VERTEX_POINT('',#41901); #25021=VERTEX_POINT('',#41903); #25022=VERTEX_POINT('',#41905); #25023=VERTEX_POINT('',#41907); #25024=VERTEX_POINT('',#41911); #25025=VERTEX_POINT('',#41913); #25026=VERTEX_POINT('',#41915); #25027=VERTEX_POINT('',#41917); #25028=VERTEX_POINT('',#41919); #25029=VERTEX_POINT('',#41921); #25030=VERTEX_POINT('',#41925); #25031=VERTEX_POINT('',#41927); #25032=VERTEX_POINT('',#41929); #25033=VERTEX_POINT('',#41932); #25034=VERTEX_POINT('',#41934); #25035=VERTEX_POINT('',#41936); #25036=VERTEX_POINT('',#41938); #25037=VERTEX_POINT('',#41942); #25038=VERTEX_POINT('',#41944); #25039=VERTEX_POINT('',#41946); #25040=VERTEX_POINT('',#41948); #25041=VERTEX_POINT('',#41953); #25042=VERTEX_POINT('',#41955); #25043=VERTEX_POINT('',#41957); #25044=VERTEX_POINT('',#41962); #25045=VERTEX_POINT('',#41965); #25046=VERTEX_POINT('',#41968); #25047=VERTEX_POINT('',#41971); #25048=VERTEX_POINT('',#41972); #25049=VERTEX_POINT('',#41974); #25050=VERTEX_POINT('',#41976); #25051=VERTEX_POINT('',#41980); #25052=VERTEX_POINT('',#41981); #25053=VERTEX_POINT('',#41983); #25054=VERTEX_POINT('',#41985); #25055=VERTEX_POINT('',#41988); #25056=VERTEX_POINT('',#41992); #25057=VERTEX_POINT('',#41993); #25058=VERTEX_POINT('',#41996); #25059=VERTEX_POINT('',#41998); #25060=VERTEX_POINT('',#42000); #25061=VERTEX_POINT('',#42002); #25062=VERTEX_POINT('',#42004); #25063=VERTEX_POINT('',#42009); #25064=VERTEX_POINT('',#42010); #25065=VERTEX_POINT('',#42012); #25066=VERTEX_POINT('',#42014); #25067=VERTEX_POINT('',#42018); #25068=VERTEX_POINT('',#42019); #25069=VERTEX_POINT('',#42021); #25070=VERTEX_POINT('',#42023); #25071=VERTEX_POINT('',#42026); #25072=VERTEX_POINT('',#42030); #25073=VERTEX_POINT('',#42031); #25074=VERTEX_POINT('',#42033); #25075=VERTEX_POINT('',#42035); #25076=VERTEX_POINT('',#42037); #25077=VERTEX_POINT('',#42050); #25078=VERTEX_POINT('',#42053); #25079=VERTEX_POINT('',#42058); #25080=VERTEX_POINT('',#42061); #25081=VERTEX_POINT('',#42135); #25082=VERTEX_POINT('',#42139); #25083=VERTEX_POINT('',#42141); #25084=VERTEX_POINT('',#42143); #25085=VERTEX_POINT('',#42146); #25086=VERTEX_POINT('',#42148); #25087=VERTEX_POINT('',#42150); #25088=VERTEX_POINT('',#42153); #25089=VERTEX_POINT('',#42155); #25090=VERTEX_POINT('',#42158); #25091=VERTEX_POINT('',#42160); #25092=VERTEX_POINT('',#42163); #25093=VERTEX_POINT('',#42165); #25094=VERTEX_POINT('',#42168); #25095=VERTEX_POINT('',#42170); #25096=VERTEX_POINT('',#42176); #25097=VERTEX_POINT('',#42182); #25098=VERTEX_POINT('',#42186); #25099=VERTEX_POINT('',#42187); #25100=VERTEX_POINT('',#42189); #25101=VERTEX_POINT('',#42191); #25102=VERTEX_POINT('',#42195); #25103=VERTEX_POINT('',#42196); #25104=VERTEX_POINT('',#42199); #25105=VERTEX_POINT('',#42201); #25106=VERTEX_POINT('',#42204); #25107=VERTEX_POINT('',#42206); #25108=VERTEX_POINT('',#42208); #25109=VERTEX_POINT('',#42210); #25110=VERTEX_POINT('',#42213); #25111=VERTEX_POINT('',#42215); #25112=VERTEX_POINT('',#42224); #25113=VERTEX_POINT('',#42228); #25114=VERTEX_POINT('',#42230); #25115=VERTEX_POINT('',#42236); #25116=VERTEX_POINT('',#42244); #25117=VERTEX_POINT('',#42246); #25118=VERTEX_POINT('',#42251); #25119=VERTEX_POINT('',#42253); #25120=VERTEX_POINT('',#42255); #25121=VERTEX_POINT('',#42268); #25122=VERTEX_POINT('',#42272); #25123=VERTEX_POINT('',#42299); #25124=VERTEX_POINT('',#42301); #25125=VERTEX_POINT('',#42307); #25126=VERTEX_POINT('',#42309); #25127=VERTEX_POINT('',#42336); #25128=VERTEX_POINT('',#42338); #25129=VERTEX_POINT('',#42342); #25130=VERTEX_POINT('',#42346); #25131=VERTEX_POINT('',#42362); #25132=VERTEX_POINT('',#42363); #25133=VERTEX_POINT('',#42365); #25134=VERTEX_POINT('',#42376); #25135=VERTEX_POINT('',#42389); #25136=VERTEX_POINT('',#42394); #25137=VERTEX_POINT('',#42418); #25138=VERTEX_POINT('',#42458); #25139=VERTEX_POINT('',#42479); #25140=VERTEX_POINT('',#42580); #25141=VERTEX_POINT('',#42585); #25142=VERTEX_POINT('',#42592); #25143=VERTEX_POINT('',#42599); #25144=VERTEX_POINT('',#42606); #25145=VERTEX_POINT('',#42613); #25146=VERTEX_POINT('',#42626); #25147=VERTEX_POINT('',#42627); #25148=VERTEX_POINT('',#42629); #25149=VERTEX_POINT('',#42634); #25150=VERTEX_POINT('',#42659); #25151=VERTEX_POINT('',#42682); #25152=VERTEX_POINT('',#42710); #25153=VERTEX_POINT('',#42715); #25154=VERTEX_POINT('',#42719); #25155=VERTEX_POINT('',#42724); #25156=VERTEX_POINT('',#42734); #25157=VERTEX_POINT('',#42741); #25158=VERTEX_POINT('',#42748); #25159=VERTEX_POINT('',#42803); #25160=VERTEX_POINT('',#42808); #25161=VERTEX_POINT('',#42815); #25162=VERTEX_POINT('',#42822); #25163=VERTEX_POINT('',#42891); #25164=VERTEX_POINT('',#42910); #25165=VERTEX_POINT('',#42932); #25166=VERTEX_POINT('',#42937); #25167=VERTEX_POINT('',#42944); #25168=VERTEX_POINT('',#42951); #25169=VERTEX_POINT('',#42958); #25170=VERTEX_POINT('',#42979); #25171=VERTEX_POINT('',#43003); #25172=VERTEX_POINT('',#43024); #25173=VERTEX_POINT('',#43054); #25174=VERTEX_POINT('',#43055); #25175=VERTEX_POINT('',#43057); #25176=VERTEX_POINT('',#43062); #25177=VERTEX_POINT('',#43069); #25178=VERTEX_POINT('',#43074); #25179=VERTEX_POINT('',#43078); #25180=VERTEX_POINT('',#43083); #25181=VERTEX_POINT('',#43090); #25182=VERTEX_POINT('',#43097); #25183=VERTEX_POINT('',#43104); #25184=VERTEX_POINT('',#43111); #25185=VERTEX_POINT('',#43118); #25186=VERTEX_POINT('',#43125); #25187=VERTEX_POINT('',#43132); #25188=VERTEX_POINT('',#43139); #25189=VERTEX_POINT('',#43172); #25190=VERTEX_POINT('',#43173); #25191=VERTEX_POINT('',#43175); #25192=VERTEX_POINT('',#43190); #25193=VERTEX_POINT('',#43232); #25194=VERTEX_POINT('',#43304); #25195=VERTEX_POINT('',#43309); #25196=VERTEX_POINT('',#43316); #25197=VERTEX_POINT('',#43323); #25198=VERTEX_POINT('',#43330); #25199=VERTEX_POINT('',#43337); #25200=VERTEX_POINT('',#43344); #25201=VERTEX_POINT('',#43351); #25202=VERTEX_POINT('',#43358); #25203=VERTEX_POINT('',#43365); #25204=VERTEX_POINT('',#43378); #25205=VERTEX_POINT('',#43379); #25206=VERTEX_POINT('',#43381); #25207=VERTEX_POINT('',#43386); #25208=VERTEX_POINT('',#43393); #25209=VERTEX_POINT('',#43398); #25210=VERTEX_POINT('',#43402); #25211=VERTEX_POINT('',#43407); #25212=VERTEX_POINT('',#43414); #25213=VERTEX_POINT('',#43421); #25214=VERTEX_POINT('',#43428); #25215=VERTEX_POINT('',#43435); #25216=VERTEX_POINT('',#43442); #25217=VERTEX_POINT('',#43449); #25218=VERTEX_POINT('',#43456); #25219=VERTEX_POINT('',#43463); #25220=VERTEX_POINT('',#43483); #25221=VERTEX_POINT('',#43488); #25222=VERTEX_POINT('',#43495); #25223=VERTEX_POINT('',#43502); #25224=VERTEX_POINT('',#43509); #25225=VERTEX_POINT('',#43516); #25226=VERTEX_POINT('',#43523); #25227=VERTEX_POINT('',#43530); #25228=VERTEX_POINT('',#43537); #25229=VERTEX_POINT('',#43544); #25230=VERTEX_POINT('',#43551); #25231=VERTEX_POINT('',#43558); #25232=VERTEX_POINT('',#43565); #25233=VERTEX_POINT('',#43586); #25234=VERTEX_POINT('',#43587); #25235=VERTEX_POINT('',#43589); #25236=VERTEX_POINT('',#43602); #25237=VERTEX_POINT('',#43617); #25238=VERTEX_POINT('',#43628); #25239=VERTEX_POINT('',#43709); #25240=VERTEX_POINT('',#43714); #25241=VERTEX_POINT('',#43721); #25242=VERTEX_POINT('',#43728); #25243=VERTEX_POINT('',#43735); #25244=VERTEX_POINT('',#43742); #25245=VERTEX_POINT('',#43749); #25246=VERTEX_POINT('',#43756); #25247=VERTEX_POINT('',#43769); #25248=VERTEX_POINT('',#43770); #25249=VERTEX_POINT('',#43772); #25250=VERTEX_POINT('',#43777); #25251=VERTEX_POINT('',#43784); #25252=VERTEX_POINT('',#43789); #25253=VERTEX_POINT('',#43793); #25254=VERTEX_POINT('',#43798); #25255=VERTEX_POINT('',#43805); #25256=VERTEX_POINT('',#43812); #25257=VERTEX_POINT('',#43819); #25258=VERTEX_POINT('',#43826); #25259=VERTEX_POINT('',#43833); #25260=VERTEX_POINT('',#43840); #25261=VERTEX_POINT('',#43860); #25262=VERTEX_POINT('',#43861); #25263=VERTEX_POINT('',#43863); #25264=VERTEX_POINT('',#43867); #25265=VERTEX_POINT('',#43868); #25266=VERTEX_POINT('',#43870); #25267=VERTEX_POINT('',#43872); #25268=VERTEX_POINT('',#43876); #25269=VERTEX_POINT('',#43877); #25270=VERTEX_POINT('',#43879); #25271=VERTEX_POINT('',#43881); #25272=VERTEX_POINT('',#43885); #25273=VERTEX_POINT('',#43886); #25274=VERTEX_POINT('',#43891); #25275=VERTEX_POINT('',#43897); #25276=VERTEX_POINT('',#43898); #25277=VERTEX_POINT('',#43903); #25278=VERTEX_POINT('',#43905); #25279=VERTEX_POINT('',#43911); #25280=VERTEX_POINT('',#43912); #25281=VERTEX_POINT('',#43914); #25282=VERTEX_POINT('',#43916); #25283=VERTEX_POINT('',#43920); #25284=VERTEX_POINT('',#43922); #25285=VERTEX_POINT('',#43926); #25286=VERTEX_POINT('',#43928); #25287=VERTEX_POINT('',#43932); #25288=VERTEX_POINT('',#43934); #25289=VERTEX_POINT('',#43938); #25290=VERTEX_POINT('',#43940); #25291=VERTEX_POINT('',#43944); #25292=VERTEX_POINT('',#43946); #25293=VERTEX_POINT('',#43950); #25294=VERTEX_POINT('',#43952); #25295=VERTEX_POINT('',#43956); #25296=VERTEX_POINT('',#43958); #25297=VERTEX_POINT('',#43962); #25298=VERTEX_POINT('',#43964); #25299=VERTEX_POINT('',#43968); #25300=VERTEX_POINT('',#43969); #25301=VERTEX_POINT('',#43974); #25302=VERTEX_POINT('',#43975); #25303=VERTEX_POINT('',#43977); #25304=VERTEX_POINT('',#43979); #25305=VERTEX_POINT('',#43983); #25306=VERTEX_POINT('',#43985); #25307=VERTEX_POINT('',#43989); #25308=VERTEX_POINT('',#43991); #25309=VERTEX_POINT('',#43995); #25310=VERTEX_POINT('',#43997); #25311=VERTEX_POINT('',#44001); #25312=VERTEX_POINT('',#44003); #25313=VERTEX_POINT('',#44007); #25314=VERTEX_POINT('',#44009); #25315=VERTEX_POINT('',#44013); #25316=VERTEX_POINT('',#44015); #25317=VERTEX_POINT('',#44019); #25318=VERTEX_POINT('',#44021); #25319=VERTEX_POINT('',#44025); #25320=VERTEX_POINT('',#44027); #25321=VERTEX_POINT('',#44031); #25322=VERTEX_POINT('',#44032); #25323=VERTEX_POINT('',#44037); #25324=VERTEX_POINT('',#44038); #25325=VERTEX_POINT('',#44040); #25326=VERTEX_POINT('',#44042); #25327=VERTEX_POINT('',#44046); #25328=VERTEX_POINT('',#44048); #25329=VERTEX_POINT('',#44052); #25330=VERTEX_POINT('',#44054); #25331=VERTEX_POINT('',#44058); #25332=VERTEX_POINT('',#44060); #25333=VERTEX_POINT('',#44064); #25334=VERTEX_POINT('',#44066); #25335=VERTEX_POINT('',#44070); #25336=VERTEX_POINT('',#44072); #25337=VERTEX_POINT('',#44076); #25338=VERTEX_POINT('',#44078); #25339=VERTEX_POINT('',#44082); #25340=VERTEX_POINT('',#44084); #25341=VERTEX_POINT('',#44088); #25342=VERTEX_POINT('',#44090); #25343=VERTEX_POINT('',#44094); #25344=VERTEX_POINT('',#44095); #25345=VERTEX_POINT('',#44100); #25346=VERTEX_POINT('',#44101); #25347=VERTEX_POINT('',#44103); #25348=VERTEX_POINT('',#44105); #25349=VERTEX_POINT('',#44109); #25350=VERTEX_POINT('',#44111); #25351=VERTEX_POINT('',#44115); #25352=VERTEX_POINT('',#44117); #25353=VERTEX_POINT('',#44121); #25354=VERTEX_POINT('',#44123); #25355=VERTEX_POINT('',#44127); #25356=VERTEX_POINT('',#44129); #25357=VERTEX_POINT('',#44133); #25358=VERTEX_POINT('',#44135); #25359=VERTEX_POINT('',#44139); #25360=VERTEX_POINT('',#44141); #25361=VERTEX_POINT('',#44145); #25362=VERTEX_POINT('',#44147); #25363=VERTEX_POINT('',#44151); #25364=VERTEX_POINT('',#44153); #25365=VERTEX_POINT('',#44157); #25366=VERTEX_POINT('',#44158); #25367=VERTEX_POINT('',#44163); #25368=VERTEX_POINT('',#44164); #25369=VERTEX_POINT('',#44166); #25370=VERTEX_POINT('',#44168); #25371=VERTEX_POINT('',#44172); #25372=VERTEX_POINT('',#44174); #25373=VERTEX_POINT('',#44178); #25374=VERTEX_POINT('',#44180); #25375=VERTEX_POINT('',#44184); #25376=VERTEX_POINT('',#44186); #25377=VERTEX_POINT('',#44190); #25378=VERTEX_POINT('',#44192); #25379=VERTEX_POINT('',#44196); #25380=VERTEX_POINT('',#44198); #25381=VERTEX_POINT('',#44202); #25382=VERTEX_POINT('',#44204); #25383=VERTEX_POINT('',#44208); #25384=VERTEX_POINT('',#44210); #25385=VERTEX_POINT('',#44214); #25386=VERTEX_POINT('',#44216); #25387=VERTEX_POINT('',#44220); #25388=VERTEX_POINT('',#44221); #25389=VERTEX_POINT('',#44226); #25390=VERTEX_POINT('',#44227); #25391=VERTEX_POINT('',#44229); #25392=VERTEX_POINT('',#44231); #25393=VERTEX_POINT('',#44235); #25394=VERTEX_POINT('',#44237); #25395=VERTEX_POINT('',#44241); #25396=VERTEX_POINT('',#44243); #25397=VERTEX_POINT('',#44247); #25398=VERTEX_POINT('',#44249); #25399=VERTEX_POINT('',#44253); #25400=VERTEX_POINT('',#44255); #25401=VERTEX_POINT('',#44259); #25402=VERTEX_POINT('',#44261); #25403=VERTEX_POINT('',#44265); #25404=VERTEX_POINT('',#44267); #25405=VERTEX_POINT('',#44271); #25406=VERTEX_POINT('',#44273); #25407=VERTEX_POINT('',#44277); #25408=VERTEX_POINT('',#44279); #25409=VERTEX_POINT('',#44283); #25410=VERTEX_POINT('',#44284); #25411=VERTEX_POINT('',#44289); #25412=VERTEX_POINT('',#44290); #25413=VERTEX_POINT('',#44292); #25414=VERTEX_POINT('',#44294); #25415=VERTEX_POINT('',#44298); #25416=VERTEX_POINT('',#44300); #25417=VERTEX_POINT('',#44304); #25418=VERTEX_POINT('',#44306); #25419=VERTEX_POINT('',#44310); #25420=VERTEX_POINT('',#44312); #25421=VERTEX_POINT('',#44316); #25422=VERTEX_POINT('',#44318); #25423=VERTEX_POINT('',#44322); #25424=VERTEX_POINT('',#44324); #25425=VERTEX_POINT('',#44328); #25426=VERTEX_POINT('',#44330); #25427=VERTEX_POINT('',#44334); #25428=VERTEX_POINT('',#44336); #25429=VERTEX_POINT('',#44340); #25430=VERTEX_POINT('',#44342); #25431=VERTEX_POINT('',#44346); #25432=VERTEX_POINT('',#44347); #25433=VERTEX_POINT('',#44352); #25434=VERTEX_POINT('',#44353); #25435=VERTEX_POINT('',#44355); #25436=VERTEX_POINT('',#44357); #25437=VERTEX_POINT('',#44361); #25438=VERTEX_POINT('',#44363); #25439=VERTEX_POINT('',#44367); #25440=VERTEX_POINT('',#44369); #25441=VERTEX_POINT('',#44373); #25442=VERTEX_POINT('',#44375); #25443=VERTEX_POINT('',#44379); #25444=VERTEX_POINT('',#44381); #25445=VERTEX_POINT('',#44385); #25446=VERTEX_POINT('',#44387); #25447=VERTEX_POINT('',#44391); #25448=VERTEX_POINT('',#44393); #25449=VERTEX_POINT('',#44397); #25450=VERTEX_POINT('',#44399); #25451=VERTEX_POINT('',#44403); #25452=VERTEX_POINT('',#44405); #25453=VERTEX_POINT('',#44409); #25454=VERTEX_POINT('',#44410); #25455=VERTEX_POINT('',#44415); #25456=VERTEX_POINT('',#44416); #25457=VERTEX_POINT('',#44418); #25458=VERTEX_POINT('',#44420); #25459=VERTEX_POINT('',#44424); #25460=VERTEX_POINT('',#44426); #25461=VERTEX_POINT('',#44430); #25462=VERTEX_POINT('',#44432); #25463=VERTEX_POINT('',#44436); #25464=VERTEX_POINT('',#44438); #25465=VERTEX_POINT('',#44442); #25466=VERTEX_POINT('',#44444); #25467=VERTEX_POINT('',#44448); #25468=VERTEX_POINT('',#44450); #25469=VERTEX_POINT('',#44454); #25470=VERTEX_POINT('',#44456); #25471=VERTEX_POINT('',#44460); #25472=VERTEX_POINT('',#44462); #25473=VERTEX_POINT('',#44466); #25474=VERTEX_POINT('',#44468); #25475=VERTEX_POINT('',#44472); #25476=VERTEX_POINT('',#44473); #25477=VERTEX_POINT('',#44478); #25478=VERTEX_POINT('',#44479); #25479=VERTEX_POINT('',#44481); #25480=VERTEX_POINT('',#44483); #25481=VERTEX_POINT('',#44487); #25482=VERTEX_POINT('',#44489); #25483=VERTEX_POINT('',#44493); #25484=VERTEX_POINT('',#44495); #25485=VERTEX_POINT('',#44499); #25486=VERTEX_POINT('',#44501); #25487=VERTEX_POINT('',#44505); #25488=VERTEX_POINT('',#44507); #25489=VERTEX_POINT('',#44511); #25490=VERTEX_POINT('',#44513); #25491=VERTEX_POINT('',#44517); #25492=VERTEX_POINT('',#44519); #25493=VERTEX_POINT('',#44523); #25494=VERTEX_POINT('',#44525); #25495=VERTEX_POINT('',#44529); #25496=VERTEX_POINT('',#44531); #25497=VERTEX_POINT('',#44535); #25498=VERTEX_POINT('',#44536); #25499=VERTEX_POINT('',#44541); #25500=VERTEX_POINT('',#44542); #25501=VERTEX_POINT('',#44544); #25502=VERTEX_POINT('',#44546); #25503=VERTEX_POINT('',#44550); #25504=VERTEX_POINT('',#44552); #25505=VERTEX_POINT('',#44556); #25506=VERTEX_POINT('',#44558); #25507=VERTEX_POINT('',#44562); #25508=VERTEX_POINT('',#44564); #25509=VERTEX_POINT('',#44568); #25510=VERTEX_POINT('',#44570); #25511=VERTEX_POINT('',#44574); #25512=VERTEX_POINT('',#44576); #25513=VERTEX_POINT('',#44580); #25514=VERTEX_POINT('',#44582); #25515=VERTEX_POINT('',#44586); #25516=VERTEX_POINT('',#44588); #25517=VERTEX_POINT('',#44592); #25518=VERTEX_POINT('',#44594); #25519=VERTEX_POINT('',#44598); #25520=VERTEX_POINT('',#44599); #25521=VERTEX_POINT('',#44604); #25522=VERTEX_POINT('',#44605); #25523=VERTEX_POINT('',#44607); #25524=VERTEX_POINT('',#44609); #25525=VERTEX_POINT('',#44613); #25526=VERTEX_POINT('',#44615); #25527=VERTEX_POINT('',#44619); #25528=VERTEX_POINT('',#44621); #25529=VERTEX_POINT('',#44625); #25530=VERTEX_POINT('',#44627); #25531=VERTEX_POINT('',#44631); #25532=VERTEX_POINT('',#44633); #25533=VERTEX_POINT('',#44637); #25534=VERTEX_POINT('',#44639); #25535=VERTEX_POINT('',#44643); #25536=VERTEX_POINT('',#44645); #25537=VERTEX_POINT('',#44649); #25538=VERTEX_POINT('',#44651); #25539=VERTEX_POINT('',#44655); #25540=VERTEX_POINT('',#44657); #25541=VERTEX_POINT('',#44661); #25542=VERTEX_POINT('',#44662); #25543=VERTEX_POINT('',#44667); #25544=VERTEX_POINT('',#44668); #25545=VERTEX_POINT('',#44670); #25546=VERTEX_POINT('',#44672); #25547=VERTEX_POINT('',#44676); #25548=VERTEX_POINT('',#44678); #25549=VERTEX_POINT('',#44682); #25550=VERTEX_POINT('',#44684); #25551=VERTEX_POINT('',#44688); #25552=VERTEX_POINT('',#44690); #25553=VERTEX_POINT('',#44694); #25554=VERTEX_POINT('',#44696); #25555=VERTEX_POINT('',#44700); #25556=VERTEX_POINT('',#44702); #25557=VERTEX_POINT('',#44706); #25558=VERTEX_POINT('',#44708); #25559=VERTEX_POINT('',#44712); #25560=VERTEX_POINT('',#44714); #25561=VERTEX_POINT('',#44718); #25562=VERTEX_POINT('',#44720); #25563=VERTEX_POINT('',#44724); #25564=VERTEX_POINT('',#44725); #25565=VERTEX_POINT('',#44730); #25566=VERTEX_POINT('',#44731); #25567=VERTEX_POINT('',#44733); #25568=VERTEX_POINT('',#44735); #25569=VERTEX_POINT('',#44739); #25570=VERTEX_POINT('',#44741); #25571=VERTEX_POINT('',#44745); #25572=VERTEX_POINT('',#44747); #25573=VERTEX_POINT('',#44751); #25574=VERTEX_POINT('',#44753); #25575=VERTEX_POINT('',#44757); #25576=VERTEX_POINT('',#44759); #25577=VERTEX_POINT('',#44763); #25578=VERTEX_POINT('',#44765); #25579=VERTEX_POINT('',#44769); #25580=VERTEX_POINT('',#44771); #25581=VERTEX_POINT('',#44775); #25582=VERTEX_POINT('',#44777); #25583=VERTEX_POINT('',#44781); #25584=VERTEX_POINT('',#44783); #25585=VERTEX_POINT('',#44787); #25586=VERTEX_POINT('',#44788); #25587=VERTEX_POINT('',#44793); #25588=VERTEX_POINT('',#44794); #25589=VERTEX_POINT('',#44796); #25590=VERTEX_POINT('',#44798); #25591=VERTEX_POINT('',#44802); #25592=VERTEX_POINT('',#44804); #25593=VERTEX_POINT('',#44808); #25594=VERTEX_POINT('',#44810); #25595=VERTEX_POINT('',#44814); #25596=VERTEX_POINT('',#44816); #25597=VERTEX_POINT('',#44820); #25598=VERTEX_POINT('',#44822); #25599=VERTEX_POINT('',#44826); #25600=VERTEX_POINT('',#44828); #25601=VERTEX_POINT('',#44832); #25602=VERTEX_POINT('',#44834); #25603=VERTEX_POINT('',#44838); #25604=VERTEX_POINT('',#44840); #25605=VERTEX_POINT('',#44844); #25606=VERTEX_POINT('',#44846); #25607=VERTEX_POINT('',#44850); #25608=VERTEX_POINT('',#44851); #25609=VERTEX_POINT('',#44856); #25610=VERTEX_POINT('',#44857); #25611=VERTEX_POINT('',#44859); #25612=VERTEX_POINT('',#44861); #25613=VERTEX_POINT('',#44865); #25614=VERTEX_POINT('',#44867); #25615=VERTEX_POINT('',#44871); #25616=VERTEX_POINT('',#44873); #25617=VERTEX_POINT('',#44877); #25618=VERTEX_POINT('',#44879); #25619=VERTEX_POINT('',#44883); #25620=VERTEX_POINT('',#44885); #25621=VERTEX_POINT('',#44889); #25622=VERTEX_POINT('',#44891); #25623=VERTEX_POINT('',#44895); #25624=VERTEX_POINT('',#44897); #25625=VERTEX_POINT('',#44901); #25626=VERTEX_POINT('',#44903); #25627=VERTEX_POINT('',#44907); #25628=VERTEX_POINT('',#44909); #25629=VERTEX_POINT('',#44913); #25630=VERTEX_POINT('',#44914); #25631=VERTEX_POINT('',#44919); #25632=VERTEX_POINT('',#44921); #25633=VERTEX_POINT('',#44925); #25634=VERTEX_POINT('',#44926); #25635=VERTEX_POINT('',#44928); #25636=VERTEX_POINT('',#44930); #25637=VERTEX_POINT('',#44934); #25638=VERTEX_POINT('',#44936); #25639=VERTEX_POINT('',#44940); #25640=VERTEX_POINT('',#44941); #25641=VERTEX_POINT('',#44946); #25642=VERTEX_POINT('',#44947); #25643=VERTEX_POINT('',#44949); #25644=VERTEX_POINT('',#44951); #25645=VERTEX_POINT('',#44953); #25646=VERTEX_POINT('',#44955); #25647=VERTEX_POINT('',#44957); #25648=VERTEX_POINT('',#44959); #25649=VERTEX_POINT('',#44961); #25650=VERTEX_POINT('',#44963); #25651=VERTEX_POINT('',#44965); #25652=VERTEX_POINT('',#44967); #25653=VERTEX_POINT('',#44969); #25654=VERTEX_POINT('',#44971); #25655=VERTEX_POINT('',#44973); #25656=VERTEX_POINT('',#44975); #25657=VERTEX_POINT('',#44977); #25658=VERTEX_POINT('',#44979); #25659=VERTEX_POINT('',#44981); #25660=VERTEX_POINT('',#44983); #25661=VERTEX_POINT('',#44985); #25662=VERTEX_POINT('',#44987); #25663=VERTEX_POINT('',#44989); #25664=VERTEX_POINT('',#44991); #25665=VERTEX_POINT('',#44993); #25666=VERTEX_POINT('',#44995); #25667=VERTEX_POINT('',#44997); #25668=VERTEX_POINT('',#44999); #25669=VERTEX_POINT('',#45001); #25670=VERTEX_POINT('',#45003); #25671=VERTEX_POINT('',#45005); #25672=VERTEX_POINT('',#45007); #25673=VERTEX_POINT('',#45009); #25674=VERTEX_POINT('',#45011); #25675=VERTEX_POINT('',#45014); #25676=VERTEX_POINT('',#45016); #25677=VERTEX_POINT('',#45018); #25678=VERTEX_POINT('',#45020); #25679=VERTEX_POINT('',#45022); #25680=VERTEX_POINT('',#45024); #25681=VERTEX_POINT('',#45026); #25682=VERTEX_POINT('',#45028); #25683=VERTEX_POINT('',#45030); #25684=VERTEX_POINT('',#45032); #25685=VERTEX_POINT('',#45034); #25686=VERTEX_POINT('',#45036); #25687=VERTEX_POINT('',#45038); #25688=VERTEX_POINT('',#45040); #25689=VERTEX_POINT('',#45042); #25690=VERTEX_POINT('',#45044); #25691=VERTEX_POINT('',#45046); #25692=VERTEX_POINT('',#45048); #25693=VERTEX_POINT('',#45050); #25694=VERTEX_POINT('',#45052); #25695=VERTEX_POINT('',#45054); #25696=VERTEX_POINT('',#45056); #25697=VERTEX_POINT('',#45058); #25698=VERTEX_POINT('',#45060); #25699=VERTEX_POINT('',#45062); #25700=VERTEX_POINT('',#45064); #25701=VERTEX_POINT('',#45066); #25702=VERTEX_POINT('',#45068); #25703=VERTEX_POINT('',#45070); #25704=VERTEX_POINT('',#45072); #25705=VERTEX_POINT('',#45074); #25706=VERTEX_POINT('',#45078); #25707=VERTEX_POINT('',#45082); #25708=VERTEX_POINT('',#45084); #25709=VERTEX_POINT('',#45087); #25710=VERTEX_POINT('',#45089); #25711=VERTEX_POINT('',#45093); #25712=VERTEX_POINT('',#45096); #25713=VERTEX_POINT('',#45100); #25714=VERTEX_POINT('',#45101); #25715=VERTEX_POINT('',#45103); #25716=VERTEX_POINT('',#45105); #25717=VERTEX_POINT('',#45109); #25718=VERTEX_POINT('',#45111); #25719=VERTEX_POINT('',#45115); #25720=VERTEX_POINT('',#45116); #25721=VERTEX_POINT('',#45118); #25722=VERTEX_POINT('',#45120); #25723=VERTEX_POINT('',#45124); #25724=VERTEX_POINT('',#45126); #25725=VERTEX_POINT('',#45130); #25726=VERTEX_POINT('',#45131); #25727=VERTEX_POINT('',#45133); #25728=VERTEX_POINT('',#45135); #25729=VERTEX_POINT('',#45139); #25730=VERTEX_POINT('',#45141); #25731=VERTEX_POINT('',#45145); #25732=VERTEX_POINT('',#45146); #25733=VERTEX_POINT('',#45148); #25734=VERTEX_POINT('',#45150); #25735=VERTEX_POINT('',#45154); #25736=VERTEX_POINT('',#45156); #25737=VERTEX_POINT('',#45160); #25738=VERTEX_POINT('',#45161); #25739=VERTEX_POINT('',#45163); #25740=VERTEX_POINT('',#45165); #25741=VERTEX_POINT('',#45169); #25742=VERTEX_POINT('',#45171); #25743=VERTEX_POINT('',#45175); #25744=VERTEX_POINT('',#45176); #25745=VERTEX_POINT('',#45178); #25746=VERTEX_POINT('',#45180); #25747=VERTEX_POINT('',#45184); #25748=VERTEX_POINT('',#45186); #25749=VERTEX_POINT('',#45190); #25750=VERTEX_POINT('',#45191); #25751=VERTEX_POINT('',#45193); #25752=VERTEX_POINT('',#45195); #25753=VERTEX_POINT('',#45199); #25754=VERTEX_POINT('',#45201); #25755=VERTEX_POINT('',#45205); #25756=VERTEX_POINT('',#45206); #25757=VERTEX_POINT('',#45208); #25758=VERTEX_POINT('',#45210); #25759=VERTEX_POINT('',#45214); #25760=VERTEX_POINT('',#45216); #25761=VERTEX_POINT('',#45220); #25762=VERTEX_POINT('',#45221); #25763=VERTEX_POINT('',#45223); #25764=VERTEX_POINT('',#45225); #25765=VERTEX_POINT('',#45229); #25766=VERTEX_POINT('',#45231); #25767=VERTEX_POINT('',#45235); #25768=VERTEX_POINT('',#45236); #25769=VERTEX_POINT('',#45238); #25770=VERTEX_POINT('',#45240); #25771=VERTEX_POINT('',#45244); #25772=VERTEX_POINT('',#45246); #25773=VERTEX_POINT('',#45250); #25774=VERTEX_POINT('',#45251); #25775=VERTEX_POINT('',#45253); #25776=VERTEX_POINT('',#45255); #25777=VERTEX_POINT('',#45259); #25778=VERTEX_POINT('',#45261); #25779=VERTEX_POINT('',#45265); #25780=VERTEX_POINT('',#45266); #25781=VERTEX_POINT('',#45268); #25782=VERTEX_POINT('',#45270); #25783=VERTEX_POINT('',#45274); #25784=VERTEX_POINT('',#45276); #25785=VERTEX_POINT('',#45280); #25786=VERTEX_POINT('',#45281); #25787=VERTEX_POINT('',#45283); #25788=VERTEX_POINT('',#45285); #25789=VERTEX_POINT('',#45289); #25790=VERTEX_POINT('',#45291); #25791=VERTEX_POINT('',#45295); #25792=VERTEX_POINT('',#45296); #25793=VERTEX_POINT('',#45298); #25794=VERTEX_POINT('',#45300); #25795=VERTEX_POINT('',#45304); #25796=VERTEX_POINT('',#45306); #25797=VERTEX_POINT('',#45310); #25798=VERTEX_POINT('',#45311); #25799=VERTEX_POINT('',#45313); #25800=VERTEX_POINT('',#45315); #25801=VERTEX_POINT('',#45319); #25802=VERTEX_POINT('',#45321); #25803=VERTEX_POINT('',#45325); #25804=VERTEX_POINT('',#45326); #25805=VERTEX_POINT('',#45328); #25806=VERTEX_POINT('',#45330); #25807=VERTEX_POINT('',#45334); #25808=VERTEX_POINT('',#45336); #25809=VERTEX_POINT('',#45340); #25810=VERTEX_POINT('',#45342); #25811=VERTEX_POINT('',#45346); #25812=VERTEX_POINT('',#45350); #25813=VERTEX_POINT('',#45354); #25814=VERTEX_POINT('',#45356); #25815=VERTEX_POINT('',#45358); #25816=VERTEX_POINT('',#45360); #25817=VERTEX_POINT('',#45364); #25818=VERTEX_POINT('',#45366); #25819=VERTEX_POINT('',#45368); #25820=VERTEX_POINT('',#45372); #25821=VERTEX_POINT('',#45374); #25822=VERTEX_POINT('',#45378); #25823=VERTEX_POINT('',#45382); #25824=VERTEX_POINT('',#45386); #25825=VERTEX_POINT('',#45388); #25826=VERTEX_POINT('',#45390); #25827=VERTEX_POINT('',#45395); #25828=VERTEX_POINT('',#45397); #25829=VERTEX_POINT('',#45399); #25830=VERTEX_POINT('',#45403); #25831=VERTEX_POINT('',#45405); #25832=VERTEX_POINT('',#45409); #25833=VERTEX_POINT('',#45413); #25834=VERTEX_POINT('',#45417); #25835=VERTEX_POINT('',#45419); #25836=VERTEX_POINT('',#45421); #25837=VERTEX_POINT('',#45426); #25838=VERTEX_POINT('',#45428); #25839=VERTEX_POINT('',#45430); #25840=VERTEX_POINT('',#45434); #25841=VERTEX_POINT('',#45436); #25842=VERTEX_POINT('',#45440); #25843=VERTEX_POINT('',#45444); #25844=VERTEX_POINT('',#45448); #25845=VERTEX_POINT('',#45450); #25846=VERTEX_POINT('',#45452); #25847=VERTEX_POINT('',#45457); #25848=VERTEX_POINT('',#45459); #25849=VERTEX_POINT('',#45461); #25850=VERTEX_POINT('',#45465); #25851=VERTEX_POINT('',#45467); #25852=VERTEX_POINT('',#45471); #25853=VERTEX_POINT('',#45475); #25854=VERTEX_POINT('',#45479); #25855=VERTEX_POINT('',#45481); #25856=VERTEX_POINT('',#45483); #25857=VERTEX_POINT('',#45488); #25858=VERTEX_POINT('',#45490); #25859=VERTEX_POINT('',#45492); #25860=VERTEX_POINT('',#45496); #25861=VERTEX_POINT('',#45498); #25862=VERTEX_POINT('',#45502); #25863=VERTEX_POINT('',#45506); #25864=VERTEX_POINT('',#45510); #25865=VERTEX_POINT('',#45512); #25866=VERTEX_POINT('',#45514); #25867=VERTEX_POINT('',#45519); #25868=VERTEX_POINT('',#45521); #25869=VERTEX_POINT('',#45523); #25870=VERTEX_POINT('',#45527); #25871=VERTEX_POINT('',#45529); #25872=VERTEX_POINT('',#45533); #25873=VERTEX_POINT('',#45537); #25874=VERTEX_POINT('',#45541); #25875=VERTEX_POINT('',#45543); #25876=VERTEX_POINT('',#45545); #25877=VERTEX_POINT('',#45550); #25878=VERTEX_POINT('',#45552); #25879=VERTEX_POINT('',#45554); #25880=VERTEX_POINT('',#45558); #25881=VERTEX_POINT('',#45560); #25882=VERTEX_POINT('',#45564); #25883=VERTEX_POINT('',#45568); #25884=VERTEX_POINT('',#45572); #25885=VERTEX_POINT('',#45574); #25886=VERTEX_POINT('',#45576); #25887=VERTEX_POINT('',#45581); #25888=VERTEX_POINT('',#45583); #25889=VERTEX_POINT('',#45587); #25890=VERTEX_POINT('',#45591); #25891=VERTEX_POINT('',#45595); #25892=VERTEX_POINT('',#45597); #25893=VERTEX_POINT('',#45599); #25894=VERTEX_POINT('',#45601); #25895=VERTEX_POINT('',#45605); #25896=VERTEX_POINT('',#45607); #25897=VERTEX_POINT('',#45609); #25898=VERTEX_POINT('',#45613); #25899=VERTEX_POINT('',#45615); #25900=VERTEX_POINT('',#45619); #25901=VERTEX_POINT('',#45623); #25902=VERTEX_POINT('',#45627); #25903=VERTEX_POINT('',#45629); #25904=VERTEX_POINT('',#45631); #25905=VERTEX_POINT('',#45636); #25906=VERTEX_POINT('',#45638); #25907=VERTEX_POINT('',#45640); #25908=VERTEX_POINT('',#45644); #25909=VERTEX_POINT('',#45646); #25910=VERTEX_POINT('',#45650); #25911=VERTEX_POINT('',#45654); #25912=VERTEX_POINT('',#45658); #25913=VERTEX_POINT('',#45660); #25914=VERTEX_POINT('',#45662); #25915=VERTEX_POINT('',#45667); #25916=VERTEX_POINT('',#45669); #25917=VERTEX_POINT('',#45671); #25918=VERTEX_POINT('',#45675); #25919=VERTEX_POINT('',#45677); #25920=VERTEX_POINT('',#45681); #25921=VERTEX_POINT('',#45685); #25922=VERTEX_POINT('',#45689); #25923=VERTEX_POINT('',#45691); #25924=VERTEX_POINT('',#45693); #25925=VERTEX_POINT('',#45698); #25926=VERTEX_POINT('',#45700); #25927=VERTEX_POINT('',#45702); #25928=VERTEX_POINT('',#45706); #25929=VERTEX_POINT('',#45708); #25930=VERTEX_POINT('',#45712); #25931=VERTEX_POINT('',#45716); #25932=VERTEX_POINT('',#45720); #25933=VERTEX_POINT('',#45722); #25934=VERTEX_POINT('',#45724); #25935=VERTEX_POINT('',#45729); #25936=VERTEX_POINT('',#45731); #25937=VERTEX_POINT('',#45733); #25938=VERTEX_POINT('',#45737); #25939=VERTEX_POINT('',#45739); #25940=VERTEX_POINT('',#45743); #25941=VERTEX_POINT('',#45747); #25942=VERTEX_POINT('',#45751); #25943=VERTEX_POINT('',#45753); #25944=VERTEX_POINT('',#45755); #25945=VERTEX_POINT('',#45760); #25946=VERTEX_POINT('',#45762); #25947=VERTEX_POINT('',#45764); #25948=VERTEX_POINT('',#45768); #25949=VERTEX_POINT('',#45770); #25950=VERTEX_POINT('',#45774); #25951=VERTEX_POINT('',#45778); #25952=VERTEX_POINT('',#45782); #25953=VERTEX_POINT('',#45784); #25954=VERTEX_POINT('',#45786); #25955=VERTEX_POINT('',#45791); #25956=VERTEX_POINT('',#45793); #25957=VERTEX_POINT('',#45795); #25958=VERTEX_POINT('',#45799); #25959=VERTEX_POINT('',#45801); #25960=VERTEX_POINT('',#45805); #25961=VERTEX_POINT('',#45809); #25962=VERTEX_POINT('',#45813); #25963=VERTEX_POINT('',#45815); #25964=VERTEX_POINT('',#45817); #25965=VERTEX_POINT('',#45823); #25966=VERTEX_POINT('',#45825); #25967=VERTEX_POINT('',#45829); #25968=VERTEX_POINT('',#45830); #25969=VERTEX_POINT('',#45832); #25970=VERTEX_POINT('',#45834); #25971=VERTEX_POINT('',#45838); #25972=VERTEX_POINT('',#45840); #25973=VERTEX_POINT('',#45844); #25974=VERTEX_POINT('',#45846); #25975=VERTEX_POINT('',#45850); #25976=VERTEX_POINT('',#45851); #25977=VERTEX_POINT('',#45853); #25978=VERTEX_POINT('',#45855); #25979=VERTEX_POINT('',#45859); #25980=VERTEX_POINT('',#45861); #25981=VERTEX_POINT('',#45865); #25982=VERTEX_POINT('',#45867); #25983=VERTEX_POINT('',#45871); #25984=VERTEX_POINT('',#45872); #25985=VERTEX_POINT('',#45874); #25986=VERTEX_POINT('',#45876); #25987=VERTEX_POINT('',#45880); #25988=VERTEX_POINT('',#45882); #25989=VERTEX_POINT('',#45886); #25990=VERTEX_POINT('',#45888); #25991=VERTEX_POINT('',#45892); #25992=VERTEX_POINT('',#45893); #25993=VERTEX_POINT('',#45895); #25994=VERTEX_POINT('',#45897); #25995=VERTEX_POINT('',#45901); #25996=VERTEX_POINT('',#45903); #25997=VERTEX_POINT('',#45907); #25998=VERTEX_POINT('',#45909); #25999=VERTEX_POINT('',#45913); #26000=VERTEX_POINT('',#45914); #26001=VERTEX_POINT('',#45916); #26002=VERTEX_POINT('',#45918); #26003=VERTEX_POINT('',#45922); #26004=VERTEX_POINT('',#45924); #26005=VERTEX_POINT('',#45928); #26006=VERTEX_POINT('',#45930); #26007=VERTEX_POINT('',#45934); #26008=VERTEX_POINT('',#45935); #26009=VERTEX_POINT('',#45937); #26010=VERTEX_POINT('',#45939); #26011=VERTEX_POINT('',#45943); #26012=VERTEX_POINT('',#45945); #26013=VERTEX_POINT('',#45949); #26014=VERTEX_POINT('',#45951); #26015=VERTEX_POINT('',#45955); #26016=VERTEX_POINT('',#45956); #26017=VERTEX_POINT('',#45958); #26018=VERTEX_POINT('',#45960); #26019=VERTEX_POINT('',#45964); #26020=VERTEX_POINT('',#45966); #26021=VERTEX_POINT('',#45970); #26022=VERTEX_POINT('',#45972); #26023=VERTEX_POINT('',#45976); #26024=VERTEX_POINT('',#45977); #26025=VERTEX_POINT('',#45979); #26026=VERTEX_POINT('',#45981); #26027=VERTEX_POINT('',#45985); #26028=VERTEX_POINT('',#45987); #26029=VERTEX_POINT('',#45991); #26030=VERTEX_POINT('',#45993); #26031=VERTEX_POINT('',#45997); #26032=VERTEX_POINT('',#45998); #26033=VERTEX_POINT('',#46000); #26034=VERTEX_POINT('',#46002); #26035=VERTEX_POINT('',#46006); #26036=VERTEX_POINT('',#46008); #26037=VERTEX_POINT('',#46012); #26038=VERTEX_POINT('',#46014); #26039=VERTEX_POINT('',#46018); #26040=VERTEX_POINT('',#46019); #26041=VERTEX_POINT('',#46021); #26042=VERTEX_POINT('',#46023); #26043=VERTEX_POINT('',#46027); #26044=VERTEX_POINT('',#46029); #26045=VERTEX_POINT('',#46033); #26046=VERTEX_POINT('',#46035); #26047=VERTEX_POINT('',#46039); #26048=VERTEX_POINT('',#46040); #26049=VERTEX_POINT('',#46042); #26050=VERTEX_POINT('',#46044); #26051=VERTEX_POINT('',#46048); #26052=VERTEX_POINT('',#46050); #26053=VERTEX_POINT('',#46054); #26054=VERTEX_POINT('',#46056); #26055=VERTEX_POINT('',#46060); #26056=VERTEX_POINT('',#46061); #26057=VERTEX_POINT('',#46063); #26058=VERTEX_POINT('',#46065); #26059=VERTEX_POINT('',#46069); #26060=VERTEX_POINT('',#46071); #26061=VERTEX_POINT('',#46075); #26062=VERTEX_POINT('',#46077); #26063=VERTEX_POINT('',#46081); #26064=VERTEX_POINT('',#46082); #26065=VERTEX_POINT('',#46084); #26066=VERTEX_POINT('',#46086); #26067=VERTEX_POINT('',#46090); #26068=VERTEX_POINT('',#46092); #26069=VERTEX_POINT('',#46096); #26070=VERTEX_POINT('',#46098); #26071=VERTEX_POINT('',#46102); #26072=VERTEX_POINT('',#46103); #26073=VERTEX_POINT('',#46105); #26074=VERTEX_POINT('',#46107); #26075=VERTEX_POINT('',#46111); #26076=VERTEX_POINT('',#46113); #26077=VERTEX_POINT('',#46117); #26078=VERTEX_POINT('',#46119); #26079=VERTEX_POINT('',#46123); #26080=VERTEX_POINT('',#46124); #26081=VERTEX_POINT('',#46126); #26082=VERTEX_POINT('',#46128); #26083=VERTEX_POINT('',#46132); #26084=VERTEX_POINT('',#46134); #26085=VERTEX_POINT('',#46138); #26086=VERTEX_POINT('',#46140); #26087=VERTEX_POINT('',#46144); #26088=VERTEX_POINT('',#46145); #26089=VERTEX_POINT('',#46147); #26090=VERTEX_POINT('',#46149); #26091=VERTEX_POINT('',#46153); #26092=VERTEX_POINT('',#46155); #26093=VERTEX_POINT('',#46159); #26094=VERTEX_POINT('',#46161); #26095=VERTEX_POINT('',#46165); #26096=VERTEX_POINT('',#46167); #26097=VERTEX_POINT('',#46171); #26098=VERTEX_POINT('',#46173); #26099=VERTEX_POINT('',#46177); #26100=VERTEX_POINT('',#46179); #26101=VERTEX_POINT('',#46183); #26102=VERTEX_POINT('',#46185); #26103=VERTEX_POINT('',#46189); #26104=VERTEX_POINT('',#46191); #26105=VERTEX_POINT('',#46195); #26106=VERTEX_POINT('',#46197); #26107=VERTEX_POINT('',#46201); #26108=VERTEX_POINT('',#46203); #26109=VERTEX_POINT('',#46207); #26110=VERTEX_POINT('',#46209); #26111=VERTEX_POINT('',#46213); #26112=VERTEX_POINT('',#46215); #26113=VERTEX_POINT('',#46219); #26114=VERTEX_POINT('',#46221); #26115=VERTEX_POINT('',#46225); #26116=VERTEX_POINT('',#46227); #26117=VERTEX_POINT('',#46231); #26118=VERTEX_POINT('',#46233); #26119=VERTEX_POINT('',#46237); #26120=VERTEX_POINT('',#46239); #26121=VERTEX_POINT('',#46243); #26122=VERTEX_POINT('',#46245); #26123=VERTEX_POINT('',#46249); #26124=VERTEX_POINT('',#46251); #26125=VERTEX_POINT('',#46255); #26126=VERTEX_POINT('',#46257); #26127=VERTEX_POINT('',#46261); #26128=VERTEX_POINT('',#46263); #26129=VERTEX_POINT('',#46267); #26130=VERTEX_POINT('',#46269); #26131=VERTEX_POINT('',#46273); #26132=VERTEX_POINT('',#46275); #26133=VERTEX_POINT('',#46279); #26134=VERTEX_POINT('',#46281); #26135=VERTEX_POINT('',#46285); #26136=VERTEX_POINT('',#46287); #26137=VERTEX_POINT('',#46291); #26138=VERTEX_POINT('',#46293); #26139=VERTEX_POINT('',#46297); #26140=VERTEX_POINT('',#46299); #26141=VERTEX_POINT('',#46303); #26142=VERTEX_POINT('',#46305); #26143=VERTEX_POINT('',#46309); #26144=VERTEX_POINT('',#46311); #26145=VERTEX_POINT('',#46315); #26146=VERTEX_POINT('',#46317); #26147=VERTEX_POINT('',#46321); #26148=VERTEX_POINT('',#46323); #26149=VERTEX_POINT('',#46327); #26150=VERTEX_POINT('',#46329); #26151=VERTEX_POINT('',#46333); #26152=VERTEX_POINT('',#46335); #26153=VERTEX_POINT('',#46339); #26154=VERTEX_POINT('',#46341); #26155=VERTEX_POINT('',#46345); #26156=VERTEX_POINT('',#46347); #26157=VERTEX_POINT('',#46351); #26158=VERTEX_POINT('',#46353); #26159=VERTEX_POINT('',#46357); #26160=VERTEX_POINT('',#46358); #26161=VERTEX_POINT('',#46360); #26162=VERTEX_POINT('',#46362); #26163=VERTEX_POINT('',#46366); #26164=VERTEX_POINT('',#46368); #26165=VERTEX_POINT('',#46372); #26166=VERTEX_POINT('',#46374); #26167=VERTEX_POINT('',#46378); #26168=VERTEX_POINT('',#46380); #26169=VERTEX_POINT('',#46384); #26170=VERTEX_POINT('',#46386); #26171=VERTEX_POINT('',#46390); #26172=VERTEX_POINT('',#46392); #26173=VERTEX_POINT('',#46396); #26174=VERTEX_POINT('',#46398); #26175=VERTEX_POINT('',#46402); #26176=VERTEX_POINT('',#46404); #26177=VERTEX_POINT('',#46408); #26178=VERTEX_POINT('',#46409); #26179=VERTEX_POINT('',#46411); #26180=VERTEX_POINT('',#46416); #26181=VERTEX_POINT('',#46418); #26182=VERTEX_POINT('',#46422); #26183=VERTEX_POINT('',#46424); #26184=VERTEX_POINT('',#46426); #26185=VERTEX_POINT('',#46430); #26186=VERTEX_POINT('',#46432); #26187=VERTEX_POINT('',#46434); #26188=VERTEX_POINT('',#46438); #26189=VERTEX_POINT('',#46440); #26190=VERTEX_POINT('',#46444); #26191=VERTEX_POINT('',#46446); #26192=VERTEX_POINT('',#46450); #26193=VERTEX_POINT('',#46454); #26194=VERTEX_POINT('',#46458); #26195=VERTEX_POINT('',#46462); #26196=VERTEX_POINT('',#46463); #26197=VERTEX_POINT('',#46465); #26198=VERTEX_POINT('',#46467); #26199=VERTEX_POINT('',#46471); #26200=VERTEX_POINT('',#46473); #26201=VERTEX_POINT('',#46477); #26202=VERTEX_POINT('',#46482); #26203=VERTEX_POINT('',#46486); #26204=VERTEX_POINT('',#46490); #26205=VERTEX_POINT('',#46494); #26206=VERTEX_POINT('',#46495); #26207=VERTEX_POINT('',#46497); #26208=VERTEX_POINT('',#46499); #26209=VERTEX_POINT('',#46503); #26210=VERTEX_POINT('',#46505); #26211=VERTEX_POINT('',#46509); #26212=VERTEX_POINT('',#46514); #26213=VERTEX_POINT('',#46518); #26214=VERTEX_POINT('',#46522); #26215=VERTEX_POINT('',#46526); #26216=VERTEX_POINT('',#46527); #26217=VERTEX_POINT('',#46529); #26218=VERTEX_POINT('',#46531); #26219=VERTEX_POINT('',#46535); #26220=VERTEX_POINT('',#46537); #26221=VERTEX_POINT('',#46541); #26222=VERTEX_POINT('',#46546); #26223=VERTEX_POINT('',#46550); #26224=VERTEX_POINT('',#46554); #26225=VERTEX_POINT('',#46558); #26226=VERTEX_POINT('',#46559); #26227=VERTEX_POINT('',#46561); #26228=VERTEX_POINT('',#46563); #26229=VERTEX_POINT('',#46567); #26230=VERTEX_POINT('',#46569); #26231=VERTEX_POINT('',#46573); #26232=VERTEX_POINT('',#46578); #26233=VERTEX_POINT('',#46582); #26234=VERTEX_POINT('',#46586); #26235=VERTEX_POINT('',#46590); #26236=VERTEX_POINT('',#46591); #26237=VERTEX_POINT('',#46593); #26238=VERTEX_POINT('',#46595); #26239=VERTEX_POINT('',#46599); #26240=VERTEX_POINT('',#46601); #26241=VERTEX_POINT('',#46605); #26242=VERTEX_POINT('',#46610); #26243=VERTEX_POINT('',#46614); #26244=VERTEX_POINT('',#46618); #26245=VERTEX_POINT('',#46622); #26246=VERTEX_POINT('',#46623); #26247=VERTEX_POINT('',#46625); #26248=VERTEX_POINT('',#46627); #26249=VERTEX_POINT('',#46631); #26250=VERTEX_POINT('',#46633); #26251=VERTEX_POINT('',#46637); #26252=VERTEX_POINT('',#46642); #26253=VERTEX_POINT('',#46646); #26254=VERTEX_POINT('',#46650); #26255=VERTEX_POINT('',#46654); #26256=VERTEX_POINT('',#46655); #26257=VERTEX_POINT('',#46657); #26258=VERTEX_POINT('',#46659); #26259=VERTEX_POINT('',#46663); #26260=VERTEX_POINT('',#46665); #26261=VERTEX_POINT('',#46669); #26262=VERTEX_POINT('',#46674); #26263=VERTEX_POINT('',#46678); #26264=VERTEX_POINT('',#46682); #26265=VERTEX_POINT('',#46686); #26266=VERTEX_POINT('',#46687); #26267=VERTEX_POINT('',#46689); #26268=VERTEX_POINT('',#46691); #26269=VERTEX_POINT('',#46695); #26270=VERTEX_POINT('',#46697); #26271=VERTEX_POINT('',#46701); #26272=VERTEX_POINT('',#46706); #26273=VERTEX_POINT('',#46710); #26274=VERTEX_POINT('',#46714); #26275=VERTEX_POINT('',#46718); #26276=VERTEX_POINT('',#46719); #26277=VERTEX_POINT('',#46721); #26278=VERTEX_POINT('',#46723); #26279=VERTEX_POINT('',#46727); #26280=VERTEX_POINT('',#46729); #26281=VERTEX_POINT('',#46733); #26282=VERTEX_POINT('',#46738); #26283=VERTEX_POINT('',#46742); #26284=VERTEX_POINT('',#46746); #26285=VERTEX_POINT('',#46750); #26286=VERTEX_POINT('',#46751); #26287=VERTEX_POINT('',#46753); #26288=VERTEX_POINT('',#46755); #26289=VERTEX_POINT('',#46759); #26290=VERTEX_POINT('',#46761); #26291=VERTEX_POINT('',#46765); #26292=VERTEX_POINT('',#46770); #26293=VERTEX_POINT('',#46774); #26294=VERTEX_POINT('',#46778); #26295=VERTEX_POINT('',#46782); #26296=VERTEX_POINT('',#46783); #26297=VERTEX_POINT('',#46785); #26298=VERTEX_POINT('',#46787); #26299=VERTEX_POINT('',#46791); #26300=VERTEX_POINT('',#46793); #26301=VERTEX_POINT('',#46797); #26302=VERTEX_POINT('',#46802); #26303=VERTEX_POINT('',#46806); #26304=VERTEX_POINT('',#46810); #26305=VERTEX_POINT('',#46814); #26306=VERTEX_POINT('',#46815); #26307=VERTEX_POINT('',#46817); #26308=VERTEX_POINT('',#46819); #26309=VERTEX_POINT('',#46823); #26310=VERTEX_POINT('',#46825); #26311=VERTEX_POINT('',#46829); #26312=VERTEX_POINT('',#46834); #26313=VERTEX_POINT('',#46838); #26314=VERTEX_POINT('',#46842); #26315=VERTEX_POINT('',#46846); #26316=VERTEX_POINT('',#46847); #26317=VERTEX_POINT('',#46849); #26318=VERTEX_POINT('',#46851); #26319=VERTEX_POINT('',#46855); #26320=VERTEX_POINT('',#46857); #26321=VERTEX_POINT('',#46861); #26322=VERTEX_POINT('',#46866); #26323=VERTEX_POINT('',#46870); #26324=VERTEX_POINT('',#46874); #26325=VERTEX_POINT('',#46878); #26326=VERTEX_POINT('',#46879); #26327=VERTEX_POINT('',#46881); #26328=VERTEX_POINT('',#46883); #26329=VERTEX_POINT('',#46887); #26330=VERTEX_POINT('',#46889); #26331=VERTEX_POINT('',#46893); #26332=VERTEX_POINT('',#46898); #26333=VERTEX_POINT('',#46902); #26334=VERTEX_POINT('',#46906); #26335=VERTEX_POINT('',#46910); #26336=VERTEX_POINT('',#46911); #26337=VERTEX_POINT('',#46913); #26338=VERTEX_POINT('',#46915); #26339=VERTEX_POINT('',#46919); #26340=VERTEX_POINT('',#46921); #26341=VERTEX_POINT('',#46925); #26342=VERTEX_POINT('',#46930); #26343=VERTEX_POINT('',#46934); #26344=VERTEX_POINT('',#46938); #26345=VERTEX_POINT('',#46942); #26346=VERTEX_POINT('',#46944); #26347=VERTEX_POINT('',#46949); #26348=VERTEX_POINT('',#46950); #26349=VERTEX_POINT('',#46955); #26350=VERTEX_POINT('',#46956); #26351=VERTEX_POINT('',#46961); #26352=VERTEX_POINT('',#46963); #26353=VERTEX_POINT('',#46967); #26354=VERTEX_POINT('',#46971); #26355=VERTEX_POINT('',#46972); #26356=VERTEX_POINT('',#46974); #26357=VERTEX_POINT('',#46976); #26358=VERTEX_POINT('',#46980); #26359=VERTEX_POINT('',#46982); #26360=VERTEX_POINT('',#46986); #26361=VERTEX_POINT('',#46988); #26362=VERTEX_POINT('',#46992); #26363=VERTEX_POINT('',#46994); #26364=VERTEX_POINT('',#46998); #26365=VERTEX_POINT('',#47000); #26366=VERTEX_POINT('',#47004); #26367=VERTEX_POINT('',#47006); #26368=VERTEX_POINT('',#47010); #26369=VERTEX_POINT('',#47012); #26370=VERTEX_POINT('',#47016); #26371=VERTEX_POINT('',#47018); #26372=VERTEX_POINT('',#47022); #26373=VERTEX_POINT('',#47023); #26374=VERTEX_POINT('',#47025); #26375=VERTEX_POINT('',#47027); #26376=VERTEX_POINT('',#47032); #26377=VERTEX_POINT('',#47036); #26378=VERTEX_POINT('',#47037); #26379=VERTEX_POINT('',#47039); #26380=VERTEX_POINT('',#47041); #26381=VERTEX_POINT('',#47045); #26382=VERTEX_POINT('',#47047); #26383=VERTEX_POINT('',#47051); #26384=VERTEX_POINT('',#47055); #26385=VERTEX_POINT('',#47059); #26386=VERTEX_POINT('',#47060); #26387=VERTEX_POINT('',#47062); #26388=VERTEX_POINT('',#47064); #26389=VERTEX_POINT('',#47068); #26390=VERTEX_POINT('',#47070); #26391=VERTEX_POINT('',#47074); #26392=VERTEX_POINT('',#47078); #26393=VERTEX_POINT('',#47082); #26394=VERTEX_POINT('',#47083); #26395=VERTEX_POINT('',#47085); #26396=VERTEX_POINT('',#47087); #26397=VERTEX_POINT('',#47091); #26398=VERTEX_POINT('',#47093); #26399=VERTEX_POINT('',#47097); #26400=VERTEX_POINT('',#47101); #26401=VERTEX_POINT('',#47105); #26402=VERTEX_POINT('',#47106); #26403=VERTEX_POINT('',#47108); #26404=VERTEX_POINT('',#47110); #26405=VERTEX_POINT('',#47114); #26406=VERTEX_POINT('',#47116); #26407=VERTEX_POINT('',#47120); #26408=VERTEX_POINT('',#47124); #26409=VERTEX_POINT('',#47128); #26410=VERTEX_POINT('',#47129); #26411=VERTEX_POINT('',#47131); #26412=VERTEX_POINT('',#47133); #26413=VERTEX_POINT('',#47137); #26414=VERTEX_POINT('',#47139); #26415=VERTEX_POINT('',#47143); #26416=VERTEX_POINT('',#47147); #26417=VERTEX_POINT('',#47151); #26418=VERTEX_POINT('',#47152); #26419=VERTEX_POINT('',#47154); #26420=VERTEX_POINT('',#47156); #26421=VERTEX_POINT('',#47160); #26422=VERTEX_POINT('',#47162); #26423=VERTEX_POINT('',#47166); #26424=VERTEX_POINT('',#47170); #26425=VERTEX_POINT('',#47174); #26426=VERTEX_POINT('',#47175); #26427=VERTEX_POINT('',#47177); #26428=VERTEX_POINT('',#47179); #26429=VERTEX_POINT('',#47183); #26430=VERTEX_POINT('',#47185); #26431=VERTEX_POINT('',#47189); #26432=VERTEX_POINT('',#47193); #26433=VERTEX_POINT('',#47197); #26434=VERTEX_POINT('',#47198); #26435=VERTEX_POINT('',#47200); #26436=VERTEX_POINT('',#47202); #26437=VERTEX_POINT('',#47206); #26438=VERTEX_POINT('',#47208); #26439=VERTEX_POINT('',#47212); #26440=VERTEX_POINT('',#47216); #26441=VERTEX_POINT('',#47220); #26442=VERTEX_POINT('',#47221); #26443=VERTEX_POINT('',#47223); #26444=VERTEX_POINT('',#47225); #26445=VERTEX_POINT('',#47229); #26446=VERTEX_POINT('',#47231); #26447=VERTEX_POINT('',#47235); #26448=VERTEX_POINT('',#47239); #26449=VERTEX_POINT('',#47243); #26450=VERTEX_POINT('',#47244); #26451=VERTEX_POINT('',#47246); #26452=VERTEX_POINT('',#47248); #26453=VERTEX_POINT('',#47252); #26454=VERTEX_POINT('',#47254); #26455=VERTEX_POINT('',#47258); #26456=VERTEX_POINT('',#47262); #26457=VERTEX_POINT('',#47266); #26458=VERTEX_POINT('',#47267); #26459=VERTEX_POINT('',#47269); #26460=VERTEX_POINT('',#47271); #26461=VERTEX_POINT('',#47275); #26462=VERTEX_POINT('',#47277); #26463=VERTEX_POINT('',#47281); #26464=VERTEX_POINT('',#47285); #26465=VERTEX_POINT('',#47289); #26466=VERTEX_POINT('',#47290); #26467=VERTEX_POINT('',#47292); #26468=VERTEX_POINT('',#47294); #26469=VERTEX_POINT('',#47298); #26470=VERTEX_POINT('',#47300); #26471=VERTEX_POINT('',#47304); #26472=VERTEX_POINT('',#47308); #26473=VERTEX_POINT('',#47312); #26474=VERTEX_POINT('',#47313); #26475=VERTEX_POINT('',#47315); #26476=VERTEX_POINT('',#47317); #26477=VERTEX_POINT('',#47321); #26478=VERTEX_POINT('',#47323); #26479=VERTEX_POINT('',#47327); #26480=VERTEX_POINT('',#47331); #26481=VERTEX_POINT('',#47335); #26482=VERTEX_POINT('',#47336); #26483=VERTEX_POINT('',#47338); #26484=VERTEX_POINT('',#47340); #26485=VERTEX_POINT('',#47344); #26486=VERTEX_POINT('',#47346); #26487=VERTEX_POINT('',#47350); #26488=VERTEX_POINT('',#47354); #26489=VERTEX_POINT('',#47358); #26490=VERTEX_POINT('',#47359); #26491=VERTEX_POINT('',#47361); #26492=VERTEX_POINT('',#47363); #26493=VERTEX_POINT('',#47367); #26494=VERTEX_POINT('',#47369); #26495=VERTEX_POINT('',#47373); #26496=VERTEX_POINT('',#47377); #26497=VERTEX_POINT('',#47381); #26498=VERTEX_POINT('',#47382); #26499=VERTEX_POINT('',#47384); #26500=VERTEX_POINT('',#47386); #26501=VERTEX_POINT('',#47390); #26502=VERTEX_POINT('',#47392); #26503=VERTEX_POINT('',#47396); #26504=VERTEX_POINT('',#47400); #26505=VERTEX_POINT('',#47404); #26506=VERTEX_POINT('',#47406); #26507=VERTEX_POINT('',#47410); #26508=VERTEX_POINT('',#47414); #26509=VERTEX_POINT('',#47418); #26510=VERTEX_POINT('',#47422); #26511=VERTEX_POINT('',#47426); #26512=VERTEX_POINT('',#47430); #26513=VERTEX_POINT('',#47434); #26514=VERTEX_POINT('',#47438); #26515=VERTEX_POINT('',#47442); #26516=VERTEX_POINT('',#47446); #26517=VERTEX_POINT('',#47450); #26518=VERTEX_POINT('',#47454); #26519=VERTEX_POINT('',#47458); #26520=VERTEX_POINT('',#47462); #26521=VERTEX_POINT('',#47466); #26522=VERTEX_POINT('',#47470); #26523=VERTEX_POINT('',#47474); #26524=VERTEX_POINT('',#47478); #26525=VERTEX_POINT('',#47482); #26526=VERTEX_POINT('',#47486); #26527=VERTEX_POINT('',#47490); #26528=VERTEX_POINT('',#47494); #26529=VERTEX_POINT('',#47498); #26530=VERTEX_POINT('',#47502); #26531=VERTEX_POINT('',#47506); #26532=VERTEX_POINT('',#47510); #26533=VERTEX_POINT('',#47514); #26534=VERTEX_POINT('',#47518); #26535=VERTEX_POINT('',#47522); #26536=VERTEX_POINT('',#47526); #26537=VERTEX_POINT('',#47530); #26538=VERTEX_POINT('',#47534); #26539=VERTEX_POINT('',#47535); #26540=VERTEX_POINT('',#47538); #26541=VERTEX_POINT('',#47540); #26542=VERTEX_POINT('',#47544); #26543=VERTEX_POINT('',#47545); #26544=VERTEX_POINT('',#47547); #26545=VERTEX_POINT('',#47549); #26546=VERTEX_POINT('',#47554); #26547=VERTEX_POINT('',#47556); #26548=VERTEX_POINT('',#47560); #26549=VERTEX_POINT('',#47564); #26550=VERTEX_POINT('',#47568); #26551=VERTEX_POINT('',#47572); #26552=VERTEX_POINT('',#47576); #26553=VERTEX_POINT('',#47580); #26554=VERTEX_POINT('',#47584); #26555=VERTEX_POINT('',#47588); #26556=VERTEX_POINT('',#47592); #26557=VERTEX_POINT('',#47596); #26558=VERTEX_POINT('',#47600); #26559=VERTEX_POINT('',#47604); #26560=VERTEX_POINT('',#47608); #26561=VERTEX_POINT('',#47612); #26562=VERTEX_POINT('',#47616); #26563=VERTEX_POINT('',#47620); #26564=VERTEX_POINT('',#47624); #26565=VERTEX_POINT('',#47628); #26566=VERTEX_POINT('',#47632); #26567=VERTEX_POINT('',#47636); #26568=VERTEX_POINT('',#47640); #26569=VERTEX_POINT('',#47644); #26570=VERTEX_POINT('',#47648); #26571=VERTEX_POINT('',#47652); #26572=VERTEX_POINT('',#47656); #26573=VERTEX_POINT('',#47660); #26574=VERTEX_POINT('',#47664); #26575=VERTEX_POINT('',#47668); #26576=VERTEX_POINT('',#47672); #26577=VERTEX_POINT('',#47676); #26578=VERTEX_POINT('',#47680); #26579=VERTEX_POINT('',#47686); #26580=VERTEX_POINT('',#47687); #26581=VERTEX_POINT('',#47689); #26582=VERTEX_POINT('',#47691); #26583=VERTEX_POINT('',#47695); #26584=VERTEX_POINT('',#47697); #26585=VERTEX_POINT('',#47701); #26586=VERTEX_POINT('',#47703); #26587=VERTEX_POINT('',#47707); #26588=VERTEX_POINT('',#47709); #26589=VERTEX_POINT('',#47713); #26590=VERTEX_POINT('',#47714); #26591=VERTEX_POINT('',#47716); #26592=VERTEX_POINT('',#47718); #26593=VERTEX_POINT('',#47722); #26594=VERTEX_POINT('',#47724); #26595=VERTEX_POINT('',#47728); #26596=VERTEX_POINT('',#47730); #26597=VERTEX_POINT('',#47734); #26598=VERTEX_POINT('',#47736); #26599=VERTEX_POINT('',#47740); #26600=VERTEX_POINT('',#47741); #26601=VERTEX_POINT('',#47743); #26602=VERTEX_POINT('',#47745); #26603=VERTEX_POINT('',#47749); #26604=VERTEX_POINT('',#47751); #26605=VERTEX_POINT('',#47755); #26606=VERTEX_POINT('',#47757); #26607=VERTEX_POINT('',#47761); #26608=VERTEX_POINT('',#47763); #26609=VERTEX_POINT('',#47767); #26610=VERTEX_POINT('',#47768); #26611=VERTEX_POINT('',#47770); #26612=VERTEX_POINT('',#47772); #26613=VERTEX_POINT('',#47776); #26614=VERTEX_POINT('',#47778); #26615=VERTEX_POINT('',#47782); #26616=VERTEX_POINT('',#47784); #26617=VERTEX_POINT('',#47788); #26618=VERTEX_POINT('',#47790); #26619=VERTEX_POINT('',#47794); #26620=VERTEX_POINT('',#47795); #26621=VERTEX_POINT('',#47797); #26622=VERTEX_POINT('',#47799); #26623=VERTEX_POINT('',#47803); #26624=VERTEX_POINT('',#47805); #26625=VERTEX_POINT('',#47809); #26626=VERTEX_POINT('',#47811); #26627=VERTEX_POINT('',#47815); #26628=VERTEX_POINT('',#47817); #26629=VERTEX_POINT('',#47821); #26630=VERTEX_POINT('',#47822); #26631=VERTEX_POINT('',#47824); #26632=VERTEX_POINT('',#47826); #26633=VERTEX_POINT('',#47830); #26634=VERTEX_POINT('',#47832); #26635=VERTEX_POINT('',#47836); #26636=VERTEX_POINT('',#47838); #26637=VERTEX_POINT('',#47842); #26638=VERTEX_POINT('',#47844); #26639=VERTEX_POINT('',#47848); #26640=VERTEX_POINT('',#47849); #26641=VERTEX_POINT('',#47851); #26642=VERTEX_POINT('',#47853); #26643=VERTEX_POINT('',#47857); #26644=VERTEX_POINT('',#47859); #26645=VERTEX_POINT('',#47863); #26646=VERTEX_POINT('',#47865); #26647=VERTEX_POINT('',#47869); #26648=VERTEX_POINT('',#47871); #26649=VERTEX_POINT('',#47875); #26650=VERTEX_POINT('',#47876); #26651=VERTEX_POINT('',#47878); #26652=VERTEX_POINT('',#47880); #26653=VERTEX_POINT('',#47884); #26654=VERTEX_POINT('',#47886); #26655=VERTEX_POINT('',#47890); #26656=VERTEX_POINT('',#47892); #26657=VERTEX_POINT('',#47896); #26658=VERTEX_POINT('',#47898); #26659=VERTEX_POINT('',#47902); #26660=VERTEX_POINT('',#47903); #26661=VERTEX_POINT('',#47905); #26662=VERTEX_POINT('',#47907); #26663=VERTEX_POINT('',#47911); #26664=VERTEX_POINT('',#47912); #26665=VERTEX_POINT('',#47914); #26666=VERTEX_POINT('',#47916); #26667=VERTEX_POINT('',#47920); #26668=VERTEX_POINT('',#47922); #26669=VERTEX_POINT('',#47926); #26670=VERTEX_POINT('',#47928); #26671=VERTEX_POINT('',#47932); #26672=VERTEX_POINT('',#47934); #26673=VERTEX_POINT('',#47938); #26674=VERTEX_POINT('',#47939); #26675=VERTEX_POINT('',#47941); #26676=VERTEX_POINT('',#47943); #26677=VERTEX_POINT('',#47947); #26678=VERTEX_POINT('',#47949); #26679=VERTEX_POINT('',#47953); #26680=VERTEX_POINT('',#47955); #26681=VERTEX_POINT('',#47959); #26682=VERTEX_POINT('',#47961); #26683=VERTEX_POINT('',#47965); #26684=VERTEX_POINT('',#47966); #26685=VERTEX_POINT('',#47968); #26686=VERTEX_POINT('',#47970); #26687=VERTEX_POINT('',#47974); #26688=VERTEX_POINT('',#47976); #26689=VERTEX_POINT('',#47980); #26690=VERTEX_POINT('',#47982); #26691=VERTEX_POINT('',#47986); #26692=VERTEX_POINT('',#47988); #26693=VERTEX_POINT('',#47992); #26694=VERTEX_POINT('',#47993); #26695=VERTEX_POINT('',#47995); #26696=VERTEX_POINT('',#47997); #26697=VERTEX_POINT('',#48001); #26698=VERTEX_POINT('',#48003); #26699=VERTEX_POINT('',#48007); #26700=VERTEX_POINT('',#48009); #26701=VERTEX_POINT('',#48013); #26702=VERTEX_POINT('',#48015); #26703=VERTEX_POINT('',#48019); #26704=VERTEX_POINT('',#48020); #26705=VERTEX_POINT('',#48022); #26706=VERTEX_POINT('',#48024); #26707=VERTEX_POINT('',#48028); #26708=VERTEX_POINT('',#48030); #26709=VERTEX_POINT('',#48034); #26710=VERTEX_POINT('',#48036); #26711=VERTEX_POINT('',#48040); #26712=VERTEX_POINT('',#48042); #26713=VERTEX_POINT('',#48046); #26714=VERTEX_POINT('',#48047); #26715=VERTEX_POINT('',#48049); #26716=VERTEX_POINT('',#48051); #26717=VERTEX_POINT('',#48055); #26718=VERTEX_POINT('',#48057); #26719=VERTEX_POINT('',#48061); #26720=VERTEX_POINT('',#48063); #26721=VERTEX_POINT('',#48067); #26722=VERTEX_POINT('',#48069); #26723=VERTEX_POINT('',#48073); #26724=VERTEX_POINT('',#48074); #26725=VERTEX_POINT('',#48076); #26726=VERTEX_POINT('',#48078); #26727=VERTEX_POINT('',#48082); #26728=VERTEX_POINT('',#48084); #26729=VERTEX_POINT('',#48088); #26730=VERTEX_POINT('',#48090); #26731=VERTEX_POINT('',#48094); #26732=VERTEX_POINT('',#48096); #26733=VERTEX_POINT('',#48100); #26734=VERTEX_POINT('',#48101); #26735=VERTEX_POINT('',#48103); #26736=VERTEX_POINT('',#48105); #26737=VERTEX_POINT('',#48109); #26738=VERTEX_POINT('',#48111); #26739=VERTEX_POINT('',#48115); #26740=VERTEX_POINT('',#48117); #26741=VERTEX_POINT('',#48121); #26742=VERTEX_POINT('',#48123); #26743=VERTEX_POINT('',#48127); #26744=VERTEX_POINT('',#48130); #26745=VERTEX_POINT('',#48133); #26746=VERTEX_POINT('',#48136); #26747=VERTEX_POINT('',#48139); #26748=VERTEX_POINT('',#48142); #26749=VERTEX_POINT('',#48145); #26750=VERTEX_POINT('',#48148); #26751=VERTEX_POINT('',#48151); #26752=VERTEX_POINT('',#48154); #26753=VERTEX_POINT('',#48157); #26754=VERTEX_POINT('',#48160); #26755=VERTEX_POINT('',#48163); #26756=VERTEX_POINT('',#48166); #26757=VERTEX_POINT('',#48169); #26758=VERTEX_POINT('',#48172); #26759=VERTEX_POINT('',#48176); #26760=VERTEX_POINT('',#48179); #26761=VERTEX_POINT('',#48182); #26762=VERTEX_POINT('',#48185); #26763=VERTEX_POINT('',#48188); #26764=VERTEX_POINT('',#48191); #26765=VERTEX_POINT('',#48194); #26766=VERTEX_POINT('',#48197); #26767=VERTEX_POINT('',#48200); #26768=VERTEX_POINT('',#48203); #26769=VERTEX_POINT('',#48206); #26770=VERTEX_POINT('',#48209); #26771=VERTEX_POINT('',#48212); #26772=VERTEX_POINT('',#48215); #26773=VERTEX_POINT('',#48218); #26774=VERTEX_POINT('',#48221); #26775=VERTEX_POINT('',#48223); #26776=VERTEX_POINT('',#48225); #26777=VERTEX_POINT('',#48227); #26778=VERTEX_POINT('',#48230); #26779=VERTEX_POINT('',#48233); #26780=VERTEX_POINT('',#48236); #26781=VERTEX_POINT('',#48239); #26782=VERTEX_POINT('',#48242); #26783=VERTEX_POINT('',#48245); #26784=VERTEX_POINT('',#48248); #26785=VERTEX_POINT('',#48251); #26786=VERTEX_POINT('',#48254); #26787=VERTEX_POINT('',#48257); #26788=VERTEX_POINT('',#48260); #26789=VERTEX_POINT('',#48263); #26790=VERTEX_POINT('',#48266); #26791=VERTEX_POINT('',#48269); #26792=VERTEX_POINT('',#48272); #26793=VERTEX_POINT('',#48276); #26794=VERTEX_POINT('',#48279); #26795=VERTEX_POINT('',#48282); #26796=VERTEX_POINT('',#48285); #26797=VERTEX_POINT('',#48288); #26798=VERTEX_POINT('',#48291); #26799=VERTEX_POINT('',#48294); #26800=VERTEX_POINT('',#48297); #26801=VERTEX_POINT('',#48300); #26802=VERTEX_POINT('',#48303); #26803=VERTEX_POINT('',#48306); #26804=VERTEX_POINT('',#48309); #26805=VERTEX_POINT('',#48312); #26806=VERTEX_POINT('',#48315); #26807=VERTEX_POINT('',#48318); #26808=VERTEX_POINT('',#48321); #26809=VERTEX_POINT('',#48325); #26810=VERTEX_POINT('',#48327); #26811=VERTEX_POINT('',#48331); #26812=VERTEX_POINT('',#48332); #26813=VERTEX_POINT('',#48334); #26814=VERTEX_POINT('',#48336); #26815=VERTEX_POINT('',#48338); #26816=VERTEX_POINT('',#48342); #26817=VERTEX_POINT('',#48346); #26818=VERTEX_POINT('',#48348); #26819=VERTEX_POINT('',#48354); #26820=VERTEX_POINT('',#48356); #26821=VERTEX_POINT('',#48360); #26822=VERTEX_POINT('',#48362); #26823=VERTEX_POINT('',#48364); #26824=VERTEX_POINT('',#48368); #26825=VERTEX_POINT('',#48370); #26826=VERTEX_POINT('',#48374); #26827=VERTEX_POINT('',#48378); #26828=VERTEX_POINT('',#48380); #26829=VERTEX_POINT('',#48384); #26830=VERTEX_POINT('',#48388); #26831=VERTEX_POINT('',#48390); #26832=VERTEX_POINT('',#48392); #26833=VERTEX_POINT('',#48396); #26834=VERTEX_POINT('',#48398); #26835=VERTEX_POINT('',#48403); #26836=VERTEX_POINT('',#48405); #26837=VERTEX_POINT('',#48409); #26838=VERTEX_POINT('',#48413); #26839=VERTEX_POINT('',#48417); #26840=VERTEX_POINT('',#48421); #26841=VERTEX_POINT('',#48425); #26842=VERTEX_POINT('',#48429); #26843=VERTEX_POINT('',#48433); #26844=VERTEX_POINT('',#48437); #26845=VERTEX_POINT('',#48441); #26846=VERTEX_POINT('',#48445); #26847=VERTEX_POINT('',#48449); #26848=VERTEX_POINT('',#48453); #26849=VERTEX_POINT('',#48457); #26850=VERTEX_POINT('',#48461); #26851=VERTEX_POINT('',#48465); #26852=VERTEX_POINT('',#48469); #26853=VERTEX_POINT('',#48473); #26854=VERTEX_POINT('',#48477); #26855=VERTEX_POINT('',#48481); #26856=VERTEX_POINT('',#48485); #26857=VERTEX_POINT('',#48489); #26858=VERTEX_POINT('',#48493); #26859=VERTEX_POINT('',#48497); #26860=VERTEX_POINT('',#48501); #26861=VERTEX_POINT('',#48505); #26862=VERTEX_POINT('',#48509); #26863=VERTEX_POINT('',#48513); #26864=VERTEX_POINT('',#48517); #26865=VERTEX_POINT('',#48521); #26866=VERTEX_POINT('',#48525); #26867=VERTEX_POINT('',#48529); #26868=VERTEX_POINT('',#48533); #26869=VERTEX_POINT('',#48537); #26870=VERTEX_POINT('',#48541); #26871=VERTEX_POINT('',#48545); #26872=VERTEX_POINT('',#48549); #26873=VERTEX_POINT('',#48553); #26874=VERTEX_POINT('',#48557); #26875=VERTEX_POINT('',#48561); #26876=VERTEX_POINT('',#48565); #26877=VERTEX_POINT('',#48569); #26878=VERTEX_POINT('',#48573); #26879=VERTEX_POINT('',#48577); #26880=VERTEX_POINT('',#48581); #26881=VERTEX_POINT('',#48585); #26882=VERTEX_POINT('',#48589); #26883=VERTEX_POINT('',#48593); #26884=VERTEX_POINT('',#48597); #26885=VERTEX_POINT('',#48601); #26886=VERTEX_POINT('',#48605); #26887=VERTEX_POINT('',#48609); #26888=VERTEX_POINT('',#48613); #26889=VERTEX_POINT('',#48617); #26890=VERTEX_POINT('',#48621); #26891=VERTEX_POINT('',#48625); #26892=VERTEX_POINT('',#48629); #26893=VERTEX_POINT('',#48633); #26894=VERTEX_POINT('',#48637); #26895=VERTEX_POINT('',#48641); #26896=VERTEX_POINT('',#48645); #26897=VERTEX_POINT('',#48649); #26898=VERTEX_POINT('',#48653); #26899=VERTEX_POINT('',#48657); #26900=VERTEX_POINT('',#48661); #26901=VERTEX_POINT('',#48662); #26902=VERTEX_POINT('',#48665); #26903=VERTEX_POINT('',#48669); #26904=VERTEX_POINT('',#48671); #26905=VERTEX_POINT('',#48676); #26906=VERTEX_POINT('',#48680); #26907=VERTEX_POINT('',#48682); #26908=VERTEX_POINT('',#48688); #26909=VERTEX_POINT('',#48689); #26910=VERTEX_POINT('',#48694); #26911=VERTEX_POINT('',#48696); #26912=VERTEX_POINT('',#48700); #26913=VERTEX_POINT('',#48702); #26914=VERTEX_POINT('',#48706); #26915=VERTEX_POINT('',#48708); #26916=VERTEX_POINT('',#48712); #26917=VERTEX_POINT('',#48713); #26918=VERTEX_POINT('',#48716); #26919=VERTEX_POINT('',#48722); #26920=VERTEX_POINT('',#48726); #26921=VERTEX_POINT('',#48730); #26922=VERTEX_POINT('',#48732); #26923=VERTEX_POINT('',#48736); #26924=VERTEX_POINT('',#48740); #26925=VERTEX_POINT('',#48744); #26926=VERTEX_POINT('',#48748); #26927=VERTEX_POINT('',#48752); #26928=VERTEX_POINT('',#48756); #26929=VERTEX_POINT('',#48760); #26930=VERTEX_POINT('',#48764); #26931=VERTEX_POINT('',#48768); #26932=VERTEX_POINT('',#48772); #26933=VERTEX_POINT('',#48776); #26934=VERTEX_POINT('',#48780); #26935=VERTEX_POINT('',#48784); #26936=VERTEX_POINT('',#48788); #26937=VERTEX_POINT('',#48792); #26938=VERTEX_POINT('',#48796); #26939=VERTEX_POINT('',#48800); #26940=VERTEX_POINT('',#48804); #26941=VERTEX_POINT('',#48808); #26942=VERTEX_POINT('',#48812); #26943=VERTEX_POINT('',#48816); #26944=VERTEX_POINT('',#48820); #26945=VERTEX_POINT('',#48824); #26946=VERTEX_POINT('',#48828); #26947=VERTEX_POINT('',#48832); #26948=VERTEX_POINT('',#48836); #26949=VERTEX_POINT('',#48840); #26950=VERTEX_POINT('',#48844); #26951=VERTEX_POINT('',#48848); #26952=VERTEX_POINT('',#48852); #26953=VERTEX_POINT('',#48856); #26954=VERTEX_POINT('',#48860); #26955=VERTEX_POINT('',#48864); #26956=VERTEX_POINT('',#48868); #26957=VERTEX_POINT('',#48872); #26958=VERTEX_POINT('',#48876); #26959=VERTEX_POINT('',#48880); #26960=VERTEX_POINT('',#48884); #26961=VERTEX_POINT('',#48888); #26962=VERTEX_POINT('',#48892); #26963=VERTEX_POINT('',#48896); #26964=VERTEX_POINT('',#48900); #26965=VERTEX_POINT('',#48904); #26966=VERTEX_POINT('',#48908); #26967=VERTEX_POINT('',#48912); #26968=VERTEX_POINT('',#48916); #26969=VERTEX_POINT('',#48920); #26970=VERTEX_POINT('',#48924); #26971=VERTEX_POINT('',#48928); #26972=VERTEX_POINT('',#48932); #26973=VERTEX_POINT('',#48936); #26974=VERTEX_POINT('',#48940); #26975=VERTEX_POINT('',#48944); #26976=VERTEX_POINT('',#48948); #26977=VERTEX_POINT('',#48952); #26978=VERTEX_POINT('',#48956); #26979=VERTEX_POINT('',#48960); #26980=VERTEX_POINT('',#48964); #26981=VERTEX_POINT('',#48968); #26982=VERTEX_POINT('',#48972); #26983=VERTEX_POINT('',#48976); #26984=VERTEX_POINT('',#48980); #26985=VERTEX_POINT('',#48984); #26986=VERTEX_POINT('',#48988); #26987=VERTEX_POINT('',#48990); #26988=VERTEX_POINT('',#48992); #26989=VERTEX_POINT('',#48995); #26990=VERTEX_POINT('',#48997); #26991=VERTEX_POINT('',#49000); #26992=VERTEX_POINT('',#49002); #26993=VERTEX_POINT('',#49004); #26994=VERTEX_POINT('',#49008); #26995=VERTEX_POINT('',#49012); #26996=VERTEX_POINT('',#49016); #26997=VERTEX_POINT('',#49018); #26998=VERTEX_POINT('',#49026); #26999=VERTEX_POINT('',#49030); #27000=VERTEX_POINT('',#49034); #27001=VERTEX_POINT('',#49038); #27002=VERTEX_POINT('',#49046); #27003=VERTEX_POINT('',#49050); #27004=VERTEX_POINT('',#49054); #27005=VERTEX_POINT('',#49058); #27006=VERTEX_POINT('',#49066); #27007=VERTEX_POINT('',#49070); #27008=VERTEX_POINT('',#49074); #27009=VERTEX_POINT('',#49078); #27010=VERTEX_POINT('',#49086); #27011=VERTEX_POINT('',#49090); #27012=VERTEX_POINT('',#49094); #27013=VERTEX_POINT('',#49098); #27014=VERTEX_POINT('',#49106); #27015=VERTEX_POINT('',#49110); #27016=VERTEX_POINT('',#49114); #27017=VERTEX_POINT('',#49118); #27018=VERTEX_POINT('',#49126); #27019=VERTEX_POINT('',#49130); #27020=VERTEX_POINT('',#49134); #27021=VERTEX_POINT('',#49138); #27022=VERTEX_POINT('',#49146); #27023=VERTEX_POINT('',#49150); #27024=VERTEX_POINT('',#49154); #27025=VERTEX_POINT('',#49158); #27026=VERTEX_POINT('',#49166); #27027=VERTEX_POINT('',#49170); #27028=VERTEX_POINT('',#49174); #27029=VERTEX_POINT('',#49178); #27030=VERTEX_POINT('',#49180); #27031=VERTEX_POINT('',#49184); #27032=VERTEX_POINT('',#49192); #27033=VERTEX_POINT('',#49196); #27034=VERTEX_POINT('',#49200); #27035=VERTEX_POINT('',#49204); #27036=VERTEX_POINT('',#49212); #27037=VERTEX_POINT('',#49216); #27038=VERTEX_POINT('',#49220); #27039=VERTEX_POINT('',#49224); #27040=VERTEX_POINT('',#49232); #27041=VERTEX_POINT('',#49236); #27042=VERTEX_POINT('',#49240); #27043=VERTEX_POINT('',#49244); #27044=VERTEX_POINT('',#49252); #27045=VERTEX_POINT('',#49256); #27046=VERTEX_POINT('',#49260); #27047=VERTEX_POINT('',#49264); #27048=VERTEX_POINT('',#49272); #27049=VERTEX_POINT('',#49276); #27050=VERTEX_POINT('',#49280); #27051=VERTEX_POINT('',#49284); #27052=VERTEX_POINT('',#49292); #27053=VERTEX_POINT('',#49296); #27054=VERTEX_POINT('',#49300); #27055=VERTEX_POINT('',#49304); #27056=VERTEX_POINT('',#49312); #27057=VERTEX_POINT('',#49316); #27058=VERTEX_POINT('',#49320); #27059=VERTEX_POINT('',#49324); #27060=VERTEX_POINT('',#49332); #27061=VERTEX_POINT('',#49336); #27062=VERTEX_POINT('',#49342); #27063=VERTEX_POINT('',#49344); #27064=VERTEX_POINT('',#49348); #27065=VERTEX_POINT('',#49352); #27066=VERTEX_POINT('',#49356); #27067=VERTEX_POINT('',#49362); #27068=VERTEX_POINT('',#49364); #27069=VERTEX_POINT('',#49368); #27070=VERTEX_POINT('',#49369); #27071=VERTEX_POINT('',#49374); #27072=VERTEX_POINT('',#49378); #27073=VERTEX_POINT('',#49382); #27074=VERTEX_POINT('',#49386); #27075=VERTEX_POINT('',#49390); #27076=VERTEX_POINT('',#49394); #27077=VERTEX_POINT('',#49398); #27078=VERTEX_POINT('',#49402); #27079=VERTEX_POINT('',#49406); #27080=VERTEX_POINT('',#49410); #27081=VERTEX_POINT('',#49414); #27082=VERTEX_POINT('',#49418); #27083=VERTEX_POINT('',#49422); #27084=VERTEX_POINT('',#49426); #27085=VERTEX_POINT('',#49430); #27086=VERTEX_POINT('',#49435); #27087=VERTEX_POINT('',#49441); #27088=VERTEX_POINT('',#49442); #27089=VERTEX_POINT('',#49444); #27090=VERTEX_POINT('',#49446); #27091=VERTEX_POINT('',#49450); #27092=VERTEX_POINT('',#49452); #27093=VERTEX_POINT('',#49456); #27094=VERTEX_POINT('',#49458); #27095=VERTEX_POINT('',#49462); #27096=VERTEX_POINT('',#49466); #27097=VERTEX_POINT('',#49476); #27098=VERTEX_POINT('',#49477); #27099=VERTEX_POINT('',#49482); #27100=VERTEX_POINT('',#49487); #27101=VERTEX_POINT('',#49496); #27102=VERTEX_POINT('',#49501); #27103=VERTEX_POINT('',#49506); #27104=VERTEX_POINT('',#49511); #27105=VERTEX_POINT('',#49516); #27106=VERTEX_POINT('',#49529); #27107=VERTEX_POINT('',#49530); #27108=VERTEX_POINT('',#49541); #27109=VERTEX_POINT('',#49551); #27110=VERTEX_POINT('',#49552); #27111=VERTEX_POINT('',#49557); #27112=VERTEX_POINT('',#49562); #27113=VERTEX_POINT('',#49567); #27114=VERTEX_POINT('',#49572); #27115=VERTEX_POINT('',#49577); #27116=VERTEX_POINT('',#49582); #27117=VERTEX_POINT('',#49591); #27118=VERTEX_POINT('',#49592); #27119=VERTEX_POINT('',#49597); #27120=VERTEX_POINT('',#49602); #27121=VERTEX_POINT('',#49611); #27122=VERTEX_POINT('',#49612); #27123=VERTEX_POINT('',#49617); #27124=VERTEX_POINT('',#49622); #27125=VERTEX_POINT('',#49631); #27126=VERTEX_POINT('',#49632); #27127=VERTEX_POINT('',#49637); #27128=VERTEX_POINT('',#49642); #27129=VERTEX_POINT('',#49651); #27130=VERTEX_POINT('',#49652); #27131=VERTEX_POINT('',#49657); #27132=VERTEX_POINT('',#49662); #27133=VERTEX_POINT('',#49671); #27134=VERTEX_POINT('',#49672); #27135=VERTEX_POINT('',#49677); #27136=VERTEX_POINT('',#49682); #27137=VERTEX_POINT('',#49691); #27138=VERTEX_POINT('',#49692); #27139=VERTEX_POINT('',#49697); #27140=VERTEX_POINT('',#49702); #27141=VERTEX_POINT('',#49711); #27142=VERTEX_POINT('',#49712); #27143=VERTEX_POINT('',#49717); #27144=VERTEX_POINT('',#49722); #27145=VERTEX_POINT('',#49731); #27146=VERTEX_POINT('',#49732); #27147=VERTEX_POINT('',#49737); #27148=VERTEX_POINT('',#49742); #27149=VERTEX_POINT('',#49751); #27150=VERTEX_POINT('',#49752); #27151=VERTEX_POINT('',#49757); #27152=VERTEX_POINT('',#49762); #27153=VERTEX_POINT('',#49768); #27154=VERTEX_POINT('',#49769); #27155=VERTEX_POINT('',#49771); #27156=VERTEX_POINT('',#49773); #27157=VERTEX_POINT('',#49775); #27158=VERTEX_POINT('',#49777); #27159=VERTEX_POINT('',#49779); #27160=VERTEX_POINT('',#49781); #27161=VERTEX_POINT('',#49783); #27162=VERTEX_POINT('',#49785); #27163=VERTEX_POINT('',#49787); #27164=VERTEX_POINT('',#49789); #27165=VERTEX_POINT('',#49791); #27166=VERTEX_POINT('',#49793); #27167=VERTEX_POINT('',#49795); #27168=VERTEX_POINT('',#49797); #27169=VERTEX_POINT('',#49800); #27170=VERTEX_POINT('',#49805); #27171=VERTEX_POINT('',#49806); #27172=VERTEX_POINT('',#49811); #27173=VERTEX_POINT('',#49816); #27174=VERTEX_POINT('',#49825); #27175=VERTEX_POINT('',#49826); #27176=VERTEX_POINT('',#49831); #27177=VERTEX_POINT('',#49836); #27178=VERTEX_POINT('',#49845); #27179=VERTEX_POINT('',#49846); #27180=VERTEX_POINT('',#49851); #27181=VERTEX_POINT('',#49856); #27182=VERTEX_POINT('',#49865); #27183=VERTEX_POINT('',#49866); #27184=VERTEX_POINT('',#49871); #27185=VERTEX_POINT('',#49876); #27186=VERTEX_POINT('',#49885); #27187=VERTEX_POINT('',#49886); #27188=VERTEX_POINT('',#49891); #27189=VERTEX_POINT('',#49896); #27190=VERTEX_POINT('',#49905); #27191=VERTEX_POINT('',#49906); #27192=VERTEX_POINT('',#49911); #27193=VERTEX_POINT('',#49916); #27194=VERTEX_POINT('',#49925); #27195=VERTEX_POINT('',#49926); #27196=VERTEX_POINT('',#49931); #27197=VERTEX_POINT('',#49936); #27198=VERTEX_POINT('',#49945); #27199=VERTEX_POINT('',#49946); #27200=VERTEX_POINT('',#49951); #27201=VERTEX_POINT('',#49956); #27202=VERTEX_POINT('',#49965); #27203=VERTEX_POINT('',#49966); #27204=VERTEX_POINT('',#49971); #27205=VERTEX_POINT('',#49976); #27206=VERTEX_POINT('',#49985); #27207=VERTEX_POINT('',#49986); #27208=VERTEX_POINT('',#49991); #27209=VERTEX_POINT('',#49996); #27210=VERTEX_POINT('',#50001); #27211=VERTEX_POINT('',#50012); #27212=VERTEX_POINT('',#50017); #27213=VERTEX_POINT('',#50022); #27214=VERTEX_POINT('',#50027); #27215=VERTEX_POINT('',#50046); #27216=VERTEX_POINT('',#50047); #27217=VERTEX_POINT('',#50062); #27218=VERTEX_POINT('',#50069); #27219=VERTEX_POINT('',#50074); #27220=VERTEX_POINT('',#50079); #27221=VERTEX_POINT('',#50084); #27222=VERTEX_POINT('',#50093); #27223=VERTEX_POINT('',#50094); #27224=VERTEX_POINT('',#50107); #27225=VERTEX_POINT('',#50112); #27226=VERTEX_POINT('',#50117); #27227=VERTEX_POINT('',#50122); #27228=VERTEX_POINT('',#50135); #27229=VERTEX_POINT('',#50140); #27230=VERTEX_POINT('',#50149); #27231=VERTEX_POINT('',#50150); #27232=VERTEX_POINT('',#50155); #27233=VERTEX_POINT('',#50166); #27234=VERTEX_POINT('',#50171); #27235=VERTEX_POINT('',#50188); #27236=VERTEX_POINT('',#50193); #27237=VERTEX_POINT('',#50200); #27238=VERTEX_POINT('',#50207); #27239=VERTEX_POINT('',#50216); #27240=VERTEX_POINT('',#50217); #27241=VERTEX_POINT('',#50222); #27242=VERTEX_POINT('',#50227); #27243=VERTEX_POINT('',#50236); #27244=VERTEX_POINT('',#50237); #27245=VERTEX_POINT('',#50256); #27246=VERTEX_POINT('',#50261); #27247=VERTEX_POINT('',#50282); #27248=VERTEX_POINT('',#50291); #27249=VERTEX_POINT('',#50292); #27250=VERTEX_POINT('',#50297); #27251=VERTEX_POINT('',#50302); #27252=VERTEX_POINT('',#50307); #27253=VERTEX_POINT('',#50312); #27254=VERTEX_POINT('',#50317); #27255=VERTEX_POINT('',#50322); #27256=VERTEX_POINT('',#50327); #27257=VERTEX_POINT('',#50332); #27258=VERTEX_POINT('',#50337); #27259=VERTEX_POINT('',#50342); #27260=VERTEX_POINT('',#50351); #27261=VERTEX_POINT('',#50352); #27262=VERTEX_POINT('',#50367); #27263=VERTEX_POINT('',#50372); #27264=VERTEX_POINT('',#50377); #27265=VERTEX_POINT('',#50382); #27266=VERTEX_POINT('',#50387); #27267=VERTEX_POINT('',#50392); #27268=VERTEX_POINT('',#50397); #27269=VERTEX_POINT('',#50402); #27270=VERTEX_POINT('',#50407); #27271=VERTEX_POINT('',#50412); #27272=VERTEX_POINT('',#50431); #27273=VERTEX_POINT('',#50432); #27274=VERTEX_POINT('',#50451); #27275=VERTEX_POINT('',#50452); #27276=VERTEX_POINT('',#50457); #27277=VERTEX_POINT('',#50462); #27278=VERTEX_POINT('',#50469); #27279=VERTEX_POINT('',#50471); #27280=VERTEX_POINT('',#50475); #27281=VERTEX_POINT('',#50477); #27282=VERTEX_POINT('',#50479); #27283=VERTEX_POINT('',#50481); #27284=VERTEX_POINT('',#50483); #27285=VERTEX_POINT('',#50485); #27286=VERTEX_POINT('',#50487); #27287=VERTEX_POINT('',#50489); #27288=VERTEX_POINT('',#50491); #27289=VERTEX_POINT('',#50495); #27290=VERTEX_POINT('',#50496); #27291=VERTEX_POINT('',#50498); #27292=VERTEX_POINT('',#50500); #27293=VERTEX_POINT('',#50502); #27294=VERTEX_POINT('',#50504); #27295=VERTEX_POINT('',#50506); #27296=VERTEX_POINT('',#50508); #27297=VERTEX_POINT('',#50512); #27298=VERTEX_POINT('',#50513); #27299=VERTEX_POINT('',#50516); #27300=VERTEX_POINT('',#50518); #27301=VERTEX_POINT('',#50520); #27302=VERTEX_POINT('',#50522); #27303=VERTEX_POINT('',#50525); #27304=VERTEX_POINT('',#50527); #27305=VERTEX_POINT('',#50531); #27306=VERTEX_POINT('',#50533); #27307=VERTEX_POINT('',#50535); #27308=VERTEX_POINT('',#50537); #27309=VERTEX_POINT('',#50540); #27310=VERTEX_POINT('',#50542); #27311=VERTEX_POINT('',#50544); #27312=VERTEX_POINT('',#50546); #27313=VERTEX_POINT('',#50550); #27314=VERTEX_POINT('',#50552); #27315=VERTEX_POINT('',#50556); #27316=VERTEX_POINT('',#50558); #27317=VERTEX_POINT('',#50560); #27318=VERTEX_POINT('',#50562); #27319=VERTEX_POINT('',#50564); #27320=VERTEX_POINT('',#50566); #27321=VERTEX_POINT('',#50568); #27322=VERTEX_POINT('',#50570); #27323=VERTEX_POINT('',#50572); #27324=VERTEX_POINT('',#50574); #27325=VERTEX_POINT('',#50578); #27326=VERTEX_POINT('',#50579); #27327=VERTEX_POINT('',#50582); #27328=VERTEX_POINT('',#50584); #27329=VERTEX_POINT('',#50589); #27330=VERTEX_POINT('',#50591); #27331=VERTEX_POINT('',#50595); #27332=VERTEX_POINT('',#50597); #27333=VERTEX_POINT('',#50602); #27334=VERTEX_POINT('',#50604); #27335=VERTEX_POINT('',#50610); #27336=VERTEX_POINT('',#50612); #27337=VERTEX_POINT('',#50617); #27338=VERTEX_POINT('',#50619); #27339=VERTEX_POINT('',#50620); #27340=VERTEX_POINT('',#50623); #27341=VERTEX_POINT('',#50625); #27342=VERTEX_POINT('',#50629); #27343=VERTEX_POINT('',#50631); #27344=VERTEX_POINT('',#50635); #27345=VERTEX_POINT('',#50639); #27346=VERTEX_POINT('',#50641); #27347=VERTEX_POINT('',#50645); #27348=VERTEX_POINT('',#50647); #27349=VERTEX_POINT('',#50654); #27350=VERTEX_POINT('',#50655); #27351=VERTEX_POINT('',#50660); #27352=VERTEX_POINT('',#50661); #27353=VERTEX_POINT('',#50669); #27354=VERTEX_POINT('',#50671); #27355=VERTEX_POINT('',#50675); #27356=VERTEX_POINT('',#50677); #27357=VERTEX_POINT('',#50684); #27358=VERTEX_POINT('',#50685); #27359=VERTEX_POINT('',#50690); #27360=VERTEX_POINT('',#50691); #27361=VERTEX_POINT('',#50747); #27362=VERTEX_POINT('',#50749); #27363=VERTEX_POINT('',#50751); #27364=VERTEX_POINT('',#50753); #27365=VERTEX_POINT('',#50757); #27366=VERTEX_POINT('',#50759); #27367=VERTEX_POINT('',#50761); #27368=VERTEX_POINT('',#50763); #27369=VERTEX_POINT('',#50777); #27370=VERTEX_POINT('',#50782); #27371=VERTEX_POINT('',#50789); #27372=VERTEX_POINT('',#50796); #27373=VERTEX_POINT('',#50806); #27374=VERTEX_POINT('',#50811); #27375=VERTEX_POINT('',#50818); #27376=VERTEX_POINT('',#50825); #27377=VERTEX_POINT('',#50835); #27378=VERTEX_POINT('',#50840); #27379=VERTEX_POINT('',#50847); #27380=VERTEX_POINT('',#50854); #27381=VERTEX_POINT('',#50864); #27382=VERTEX_POINT('',#50869); #27383=VERTEX_POINT('',#50876); #27384=VERTEX_POINT('',#50883); #27385=VERTEX_POINT('',#50893); #27386=VERTEX_POINT('',#50898); #27387=VERTEX_POINT('',#50905); #27388=VERTEX_POINT('',#50912); #27389=VERTEX_POINT('',#50922); #27390=VERTEX_POINT('',#50927); #27391=VERTEX_POINT('',#50934); #27392=VERTEX_POINT('',#50941); #27393=VERTEX_POINT('',#50951); #27394=VERTEX_POINT('',#50956); #27395=VERTEX_POINT('',#50963); #27396=VERTEX_POINT('',#50970); #27397=VERTEX_POINT('',#50980); #27398=VERTEX_POINT('',#50985); #27399=VERTEX_POINT('',#50992); #27400=VERTEX_POINT('',#50999); #27401=VERTEX_POINT('',#51009); #27402=VERTEX_POINT('',#51014); #27403=VERTEX_POINT('',#51021); #27404=VERTEX_POINT('',#51028); #27405=VERTEX_POINT('',#51038); #27406=VERTEX_POINT('',#51043); #27407=VERTEX_POINT('',#51047); #27408=VERTEX_POINT('',#51054); #27409=VERTEX_POINT('',#51067); #27410=VERTEX_POINT('',#51072); #27411=VERTEX_POINT('',#51079); #27412=VERTEX_POINT('',#51086); #27413=VERTEX_POINT('',#51096); #27414=VERTEX_POINT('',#51101); #27415=VERTEX_POINT('',#51108); #27416=VERTEX_POINT('',#51115); #27417=VERTEX_POINT('',#51125); #27418=VERTEX_POINT('',#51130); #27419=VERTEX_POINT('',#51137); #27420=VERTEX_POINT('',#51144); #27421=VERTEX_POINT('',#51154); #27422=VERTEX_POINT('',#51159); #27423=VERTEX_POINT('',#51166); #27424=VERTEX_POINT('',#51173); #27425=VERTEX_POINT('',#51183); #27426=VERTEX_POINT('',#51188); #27427=VERTEX_POINT('',#51195); #27428=VERTEX_POINT('',#51202); #27429=VERTEX_POINT('',#51209); #27430=VERTEX_POINT('',#51214); #27431=VERTEX_POINT('',#51219); #27432=VERTEX_POINT('',#51224); #27433=VERTEX_POINT('',#51231); #27434=VERTEX_POINT('',#51236); #27435=VERTEX_POINT('',#51243); #27436=VERTEX_POINT('',#51250); #27437=VERTEX_POINT('',#51260); #27438=VERTEX_POINT('',#51265); #27439=VERTEX_POINT('',#51272); #27440=VERTEX_POINT('',#51279); #27441=VERTEX_POINT('',#51289); #27442=VERTEX_POINT('',#51294); #27443=VERTEX_POINT('',#51301); #27444=VERTEX_POINT('',#51308); #27445=VERTEX_POINT('',#51318); #27446=VERTEX_POINT('',#51323); #27447=VERTEX_POINT('',#51330); #27448=VERTEX_POINT('',#51337); #27449=VERTEX_POINT('',#51347); #27450=VERTEX_POINT('',#51352); #27451=VERTEX_POINT('',#51356); #27452=VERTEX_POINT('',#51363); #27453=VERTEX_POINT('',#51370); #27454=VERTEX_POINT('',#51377); #27455=VERTEX_POINT('',#51407); #27456=VERTEX_POINT('',#51414); #27457=VERTEX_POINT('',#51421); #27458=VERTEX_POINT('',#51452); #27459=VERTEX_POINT('',#51453); #27460=VERTEX_POINT('',#51455); #27461=VERTEX_POINT('',#51460); #27462=VERTEX_POINT('',#51467); #27463=VERTEX_POINT('',#51469); #27464=VERTEX_POINT('',#51479); #27465=VERTEX_POINT('',#51484); #27466=VERTEX_POINT('',#51488); #27467=VERTEX_POINT('',#51495); #27468=VERTEX_POINT('',#51502); #27469=VERTEX_POINT('',#51509); #27470=VERTEX_POINT('',#51516); #27471=VERTEX_POINT('',#51569); #27472=VERTEX_POINT('',#51574); #27473=VERTEX_POINT('',#51578); #27474=VERTEX_POINT('',#51585); #27475=VERTEX_POINT('',#51592); #27476=VERTEX_POINT('',#51618); #27477=VERTEX_POINT('',#51628); #27478=VERTEX_POINT('',#51635); #27479=VERTEX_POINT('',#51667); #27480=VERTEX_POINT('',#51672); #27481=VERTEX_POINT('',#51676); #27482=VERTEX_POINT('',#51683); #27483=VERTEX_POINT('',#51697); #27484=VERTEX_POINT('',#51711); #27485=VERTEX_POINT('',#51718); #27486=VERTEX_POINT('',#51752); #27487=VERTEX_POINT('',#51759); #27488=VERTEX_POINT('',#51787); #27489=VERTEX_POINT('',#51792); #27490=VERTEX_POINT('',#51796); #27491=VERTEX_POINT('',#51803); #27492=VERTEX_POINT('',#51816); #27493=VERTEX_POINT('',#51821); #27494=VERTEX_POINT('',#51825); #27495=VERTEX_POINT('',#51832); #27496=VERTEX_POINT('',#51874); #27497=VERTEX_POINT('',#51918); #27498=VERTEX_POINT('',#51923); #27499=VERTEX_POINT('',#51927); #27500=VERTEX_POINT('',#51934); #27501=VERTEX_POINT('',#51941); #27502=VERTEX_POINT('',#51948); #27503=VERTEX_POINT('',#51955); #27504=VERTEX_POINT('',#51962); #27505=VERTEX_POINT('',#51969); #27506=VERTEX_POINT('',#51976); #27507=VERTEX_POINT('',#51983); #27508=VERTEX_POINT('',#51990); #27509=VERTEX_POINT('',#52003); #27510=VERTEX_POINT('',#52008); #27511=VERTEX_POINT('',#52012); #27512=VERTEX_POINT('',#52042); #27513=VERTEX_POINT('',#52052); #27514=VERTEX_POINT('',#52078); #27515=VERTEX_POINT('',#52085); #27516=VERTEX_POINT('',#52092); #27517=VERTEX_POINT('',#52099); #27518=VERTEX_POINT('',#52106); #27519=VERTEX_POINT('',#52113); #27520=VERTEX_POINT('',#52120); #27521=VERTEX_POINT('',#52136); #27522=VERTEX_POINT('',#52145); #27523=VERTEX_POINT('',#52156); #27524=VERTEX_POINT('',#52166); #27525=VERTEX_POINT('',#52181); #27526=VERTEX_POINT('',#52231); #27527=VERTEX_POINT('',#52236); #27528=VERTEX_POINT('',#52243); #27529=VERTEX_POINT('',#52250); #27530=VERTEX_POINT('',#52263); #27531=VERTEX_POINT('',#52264); #27532=VERTEX_POINT('',#52266); #27533=VERTEX_POINT('',#52271); #27534=VERTEX_POINT('',#52278); #27535=VERTEX_POINT('',#52280); #27536=VERTEX_POINT('',#52292); #27537=VERTEX_POINT('',#52294); #27538=VERTEX_POINT('',#52313); #27539=VERTEX_POINT('',#52315); #27540=VERTEX_POINT('',#52329); #27541=VERTEX_POINT('',#52334); #27542=VERTEX_POINT('',#52338); #27543=VERTEX_POINT('',#52345); #27544=VERTEX_POINT('',#52352); #27545=VERTEX_POINT('',#52359); #27546=VERTEX_POINT('',#52366); #27547=VERTEX_POINT('',#52376); #27548=VERTEX_POINT('',#52394); #27549=EDGE_CURVE('',#24811,#24812,#31708,.T.); #27550=EDGE_CURVE('',#24812,#24813,#31709,.T.); #27551=EDGE_CURVE('',#24813,#24814,#31710,.T.); #27552=EDGE_CURVE('',#24814,#24815,#31711,.T.); #27553=EDGE_CURVE('',#24815,#24816,#31712,.T.); #27554=EDGE_CURVE('',#24816,#24817,#31713,.T.); #27555=EDGE_CURVE('',#24817,#24818,#31714,.T.); #27556=EDGE_CURVE('',#24818,#24811,#31715,.T.); #27557=EDGE_CURVE('',#24819,#24820,#31716,.T.); #27558=EDGE_CURVE('',#24820,#24821,#31717,.T.); #27559=EDGE_CURVE('',#24821,#24822,#31718,.T.); #27560=EDGE_CURVE('',#24822,#24823,#31719,.T.); #27561=EDGE_CURVE('',#24823,#24824,#31720,.T.); #27562=EDGE_CURVE('',#24824,#24825,#31721,.T.); #27563=EDGE_CURVE('',#24825,#24826,#31722,.T.); #27564=EDGE_CURVE('',#24826,#24827,#31723,.T.); #27565=EDGE_CURVE('',#24827,#24828,#31724,.T.); #27566=EDGE_CURVE('',#24828,#24829,#31725,.T.); #27567=EDGE_CURVE('',#24829,#24830,#31726,.T.); #27568=EDGE_CURVE('',#24830,#24831,#31727,.T.); #27569=EDGE_CURVE('',#24831,#24819,#31728,.T.); #27570=EDGE_CURVE('',#24832,#24833,#31729,.T.); #27571=EDGE_CURVE('',#24833,#24834,#31730,.T.); #27572=EDGE_CURVE('',#24834,#24835,#31731,.T.); #27573=EDGE_CURVE('',#24835,#24836,#31732,.T.); #27574=EDGE_CURVE('',#24836,#24837,#31733,.T.); #27575=EDGE_CURVE('',#24837,#24838,#31734,.T.); #27576=EDGE_CURVE('',#24838,#24839,#31735,.T.); #27577=EDGE_CURVE('',#24839,#24840,#31736,.T.); #27578=EDGE_CURVE('',#24840,#24841,#31737,.T.); #27579=EDGE_CURVE('',#24841,#24832,#31738,.T.); #27580=EDGE_CURVE('',#24842,#24843,#31739,.T.); #27581=EDGE_CURVE('',#24843,#24844,#31740,.T.); #27582=EDGE_CURVE('',#24844,#24845,#31741,.T.); #27583=EDGE_CURVE('',#24845,#24846,#31742,.T.); #27584=EDGE_CURVE('',#24846,#24847,#31743,.T.); #27585=EDGE_CURVE('',#24847,#24848,#31744,.T.); #27586=EDGE_CURVE('',#24848,#24849,#31745,.T.); #27587=EDGE_CURVE('',#24849,#24850,#31746,.T.); #27588=EDGE_CURVE('',#24850,#24851,#31747,.T.); #27589=EDGE_CURVE('',#24851,#24842,#31748,.T.); #27590=EDGE_CURVE('',#24852,#24853,#31749,.T.); #27591=EDGE_CURVE('',#24853,#24854,#31750,.T.); #27592=EDGE_CURVE('',#24854,#24855,#31751,.T.); #27593=EDGE_CURVE('',#24855,#24852,#31752,.T.); #27594=EDGE_CURVE('',#24856,#24857,#31753,.T.); #27595=EDGE_CURVE('',#24857,#24858,#31754,.T.); #27596=EDGE_CURVE('',#24858,#24859,#31755,.T.); #27597=EDGE_CURVE('',#24859,#24860,#31756,.T.); #27598=EDGE_CURVE('',#24860,#24861,#31757,.T.); #27599=EDGE_CURVE('',#24861,#24856,#31758,.T.); #27600=EDGE_CURVE('',#24862,#24863,#8321,.T.); #27601=EDGE_CURVE('',#24863,#24864,#8322,.T.); #27602=EDGE_CURVE('',#24864,#24865,#8323,.T.); #27603=EDGE_CURVE('',#24865,#24866,#8324,.T.); #27604=EDGE_CURVE('',#24866,#24867,#8325,.T.); #27605=EDGE_CURVE('',#24867,#24868,#7964,.T.); #27606=EDGE_CURVE('',#24868,#24869,#8326,.T.); #27607=EDGE_CURVE('',#24869,#24870,#7965,.T.); #27608=EDGE_CURVE('',#24870,#24871,#8327,.T.); #27609=EDGE_CURVE('',#24871,#24872,#8328,.T.); #27610=EDGE_CURVE('',#24872,#24873,#8329,.T.); #27611=EDGE_CURVE('',#24873,#24874,#8330,.T.); #27612=EDGE_CURVE('',#24874,#24875,#8331,.T.); #27613=EDGE_CURVE('',#24875,#24876,#8332,.T.); #27614=EDGE_CURVE('',#24877,#24876,#8333,.T.); #27615=EDGE_CURVE('',#24877,#24878,#8334,.T.); #27616=EDGE_CURVE('',#24878,#24879,#8335,.T.); #27617=EDGE_CURVE('',#24879,#24880,#8336,.T.); #27618=EDGE_CURVE('',#24881,#24880,#8337,.T.); #27619=EDGE_CURVE('',#24881,#24882,#8338,.T.); #27620=EDGE_CURVE('',#24882,#24883,#8339,.T.); #27621=EDGE_CURVE('',#24883,#24884,#8340,.T.); #27622=EDGE_CURVE('',#24885,#24884,#8341,.T.); #27623=EDGE_CURVE('',#24885,#24886,#8342,.T.); #27624=EDGE_CURVE('',#24886,#24887,#8343,.T.); #27625=EDGE_CURVE('',#24887,#24888,#8344,.T.); #27626=EDGE_CURVE('',#24889,#24888,#8345,.T.); #27627=EDGE_CURVE('',#24889,#24890,#8346,.T.); #27628=EDGE_CURVE('',#24890,#24891,#8347,.T.); #27629=EDGE_CURVE('',#24892,#24891,#8348,.T.); #27630=EDGE_CURVE('',#24892,#24893,#8349,.T.); #27631=EDGE_CURVE('',#24893,#24862,#8350,.T.); #27632=EDGE_CURVE('',#24894,#24895,#31759,.T.); #27633=EDGE_CURVE('',#24895,#24896,#31760,.T.); #27634=EDGE_CURVE('',#24896,#24894,#31761,.T.); #27635=EDGE_CURVE('',#24897,#24898,#31762,.T.); #27636=EDGE_CURVE('',#24898,#24899,#31763,.T.); #27637=EDGE_CURVE('',#24899,#24900,#31764,.T.); #27638=EDGE_CURVE('',#24900,#24901,#31765,.T.); #27639=EDGE_CURVE('',#24901,#24897,#31766,.T.); #27640=EDGE_CURVE('',#24902,#24903,#31767,.T.); #27641=EDGE_CURVE('',#24903,#24904,#31768,.T.); #27642=EDGE_CURVE('',#24904,#24905,#31769,.T.); #27643=EDGE_CURVE('',#24905,#24906,#31770,.T.); #27644=EDGE_CURVE('',#24906,#24907,#31771,.T.); #27645=EDGE_CURVE('',#24907,#24908,#31772,.T.); #27646=EDGE_CURVE('',#24908,#24909,#31773,.T.); #27647=EDGE_CURVE('',#24909,#24902,#31774,.T.); #27648=EDGE_CURVE('',#24910,#24910,#31775,.T.); #27649=EDGE_CURVE('',#24911,#24912,#31776,.T.); #27650=EDGE_CURVE('',#24912,#24913,#31777,.T.); #27651=EDGE_CURVE('',#24913,#24914,#31778,.T.); #27652=EDGE_CURVE('',#24914,#24915,#31779,.T.); #27653=EDGE_CURVE('',#24915,#24916,#31780,.T.); #27654=EDGE_CURVE('',#24916,#24917,#31781,.T.); #27655=EDGE_CURVE('',#24917,#24918,#31782,.T.); #27656=EDGE_CURVE('',#24918,#24919,#31783,.T.); #27657=EDGE_CURVE('',#24919,#24920,#31784,.T.); #27658=EDGE_CURVE('',#24920,#24911,#31785,.T.); #27659=EDGE_CURVE('',#24921,#24922,#31786,.T.); #27660=EDGE_CURVE('',#24922,#24921,#31787,.T.); #27661=EDGE_CURVE('',#24923,#24924,#31788,.T.); #27662=EDGE_CURVE('',#24924,#24925,#31789,.T.); #27663=EDGE_CURVE('',#24925,#24926,#31790,.T.); #27664=EDGE_CURVE('',#24926,#24927,#31791,.T.); #27665=EDGE_CURVE('',#24927,#24928,#31792,.T.); #27666=EDGE_CURVE('',#24928,#24929,#31793,.T.); #27667=EDGE_CURVE('',#24929,#24930,#31794,.T.); #27668=EDGE_CURVE('',#24930,#24923,#31795,.T.); #27669=EDGE_CURVE('',#24931,#24932,#8351,.T.); #27670=EDGE_CURVE('',#24931,#24933,#7966,.T.); #27671=EDGE_CURVE('',#24933,#24934,#7967,.T.); #27672=EDGE_CURVE('',#24934,#24935,#7968,.T.); #27673=EDGE_CURVE('',#24935,#24936,#7969,.T.); #27674=EDGE_CURVE('',#24936,#24937,#8352,.T.); #27675=EDGE_CURVE('',#24937,#24938,#7970,.T.); #27676=EDGE_CURVE('',#24938,#24939,#7971,.T.); #27677=EDGE_CURVE('',#24939,#24940,#7972,.T.); #27678=EDGE_CURVE('',#24940,#24932,#7973,.T.); #27679=EDGE_CURVE('',#24941,#24942,#8353,.T.); #27680=EDGE_CURVE('',#24943,#24941,#8354,.T.); #27681=EDGE_CURVE('',#24943,#24944,#7974,.T.); #27682=EDGE_CURVE('',#24944,#24945,#7975,.T.); #27683=EDGE_CURVE('',#24945,#24946,#8355,.T.); #27684=EDGE_CURVE('',#24946,#24947,#7976,.T.); #27685=EDGE_CURVE('',#24947,#24942,#7977,.T.); #27686=EDGE_CURVE('',#24948,#24949,#3715,.T.); #27687=EDGE_CURVE('',#24948,#24950,#8356,.T.); #27688=EDGE_CURVE('',#24951,#24950,#7978,.T.); #27689=EDGE_CURVE('',#24951,#24952,#8357,.T.); #27690=EDGE_CURVE('',#24952,#24953,#7979,.T.); #27691=EDGE_CURVE('',#24953,#24954,#8358,.T.); #27692=EDGE_CURVE('',#24954,#24949,#7980,.T.); #27693=EDGE_CURVE('',#24955,#24956,#3716,.T.); #27694=EDGE_CURVE('',#24955,#24940,#8359,.T.); #27695=EDGE_CURVE('',#24939,#24956,#8360,.T.); #27696=EDGE_CURVE('',#24957,#24958,#3717,.T.); #27697=EDGE_CURVE('',#24957,#24959,#7981,.T.); #27698=EDGE_CURVE('',#24945,#24959,#8361,.T.); #27699=EDGE_CURVE('',#24944,#24958,#8362,.T.); #27700=EDGE_CURVE('',#24960,#24961,#3718,.T.); #27701=EDGE_CURVE('',#24960,#24934,#8363,.T.); #27702=EDGE_CURVE('',#24933,#24961,#8364,.T.); #27703=EDGE_CURVE('',#24962,#24949,#8365,.T.); #27704=EDGE_CURVE('',#24954,#24963,#7982,.T.); #27705=EDGE_CURVE('',#24963,#24964,#8366,.T.); #27706=EDGE_CURVE('',#24965,#24964,#7983,.T.); #27707=EDGE_CURVE('',#24966,#24965,#7984,.T.); #27708=EDGE_CURVE('',#24967,#24966,#7985,.T.); #27709=EDGE_CURVE('',#24967,#24968,#7986,.T.); #27710=EDGE_CURVE('',#24969,#24968,#7987,.T.); #27711=EDGE_CURVE('',#24970,#24969,#8367,.T.); #27712=EDGE_CURVE('',#24971,#24970,#7988,.T.); #27713=EDGE_CURVE('',#24971,#24972,#7989,.T.); #27714=EDGE_CURVE('',#24973,#24972,#8368,.T.); #27715=EDGE_CURVE('',#24974,#24973,#7990,.T.); #27716=EDGE_CURVE('',#24959,#24974,#8369,.T.); #27717=EDGE_CURVE('',#24957,#24975,#8370,.T.); #27718=EDGE_CURVE('',#24975,#24976,#7991,.T.); #27719=EDGE_CURVE('',#24977,#24976,#7992,.T.); #27720=EDGE_CURVE('',#24978,#24977,#8371,.T.); #27721=EDGE_CURVE('',#24979,#24978,#7993,.T.); #27722=EDGE_CURVE('',#24979,#24962,#7994,.T.); #27723=EDGE_CURVE('',#24948,#24980,#3719,.T.); #27724=EDGE_CURVE('',#24981,#24980,#8372,.T.); #27725=EDGE_CURVE('',#24950,#24981,#7995,.T.); #27726=EDGE_CURVE('',#24982,#24931,#8373,.T.); #27727=EDGE_CURVE('',#24982,#24961,#3720,.T.); #27728=EDGE_CURVE('',#24960,#24962,#3721,.T.); #27729=EDGE_CURVE('',#24935,#24979,#8374,.T.); #27730=EDGE_CURVE('',#24975,#24956,#3722,.T.); #27731=EDGE_CURVE('',#24976,#24938,#8375,.T.); #27732=EDGE_CURVE('',#24955,#24983,#3723,.T.); #27733=EDGE_CURVE('',#24932,#24983,#8376,.T.); #27734=EDGE_CURVE('',#24984,#24943,#8377,.T.); #27735=EDGE_CURVE('',#24984,#24958,#3724,.T.); #27736=EDGE_CURVE('',#24985,#24986,#8378,.T.); #27737=EDGE_CURVE('',#24986,#24987,#8379,.T.); #27738=EDGE_CURVE('',#24988,#24987,#8380,.T.); #27739=EDGE_CURVE('',#24985,#24988,#8381,.T.); #27740=EDGE_CURVE('',#24989,#24873,#8382,.T.); #27741=EDGE_CURVE('',#24989,#24990,#8383,.T.); #27742=EDGE_CURVE('',#24990,#24874,#8384,.T.); #27743=EDGE_CURVE('',#24866,#24991,#7996,.T.); #27744=EDGE_CURVE('',#24992,#24991,#8385,.T.); #27745=EDGE_CURVE('',#24992,#24993,#7997,.T.); #27746=EDGE_CURVE('',#24993,#24994,#8386,.T.); #27747=EDGE_CURVE('',#24994,#24867,#8387,.T.); #27748=EDGE_CURVE('',#24995,#24995,#7998,.T.); #27749=EDGE_CURVE('',#24996,#24996,#7999,.T.); #27750=EDGE_CURVE('',#24968,#24997,#8388,.T.); #27751=EDGE_CURVE('',#24997,#24998,#8000,.T.); #27752=EDGE_CURVE('',#24999,#24998,#8389,.T.); #27753=EDGE_CURVE('',#25000,#24999,#8001,.T.); #27754=EDGE_CURVE('',#24969,#25000,#8390,.T.); #27755=EDGE_CURVE('',#25001,#25002,#8002,.T.); #27756=EDGE_CURVE('',#25001,#24986,#8003,.T.); #27757=EDGE_CURVE('',#25003,#24985,#8391,.T.); #27758=EDGE_CURVE('',#25003,#25004,#8392,.T.); #27759=EDGE_CURVE('',#25005,#25004,#8393,.T.); #27760=EDGE_CURVE('',#25005,#24893,#8394,.T.); #27761=EDGE_CURVE('',#25006,#24892,#8395,.T.); #27762=EDGE_CURVE('',#25006,#25002,#8004,.T.); #27763=EDGE_CURVE('',#25007,#25007,#8005,.T.); #27764=EDGE_CURVE('',#25008,#25009,#8396,.T.); #27765=EDGE_CURVE('',#25008,#25010,#8397,.T.); #27766=EDGE_CURVE('',#25011,#25010,#8398,.T.); #27767=EDGE_CURVE('',#25011,#25012,#8399,.T.); #27768=EDGE_CURVE('',#25012,#25013,#8400,.T.); #27769=EDGE_CURVE('',#25013,#25014,#8006,.T.); #27770=EDGE_CURVE('',#25014,#25015,#8401,.T.); #27771=EDGE_CURVE('',#25015,#25009,#8402,.T.); #27772=EDGE_CURVE('',#25016,#25017,#8403,.T.); #27773=EDGE_CURVE('',#25017,#24863,#8007,.T.); #27774=EDGE_CURVE('',#24862,#25018,#8404,.T.); #27775=EDGE_CURVE('',#25019,#25018,#8405,.T.); #27776=EDGE_CURVE('',#25019,#25020,#8406,.T.); #27777=EDGE_CURVE('',#25020,#25021,#8407,.T.); #27778=EDGE_CURVE('',#25021,#25022,#8008,.T.); #27779=EDGE_CURVE('',#25022,#25023,#8408,.T.); #27780=EDGE_CURVE('',#25023,#25016,#8009,.T.); #27781=EDGE_CURVE('',#25010,#25024,#8409,.T.); #27782=EDGE_CURVE('',#25024,#25025,#8410,.T.); #27783=EDGE_CURVE('',#25025,#25026,#8411,.T.); #27784=EDGE_CURVE('',#25027,#25026,#8412,.T.); #27785=EDGE_CURVE('',#25027,#25028,#8010,.T.); #27786=EDGE_CURVE('',#25028,#25029,#8413,.T.); #27787=EDGE_CURVE('',#25029,#25011,#8011,.T.); #27788=EDGE_CURVE('',#25030,#24988,#8414,.T.); #27789=EDGE_CURVE('',#25031,#25030,#8415,.T.); #27790=EDGE_CURVE('',#25031,#25032,#8416,.T.); #27791=EDGE_CURVE('',#25032,#25008,#8417,.T.); #27792=EDGE_CURVE('',#25009,#25033,#8012,.T.); #27793=EDGE_CURVE('',#25033,#25034,#8418,.T.); #27794=EDGE_CURVE('',#25034,#25035,#8013,.T.); #27795=EDGE_CURVE('',#25036,#25035,#8419,.T.); #27796=EDGE_CURVE('',#25036,#25003,#8420,.T.); #27797=EDGE_CURVE('',#25004,#25037,#8421,.T.); #27798=EDGE_CURVE('',#25037,#25038,#8422,.T.); #27799=EDGE_CURVE('',#25038,#25039,#8014,.T.); #27800=EDGE_CURVE('',#25039,#25040,#8423,.T.); #27801=EDGE_CURVE('',#25040,#25019,#8015,.T.); #27802=EDGE_CURVE('',#25018,#25005,#8424,.T.); #27803=EDGE_CURVE('',#25031,#25041,#8425,.T.); #27804=EDGE_CURVE('',#25041,#25042,#8016,.T.); #27805=EDGE_CURVE('',#25043,#25042,#8017,.T.); #27806=EDGE_CURVE('',#25043,#24989,#8018,.T.); #27807=EDGE_CURVE('',#24872,#25025,#8426,.T.); #27808=EDGE_CURVE('',#25024,#25032,#8427,.T.); #27809=EDGE_CURVE('',#25044,#25044,#8019,.T.); #27810=EDGE_CURVE('',#25045,#25045,#8020,.T.); #27811=EDGE_CURVE('',#25046,#25046,#8021,.T.); #27812=EDGE_CURVE('',#25047,#25048,#8022,.T.); #27813=EDGE_CURVE('',#25047,#25049,#8428,.T.); #27814=EDGE_CURVE('',#25049,#25050,#8023,.T.); #27815=EDGE_CURVE('',#25050,#25048,#8429,.T.); #27816=EDGE_CURVE('',#25051,#25052,#8024,.T.); #27817=EDGE_CURVE('',#25052,#25053,#8430,.T.); #27818=EDGE_CURVE('',#25053,#25054,#8431,.T.); #27819=EDGE_CURVE('',#25054,#25021,#8432,.T.); #27820=EDGE_CURVE('',#25020,#25055,#8025,.T.); #27821=EDGE_CURVE('',#25055,#25051,#8433,.T.); #27822=EDGE_CURVE('',#25056,#25057,#8434,.T.); #27823=EDGE_CURVE('',#25056,#25023,#8435,.T.); #27824=EDGE_CURVE('',#25022,#25058,#8436,.T.); #27825=EDGE_CURVE('',#25058,#25059,#8437,.T.); #27826=EDGE_CURVE('',#25059,#25060,#8438,.T.); #27827=EDGE_CURVE('',#25060,#25061,#8026,.T.); #27828=EDGE_CURVE('',#25061,#25062,#8439,.T.); #27829=EDGE_CURVE('',#25062,#24994,#8027,.T.); #27830=EDGE_CURVE('',#24993,#25057,#8440,.T.); #27831=EDGE_CURVE('',#25063,#25064,#8028,.T.); #27832=EDGE_CURVE('',#25063,#25065,#8441,.T.); #27833=EDGE_CURVE('',#25065,#25066,#8029,.T.); #27834=EDGE_CURVE('',#25066,#25064,#8442,.T.); #27835=EDGE_CURVE('',#25067,#25068,#8030,.T.); #27836=EDGE_CURVE('',#25068,#25069,#8443,.T.); #27837=EDGE_CURVE('',#25069,#25070,#8444,.T.); #27838=EDGE_CURVE('',#25070,#25013,#8445,.T.); #27839=EDGE_CURVE('',#25012,#25071,#8031,.T.); #27840=EDGE_CURVE('',#25071,#25067,#8446,.T.); #27841=EDGE_CURVE('',#25072,#25073,#8447,.T.); #27842=EDGE_CURVE('',#25073,#25074,#8448,.T.); #27843=EDGE_CURVE('',#25074,#25075,#8032,.T.); #27844=EDGE_CURVE('',#25075,#25076,#8449,.T.); #27845=EDGE_CURVE('',#25076,#25015,#8033,.T.); #27846=EDGE_CURVE('',#25014,#25072,#8450,.T.); #27847=EDGE_CURVE('',#25049,#25073,#8034,.T.); #27848=EDGE_CURVE('',#25072,#25070,#8035,.T.); #27849=EDGE_CURVE('',#25069,#25066,#8036,.T.); #27850=EDGE_CURVE('',#25065,#25059,#8037,.T.); #27851=EDGE_CURVE('',#25058,#25054,#8038,.T.); #27852=EDGE_CURVE('',#25053,#25050,#8039,.T.); #27853=EDGE_CURVE('',#25037,#25036,#8451,.T.); #27854=EDGE_CURVE('',#25035,#25077,#8452,.T.); #27855=EDGE_CURVE('',#25077,#25047,#8040,.T.); #27856=EDGE_CURVE('',#25048,#25078,#8041,.T.); #27857=EDGE_CURVE('',#25078,#25038,#8453,.T.); #27858=EDGE_CURVE('',#25026,#24871,#8454,.T.); #27859=EDGE_CURVE('',#24870,#25079,#8455,.T.); #27860=EDGE_CURVE('',#25079,#25063,#8042,.T.); #27861=EDGE_CURVE('',#25064,#25080,#8043,.T.); #27862=EDGE_CURVE('',#25080,#25027,#8456,.T.); #27863=EDGE_CURVE('',#25071,#25029,#8457,.T.); #27864=EDGE_CURVE('',#25028,#25067,#8458,.T.); #27865=EDGE_CURVE('',#24868,#25062,#8459,.T.); #27866=EDGE_CURVE('',#25061,#24869,#8460,.T.); #27867=EDGE_CURVE('',#25033,#25076,#8461,.T.); #27868=EDGE_CURVE('',#25075,#25034,#8462,.T.); #27869=EDGE_CURVE('',#25055,#25040,#8463,.T.); #27870=EDGE_CURVE('',#25039,#25051,#8464,.T.); #27871=EDGE_CURVE('',#25080,#25068,#31796,.T.); #27872=EDGE_CURVE('',#25060,#25079,#31797,.T.); #27873=EDGE_CURVE('',#25074,#25077,#31798,.T.); #27874=EDGE_CURVE('',#25078,#25052,#31799,.T.); #27875=EDGE_CURVE('',#25081,#24891,#8465,.T.); #27876=EDGE_CURVE('',#25081,#25006,#8466,.T.); #27877=EDGE_CURVE('',#25082,#25082,#8044,.T.); #27878=EDGE_CURVE('',#24878,#25083,#8467,.T.); #27879=EDGE_CURVE('',#25083,#25084,#8468,.T.); #27880=EDGE_CURVE('',#25084,#24875,#8469,.T.); #27881=EDGE_CURVE('',#24990,#25085,#8045,.T.); #27882=EDGE_CURVE('',#25085,#25086,#8046,.T.); #27883=EDGE_CURVE('',#25086,#25087,#8047,.T.); #27884=EDGE_CURVE('',#25030,#25087,#8470,.T.); #27885=EDGE_CURVE('',#24987,#25088,#8048,.T.); #27886=EDGE_CURVE('',#25088,#25089,#8049,.T.); #27887=EDGE_CURVE('',#25089,#25081,#8050,.T.); #27888=EDGE_CURVE('',#24890,#25090,#8471,.T.); #27889=EDGE_CURVE('',#25090,#25091,#8472,.T.); #27890=EDGE_CURVE('',#25091,#24887,#8473,.T.); #27891=EDGE_CURVE('',#24886,#25092,#8474,.T.); #27892=EDGE_CURVE('',#25092,#25093,#8475,.T.); #27893=EDGE_CURVE('',#25093,#24883,#8476,.T.); #27894=EDGE_CURVE('',#24882,#25094,#8477,.T.); #27895=EDGE_CURVE('',#25094,#25095,#8478,.T.); #27896=EDGE_CURVE('',#25095,#24879,#8479,.T.); #27897=EDGE_CURVE('',#25087,#25041,#8480,.T.); #27898=EDGE_CURVE('',#25096,#25096,#8051,.T.); #27899=EDGE_CURVE('',#24946,#24974,#8481,.T.); #27900=EDGE_CURVE('',#24973,#25097,#3725,.T.); #27901=EDGE_CURVE('',#25097,#24947,#8482,.T.); #27902=EDGE_CURVE('',#25098,#25099,#8483,.T.); #27903=EDGE_CURVE('',#25099,#25100,#3726,.T.); #27904=EDGE_CURVE('',#25100,#25101,#8484,.T.); #27905=EDGE_CURVE('',#25098,#25101,#8052,.T.); #27906=EDGE_CURVE('',#25102,#25103,#8485,.T.); #27907=EDGE_CURVE('',#25102,#25098,#8053,.T.); #27908=EDGE_CURVE('',#25101,#25104,#8054,.T.); #27909=EDGE_CURVE('',#25104,#25105,#8055,.T.); #27910=EDGE_CURVE('',#25105,#25000,#8486,.T.); #27911=EDGE_CURVE('',#24999,#25106,#8487,.T.); #27912=EDGE_CURVE('',#25106,#25107,#8056,.T.); #27913=EDGE_CURVE('',#25107,#25108,#8488,.T.); #27914=EDGE_CURVE('',#25108,#25109,#8057,.T.); #27915=EDGE_CURVE('',#25109,#24951,#8058,.T.); #27916=EDGE_CURVE('',#25110,#24981,#8489,.T.); #27917=EDGE_CURVE('',#25111,#25110,#8490,.T.); #27918=EDGE_CURVE('',#25103,#25111,#8491,.T.); #27919=EDGE_CURVE('',#24936,#24978,#8492,.T.); #27920=EDGE_CURVE('',#24977,#24937,#8493,.T.); #27921=EDGE_CURVE('',#25105,#24970,#8494,.T.); #27922=EDGE_CURVE('',#24998,#25112,#8495,.T.); #27923=EDGE_CURVE('',#25112,#25106,#8496,.T.); #27924=EDGE_CURVE('',#25107,#25113,#8497,.T.); #27925=EDGE_CURVE('',#25113,#25114,#8498,.T.); #27926=EDGE_CURVE('',#25114,#25108,#8499,.T.); #27927=EDGE_CURVE('',#25114,#25115,#8059,.T.); #27928=EDGE_CURVE('',#25115,#25109,#8500,.T.); #27929=EDGE_CURVE('',#25112,#25113,#8060,.T.); #27930=EDGE_CURVE('',#25104,#24971,#8501,.T.); #27931=EDGE_CURVE('',#24963,#25116,#8502,.T.); #27932=EDGE_CURVE('',#25116,#25117,#8503,.T.); #27933=EDGE_CURVE('',#25117,#24964,#8504,.T.); #27934=EDGE_CURVE('',#24952,#25115,#8061,.T.); #27935=EDGE_CURVE('',#24997,#25118,#8062,.T.); #27936=EDGE_CURVE('',#25118,#25119,#8063,.T.); #27937=EDGE_CURVE('',#25120,#25119,#8064,.T.); #27938=EDGE_CURVE('',#25120,#25117,#8065,.T.); #27939=EDGE_CURVE('',#25116,#24953,#8066,.T.); #27940=EDGE_CURVE('',#25119,#24966,#8505,.T.); #27941=EDGE_CURVE('',#24965,#25120,#8506,.T.); #27942=EDGE_CURVE('',#25118,#24967,#8507,.T.); #27943=EDGE_CURVE('',#24972,#25100,#3727,.T.); #27944=EDGE_CURVE('',#25099,#25121,#3728,.T.); #27945=EDGE_CURVE('',#25121,#25102,#8508,.T.); #27946=EDGE_CURVE('',#25122,#25097,#3729,.T.); #27947=EDGE_CURVE('',#24942,#25122,#8509,.T.); #27948=EDGE_CURVE('',#24888,#25091,#8510,.T.); #27949=EDGE_CURVE('',#25090,#24889,#8511,.T.); #27950=EDGE_CURVE('',#24884,#25093,#8512,.T.); #27951=EDGE_CURVE('',#25092,#24885,#8513,.T.); #27952=EDGE_CURVE('',#24880,#25095,#8514,.T.); #27953=EDGE_CURVE('',#25094,#24881,#8515,.T.); #27954=EDGE_CURVE('',#24876,#25084,#8516,.T.); #27955=EDGE_CURVE('',#25083,#24877,#8517,.T.); #27956=EDGE_CURVE('',#24991,#25123,#8518,.T.); #27957=EDGE_CURVE('',#25124,#25123,#8519,.T.); #27958=EDGE_CURVE('',#25124,#24992,#8520,.T.); #27959=EDGE_CURVE('',#25057,#25124,#8067,.T.); #27960=EDGE_CURVE('',#25123,#24865,#8068,.T.); #27961=EDGE_CURVE('',#24864,#25125,#8069,.T.); #27962=EDGE_CURVE('',#25126,#25125,#8521,.T.); #27963=EDGE_CURVE('',#25126,#25056,#8070,.T.); #27964=EDGE_CURVE('',#25125,#25017,#8522,.T.); #27965=EDGE_CURVE('',#25016,#25126,#8523,.T.); #27966=EDGE_CURVE('',#25042,#25086,#8524,.T.); #27967=EDGE_CURVE('',#25085,#25043,#8525,.T.); #27968=EDGE_CURVE('',#25088,#25001,#8526,.T.); #27969=EDGE_CURVE('',#25002,#25089,#8527,.T.); #27970=EDGE_CURVE('',#24984,#24983,#8528,.T.); #27971=EDGE_CURVE('',#24982,#24980,#8529,.T.); #27972=EDGE_CURVE('',#25122,#25121,#8530,.T.); #27973=EDGE_CURVE('',#25127,#24941,#8531,.T.); #27974=EDGE_CURVE('',#25128,#25127,#8532,.T.); #27975=EDGE_CURVE('',#25128,#25110,#8533,.T.); #27976=EDGE_CURVE('',#25129,#25103,#8534,.T.); #27977=EDGE_CURVE('',#25127,#25129,#8535,.T.); #27978=EDGE_CURVE('',#25130,#25111,#8536,.T.); #27979=EDGE_CURVE('',#25129,#25130,#8537,.T.); #27980=EDGE_CURVE('',#25130,#25128,#8538,.T.); #27981=EDGE_CURVE('',#25131,#25132,#31800,.T.); #27982=EDGE_CURVE('',#25133,#25131,#8539,.T.); #27983=EDGE_CURVE('',#25133,#25134,#31801,.T.); #27984=EDGE_CURVE('',#25134,#25132,#8540,.T.); #27985=EDGE_CURVE('',#25135,#24895,#8541,.T.); #27986=EDGE_CURVE('',#25135,#25136,#31803,.T.); #27987=EDGE_CURVE('',#25136,#24896,#8542,.T.); #27988=EDGE_CURVE('',#25136,#25137,#31805,.T.); #27989=EDGE_CURVE('',#25137,#24894,#8543,.T.); #27990=EDGE_CURVE('',#25138,#25138,#31807,.T.); #27991=EDGE_CURVE('',#25139,#25139,#31808,.T.); #27992=EDGE_CURVE('',#25137,#25135,#31810,.T.); #27993=EDGE_CURVE('',#25132,#25131,#31812,.T.); #27994=EDGE_CURVE('',#25134,#25133,#31813,.T.); #27995=EDGE_CURVE('',#25140,#24857,#8544,.T.); #27996=EDGE_CURVE('',#25140,#25141,#31815,.T.); #27997=EDGE_CURVE('',#25141,#24858,#8545,.T.); #27998=EDGE_CURVE('',#25141,#25142,#31816,.T.); #27999=EDGE_CURVE('',#25142,#24859,#8546,.T.); #28000=EDGE_CURVE('',#25142,#25143,#31817,.T.); #28001=EDGE_CURVE('',#25143,#24860,#8547,.T.); #28002=EDGE_CURVE('',#25143,#25144,#31818,.T.); #28003=EDGE_CURVE('',#25144,#24861,#8548,.T.); #28004=EDGE_CURVE('',#25144,#25145,#31819,.T.); #28005=EDGE_CURVE('',#25145,#24856,#8549,.T.); #28006=EDGE_CURVE('',#25145,#25140,#31820,.T.); #28007=EDGE_CURVE('',#25146,#25147,#31821,.T.); #28008=EDGE_CURVE('',#25148,#25146,#8550,.T.); #28009=EDGE_CURVE('',#25148,#25149,#31822,.T.); #28010=EDGE_CURVE('',#25149,#25147,#8551,.T.); #28011=EDGE_CURVE('',#25147,#25150,#31823,.T.); #28012=EDGE_CURVE('',#25149,#25151,#31824,.T.); #28013=EDGE_CURVE('',#25151,#25150,#8552,.T.); #28014=EDGE_CURVE('',#25150,#25152,#31826,.T.); #28015=EDGE_CURVE('',#25151,#25153,#31827,.T.); #28016=EDGE_CURVE('',#25153,#25152,#8553,.T.); #28017=EDGE_CURVE('',#25154,#24898,#8554,.T.); #28018=EDGE_CURVE('',#25154,#25155,#31828,.T.); #28019=EDGE_CURVE('',#25155,#24899,#8555,.T.); #28020=EDGE_CURVE('',#25155,#25156,#31830,.T.); #28021=EDGE_CURVE('',#25156,#24900,#8556,.T.); #28022=EDGE_CURVE('',#25156,#25157,#31831,.T.); #28023=EDGE_CURVE('',#25157,#24901,#8557,.T.); #28024=EDGE_CURVE('',#25157,#25158,#31832,.T.); #28025=EDGE_CURVE('',#25158,#24897,#8558,.T.); #28026=EDGE_CURVE('',#25158,#25154,#31833,.T.); #28027=EDGE_CURVE('',#25152,#25146,#31835,.T.); #28028=EDGE_CURVE('',#25153,#25148,#31836,.T.); #28029=EDGE_CURVE('',#25159,#24853,#8559,.T.); #28030=EDGE_CURVE('',#25159,#25160,#31837,.T.); #28031=EDGE_CURVE('',#25160,#24854,#8560,.T.); #28032=EDGE_CURVE('',#25160,#25161,#31838,.T.); #28033=EDGE_CURVE('',#25161,#24855,#8561,.T.); #28034=EDGE_CURVE('',#25161,#25162,#31839,.T.); #28035=EDGE_CURVE('',#25162,#24852,#8562,.T.); #28036=EDGE_CURVE('',#25162,#25159,#31840,.T.); #28037=EDGE_CURVE('',#25163,#25163,#31842,.T.); #28038=EDGE_CURVE('',#25164,#25164,#31843,.T.); #28039=EDGE_CURVE('',#25165,#24904,#8563,.T.); #28040=EDGE_CURVE('',#25165,#25166,#31845,.T.); #28041=EDGE_CURVE('',#25166,#24905,#8564,.T.); #28042=EDGE_CURVE('',#25166,#25167,#31846,.T.); #28043=EDGE_CURVE('',#25167,#24906,#8565,.T.); #28044=EDGE_CURVE('',#25167,#25168,#31847,.T.); #28045=EDGE_CURVE('',#25168,#24907,#8566,.T.); #28046=EDGE_CURVE('',#25168,#25169,#31848,.T.); #28047=EDGE_CURVE('',#25169,#24908,#8567,.T.); #28048=EDGE_CURVE('',#25169,#25170,#31849,.T.); #28049=EDGE_CURVE('',#25170,#24909,#8568,.T.); #28050=EDGE_CURVE('',#25170,#25171,#31851,.T.); #28051=EDGE_CURVE('',#25171,#24902,#8569,.T.); #28052=EDGE_CURVE('',#25171,#25172,#31852,.T.); #28053=EDGE_CURVE('',#25172,#24903,#8570,.T.); #28054=EDGE_CURVE('',#25172,#25165,#31854,.T.); #28055=EDGE_CURVE('',#25173,#25174,#31855,.T.); #28056=EDGE_CURVE('',#25175,#25173,#8571,.T.); #28057=EDGE_CURVE('',#25175,#25176,#31856,.T.); #28058=EDGE_CURVE('',#25176,#25174,#8572,.T.); #28059=EDGE_CURVE('',#25174,#25177,#31857,.T.); #28060=EDGE_CURVE('',#25176,#25178,#31858,.T.); #28061=EDGE_CURVE('',#25178,#25177,#8573,.T.); #28062=EDGE_CURVE('',#25179,#24843,#8574,.T.); #28063=EDGE_CURVE('',#25179,#25180,#31859,.T.); #28064=EDGE_CURVE('',#25180,#24844,#8575,.T.); #28065=EDGE_CURVE('',#25180,#25181,#31860,.T.); #28066=EDGE_CURVE('',#25181,#24845,#8576,.T.); #28067=EDGE_CURVE('',#25181,#25182,#31861,.T.); #28068=EDGE_CURVE('',#25182,#24846,#8577,.T.); #28069=EDGE_CURVE('',#25182,#25183,#31862,.T.); #28070=EDGE_CURVE('',#25183,#24847,#8578,.T.); #28071=EDGE_CURVE('',#25183,#25184,#31863,.T.); #28072=EDGE_CURVE('',#25184,#24848,#8579,.T.); #28073=EDGE_CURVE('',#25184,#25185,#31864,.T.); #28074=EDGE_CURVE('',#25185,#24849,#8580,.T.); #28075=EDGE_CURVE('',#25185,#25186,#31865,.T.); #28076=EDGE_CURVE('',#25186,#24850,#8581,.T.); #28077=EDGE_CURVE('',#25186,#25187,#31866,.T.); #28078=EDGE_CURVE('',#25187,#24851,#8582,.T.); #28079=EDGE_CURVE('',#25187,#25188,#31867,.T.); #28080=EDGE_CURVE('',#25188,#24842,#8583,.T.); #28081=EDGE_CURVE('',#25188,#25179,#31868,.T.); #28082=EDGE_CURVE('',#25177,#25173,#31869,.T.); #28083=EDGE_CURVE('',#25178,#25175,#31870,.T.); #28084=EDGE_CURVE('',#25189,#25190,#31871,.T.); #28085=EDGE_CURVE('',#25191,#25189,#8584,.T.); #28086=EDGE_CURVE('',#25191,#25192,#31872,.T.); #28087=EDGE_CURVE('',#25192,#25190,#8585,.T.); #28088=EDGE_CURVE('',#25193,#25193,#31874,.T.); #28089=EDGE_CURVE('',#25190,#25189,#31876,.T.); #28090=EDGE_CURVE('',#25192,#25191,#31877,.T.); #28091=EDGE_CURVE('',#25194,#24833,#8586,.T.); #28092=EDGE_CURVE('',#25194,#25195,#31879,.T.); #28093=EDGE_CURVE('',#25195,#24834,#8587,.T.); #28094=EDGE_CURVE('',#25195,#25196,#31880,.T.); #28095=EDGE_CURVE('',#25196,#24835,#8588,.T.); #28096=EDGE_CURVE('',#25196,#25197,#31881,.T.); #28097=EDGE_CURVE('',#25197,#24836,#8589,.T.); #28098=EDGE_CURVE('',#25197,#25198,#31882,.T.); #28099=EDGE_CURVE('',#25198,#24837,#8590,.T.); #28100=EDGE_CURVE('',#25198,#25199,#31883,.T.); #28101=EDGE_CURVE('',#25199,#24838,#8591,.T.); #28102=EDGE_CURVE('',#25199,#25200,#31884,.T.); #28103=EDGE_CURVE('',#25200,#24839,#8592,.T.); #28104=EDGE_CURVE('',#25200,#25201,#31885,.T.); #28105=EDGE_CURVE('',#25201,#24840,#8593,.T.); #28106=EDGE_CURVE('',#25201,#25202,#31886,.T.); #28107=EDGE_CURVE('',#25202,#24841,#8594,.T.); #28108=EDGE_CURVE('',#25202,#25203,#31887,.T.); #28109=EDGE_CURVE('',#25203,#24832,#8595,.T.); #28110=EDGE_CURVE('',#25203,#25194,#31888,.T.); #28111=EDGE_CURVE('',#25204,#25205,#31889,.T.); #28112=EDGE_CURVE('',#25206,#25204,#8596,.T.); #28113=EDGE_CURVE('',#25206,#25207,#31890,.T.); #28114=EDGE_CURVE('',#25207,#25205,#8597,.T.); #28115=EDGE_CURVE('',#25205,#25208,#31891,.T.); #28116=EDGE_CURVE('',#25207,#25209,#31892,.T.); #28117=EDGE_CURVE('',#25209,#25208,#8598,.T.); #28118=EDGE_CURVE('',#25210,#24912,#8599,.T.); #28119=EDGE_CURVE('',#25210,#25211,#31893,.T.); #28120=EDGE_CURVE('',#25211,#24913,#8600,.T.); #28121=EDGE_CURVE('',#25211,#25212,#31894,.T.); #28122=EDGE_CURVE('',#25212,#24914,#8601,.T.); #28123=EDGE_CURVE('',#25212,#25213,#31895,.T.); #28124=EDGE_CURVE('',#25213,#24915,#8602,.T.); #28125=EDGE_CURVE('',#25213,#25214,#31896,.T.); #28126=EDGE_CURVE('',#25214,#24916,#8603,.T.); #28127=EDGE_CURVE('',#25214,#25215,#31897,.T.); #28128=EDGE_CURVE('',#25215,#24917,#8604,.T.); #28129=EDGE_CURVE('',#25215,#25216,#31898,.T.); #28130=EDGE_CURVE('',#25216,#24918,#8605,.T.); #28131=EDGE_CURVE('',#25216,#25217,#31899,.T.); #28132=EDGE_CURVE('',#25217,#24919,#8606,.T.); #28133=EDGE_CURVE('',#25217,#25218,#31900,.T.); #28134=EDGE_CURVE('',#25218,#24920,#8607,.T.); #28135=EDGE_CURVE('',#25218,#25219,#31901,.T.); #28136=EDGE_CURVE('',#25219,#24911,#8608,.T.); #28137=EDGE_CURVE('',#25219,#25210,#31902,.T.); #28138=EDGE_CURVE('',#25208,#25204,#31903,.T.); #28139=EDGE_CURVE('',#25209,#25206,#31904,.T.); #28140=EDGE_CURVE('',#25220,#24820,#8609,.T.); #28141=EDGE_CURVE('',#25220,#25221,#31905,.T.); #28142=EDGE_CURVE('',#25221,#24821,#8610,.T.); #28143=EDGE_CURVE('',#25221,#25222,#31906,.T.); #28144=EDGE_CURVE('',#25222,#24822,#8611,.T.); #28145=EDGE_CURVE('',#25222,#25223,#31907,.T.); #28146=EDGE_CURVE('',#25223,#24823,#8612,.T.); #28147=EDGE_CURVE('',#25223,#25224,#31908,.T.); #28148=EDGE_CURVE('',#25224,#24824,#8613,.T.); #28149=EDGE_CURVE('',#25224,#25225,#31909,.T.); #28150=EDGE_CURVE('',#25225,#24825,#8614,.T.); #28151=EDGE_CURVE('',#25225,#25226,#31910,.T.); #28152=EDGE_CURVE('',#25226,#24826,#8615,.T.); #28153=EDGE_CURVE('',#25226,#25227,#31911,.T.); #28154=EDGE_CURVE('',#25227,#24827,#8616,.T.); #28155=EDGE_CURVE('',#25227,#25228,#31912,.T.); #28156=EDGE_CURVE('',#25228,#24828,#8617,.T.); #28157=EDGE_CURVE('',#25228,#25229,#31913,.T.); #28158=EDGE_CURVE('',#25229,#24829,#8618,.T.); #28159=EDGE_CURVE('',#25229,#25230,#31914,.T.); #28160=EDGE_CURVE('',#25230,#24830,#8619,.T.); #28161=EDGE_CURVE('',#25230,#25231,#31915,.T.); #28162=EDGE_CURVE('',#25231,#24831,#8620,.T.); #28163=EDGE_CURVE('',#25231,#25232,#31916,.T.); #28164=EDGE_CURVE('',#25232,#24819,#8621,.T.); #28165=EDGE_CURVE('',#25232,#25220,#31917,.T.); #28166=EDGE_CURVE('',#25233,#25234,#31918,.T.); #28167=EDGE_CURVE('',#25235,#25233,#8622,.T.); #28168=EDGE_CURVE('',#25235,#25236,#31919,.T.); #28169=EDGE_CURVE('',#25236,#25234,#8623,.T.); #28170=EDGE_CURVE('',#25237,#24922,#8624,.T.); #28171=EDGE_CURVE('',#25237,#25238,#31921,.T.); #28172=EDGE_CURVE('',#25238,#24921,#8625,.T.); #28173=EDGE_CURVE('',#25238,#25237,#31923,.T.); #28174=EDGE_CURVE('',#25234,#25233,#31925,.T.); #28175=EDGE_CURVE('',#25236,#25235,#31926,.T.); #28176=EDGE_CURVE('',#25239,#24812,#8626,.T.); #28177=EDGE_CURVE('',#25239,#25240,#31928,.T.); #28178=EDGE_CURVE('',#25240,#24813,#8627,.T.); #28179=EDGE_CURVE('',#25240,#25241,#31929,.T.); #28180=EDGE_CURVE('',#25241,#24814,#8628,.T.); #28181=EDGE_CURVE('',#25241,#25242,#31930,.T.); #28182=EDGE_CURVE('',#25242,#24815,#8629,.T.); #28183=EDGE_CURVE('',#25242,#25243,#31931,.T.); #28184=EDGE_CURVE('',#25243,#24816,#8630,.T.); #28185=EDGE_CURVE('',#25243,#25244,#31932,.T.); #28186=EDGE_CURVE('',#25244,#24817,#8631,.T.); #28187=EDGE_CURVE('',#25244,#25245,#31933,.T.); #28188=EDGE_CURVE('',#25245,#24818,#8632,.T.); #28189=EDGE_CURVE('',#25245,#25246,#31934,.T.); #28190=EDGE_CURVE('',#25246,#24811,#8633,.T.); #28191=EDGE_CURVE('',#25246,#25239,#31935,.T.); #28192=EDGE_CURVE('',#25247,#25248,#31936,.T.); #28193=EDGE_CURVE('',#25249,#25247,#8634,.T.); #28194=EDGE_CURVE('',#25249,#25250,#31937,.T.); #28195=EDGE_CURVE('',#25250,#25248,#8635,.T.); #28196=EDGE_CURVE('',#25248,#25251,#31938,.T.); #28197=EDGE_CURVE('',#25250,#25252,#31939,.T.); #28198=EDGE_CURVE('',#25252,#25251,#8636,.T.); #28199=EDGE_CURVE('',#25253,#24924,#8637,.T.); #28200=EDGE_CURVE('',#25253,#25254,#31940,.T.); #28201=EDGE_CURVE('',#25254,#24925,#8638,.T.); #28202=EDGE_CURVE('',#25254,#25255,#31941,.T.); #28203=EDGE_CURVE('',#25255,#24926,#8639,.T.); #28204=EDGE_CURVE('',#25255,#25256,#31942,.T.); #28205=EDGE_CURVE('',#25256,#24927,#8640,.T.); #28206=EDGE_CURVE('',#25256,#25257,#31943,.T.); #28207=EDGE_CURVE('',#25257,#24928,#8641,.T.); #28208=EDGE_CURVE('',#25257,#25258,#31944,.T.); #28209=EDGE_CURVE('',#25258,#24929,#8642,.T.); #28210=EDGE_CURVE('',#25258,#25259,#31945,.T.); #28211=EDGE_CURVE('',#25259,#24930,#8643,.T.); #28212=EDGE_CURVE('',#25259,#25260,#31946,.T.); #28213=EDGE_CURVE('',#25260,#24923,#8644,.T.); #28214=EDGE_CURVE('',#25260,#25253,#31947,.T.); #28215=EDGE_CURVE('',#25251,#25247,#31948,.T.); #28216=EDGE_CURVE('',#25252,#25249,#31949,.T.); #28217=EDGE_CURVE('',#25261,#25262,#8645,.T.); #28218=EDGE_CURVE('',#25262,#25263,#8646,.T.); #28219=EDGE_CURVE('',#25263,#25261,#8647,.T.); #28220=EDGE_CURVE('',#25264,#25265,#8648,.T.); #28221=EDGE_CURVE('',#25265,#25266,#8649,.T.); #28222=EDGE_CURVE('',#25267,#25266,#8650,.T.); #28223=EDGE_CURVE('',#25264,#25267,#8651,.T.); #28224=EDGE_CURVE('',#25268,#25269,#8652,.T.); #28225=EDGE_CURVE('',#25268,#25270,#8653,.T.); #28226=EDGE_CURVE('',#25270,#25271,#8654,.T.); #28227=EDGE_CURVE('',#25269,#25271,#8655,.T.); #28228=EDGE_CURVE('',#25272,#25273,#8656,.T.); #28229=EDGE_CURVE('',#25261,#25273,#8657,.T.); #28230=EDGE_CURVE('',#25263,#25272,#8658,.T.); #28231=EDGE_CURVE('',#25273,#25274,#8659,.T.); #28232=EDGE_CURVE('',#25262,#25274,#8660,.T.); #28233=EDGE_CURVE('',#25274,#25272,#8661,.T.); #28234=EDGE_CURVE('',#25275,#25276,#8662,.T.); #28235=EDGE_CURVE('',#25276,#25264,#8663,.T.); #28236=EDGE_CURVE('',#25267,#25275,#8664,.T.); #28237=EDGE_CURVE('',#25266,#25277,#8665,.T.); #28238=EDGE_CURVE('',#25278,#25265,#8666,.T.); #28239=EDGE_CURVE('',#25277,#25278,#8667,.T.); #28240=EDGE_CURVE('',#25275,#25277,#8668,.T.); #28241=EDGE_CURVE('',#25279,#25280,#8669,.T.); #28242=EDGE_CURVE('',#25281,#25280,#8670,.T.); #28243=EDGE_CURVE('',#25282,#25281,#8671,.T.); #28244=EDGE_CURVE('',#25282,#25279,#8672,.T.); #28245=EDGE_CURVE('',#25283,#25279,#8673,.T.); #28246=EDGE_CURVE('',#25284,#25282,#8674,.T.); #28247=EDGE_CURVE('',#25284,#25283,#8675,.T.); #28248=EDGE_CURVE('',#25285,#25283,#8676,.T.); #28249=EDGE_CURVE('',#25286,#25284,#8677,.T.); #28250=EDGE_CURVE('',#25286,#25285,#8678,.T.); #28251=EDGE_CURVE('',#25287,#25285,#8679,.T.); #28252=EDGE_CURVE('',#25288,#25286,#8680,.T.); #28253=EDGE_CURVE('',#25288,#25287,#8681,.T.); #28254=EDGE_CURVE('',#25289,#25287,#8682,.T.); #28255=EDGE_CURVE('',#25290,#25288,#8683,.T.); #28256=EDGE_CURVE('',#25290,#25289,#8684,.T.); #28257=EDGE_CURVE('',#25291,#25289,#8685,.T.); #28258=EDGE_CURVE('',#25292,#25290,#8686,.T.); #28259=EDGE_CURVE('',#25292,#25291,#8687,.T.); #28260=EDGE_CURVE('',#25293,#25291,#8688,.T.); #28261=EDGE_CURVE('',#25294,#25292,#8689,.T.); #28262=EDGE_CURVE('',#25294,#25293,#8690,.T.); #28263=EDGE_CURVE('',#25295,#25293,#8691,.T.); #28264=EDGE_CURVE('',#25296,#25294,#8692,.T.); #28265=EDGE_CURVE('',#25296,#25295,#8693,.T.); #28266=EDGE_CURVE('',#25297,#25295,#8694,.T.); #28267=EDGE_CURVE('',#25298,#25296,#8695,.T.); #28268=EDGE_CURVE('',#25298,#25297,#8696,.T.); #28269=EDGE_CURVE('',#25299,#25300,#8697,.T.); #28270=EDGE_CURVE('',#25281,#25299,#8698,.T.); #28271=EDGE_CURVE('',#25280,#25300,#8699,.T.); #28272=EDGE_CURVE('',#25301,#25302,#8700,.T.); #28273=EDGE_CURVE('',#25303,#25302,#8701,.T.); #28274=EDGE_CURVE('',#25304,#25303,#8702,.T.); #28275=EDGE_CURVE('',#25304,#25301,#8703,.T.); #28276=EDGE_CURVE('',#25305,#25301,#8704,.T.); #28277=EDGE_CURVE('',#25306,#25304,#8705,.T.); #28278=EDGE_CURVE('',#25306,#25305,#8706,.T.); #28279=EDGE_CURVE('',#25307,#25305,#8707,.T.); #28280=EDGE_CURVE('',#25308,#25306,#8708,.T.); #28281=EDGE_CURVE('',#25308,#25307,#8709,.T.); #28282=EDGE_CURVE('',#25309,#25307,#8710,.T.); #28283=EDGE_CURVE('',#25310,#25308,#8711,.T.); #28284=EDGE_CURVE('',#25310,#25309,#8712,.T.); #28285=EDGE_CURVE('',#25311,#25309,#8713,.T.); #28286=EDGE_CURVE('',#25312,#25310,#8714,.T.); #28287=EDGE_CURVE('',#25312,#25311,#8715,.T.); #28288=EDGE_CURVE('',#25313,#25311,#8716,.T.); #28289=EDGE_CURVE('',#25314,#25312,#8717,.T.); #28290=EDGE_CURVE('',#25314,#25313,#8718,.T.); #28291=EDGE_CURVE('',#25315,#25313,#8719,.T.); #28292=EDGE_CURVE('',#25316,#25314,#8720,.T.); #28293=EDGE_CURVE('',#25316,#25315,#8721,.T.); #28294=EDGE_CURVE('',#25317,#25315,#8722,.T.); #28295=EDGE_CURVE('',#25318,#25316,#8723,.T.); #28296=EDGE_CURVE('',#25318,#25317,#8724,.T.); #28297=EDGE_CURVE('',#25319,#25317,#8725,.T.); #28298=EDGE_CURVE('',#25320,#25318,#8726,.T.); #28299=EDGE_CURVE('',#25320,#25319,#8727,.T.); #28300=EDGE_CURVE('',#25321,#25322,#8728,.T.); #28301=EDGE_CURVE('',#25303,#25321,#8729,.T.); #28302=EDGE_CURVE('',#25302,#25322,#8730,.T.); #28303=EDGE_CURVE('',#25323,#25324,#8731,.T.); #28304=EDGE_CURVE('',#25325,#25324,#8732,.T.); #28305=EDGE_CURVE('',#25326,#25325,#8733,.T.); #28306=EDGE_CURVE('',#25326,#25323,#8734,.T.); #28307=EDGE_CURVE('',#25327,#25323,#8735,.T.); #28308=EDGE_CURVE('',#25328,#25326,#8736,.T.); #28309=EDGE_CURVE('',#25328,#25327,#8737,.T.); #28310=EDGE_CURVE('',#25329,#25327,#8738,.T.); #28311=EDGE_CURVE('',#25330,#25328,#8739,.T.); #28312=EDGE_CURVE('',#25330,#25329,#8740,.T.); #28313=EDGE_CURVE('',#25331,#25329,#8741,.T.); #28314=EDGE_CURVE('',#25332,#25330,#8742,.T.); #28315=EDGE_CURVE('',#25332,#25331,#8743,.T.); #28316=EDGE_CURVE('',#25333,#25331,#8744,.T.); #28317=EDGE_CURVE('',#25334,#25332,#8745,.T.); #28318=EDGE_CURVE('',#25334,#25333,#8746,.T.); #28319=EDGE_CURVE('',#25335,#25333,#8747,.T.); #28320=EDGE_CURVE('',#25336,#25334,#8748,.T.); #28321=EDGE_CURVE('',#25336,#25335,#8749,.T.); #28322=EDGE_CURVE('',#25337,#25335,#8750,.T.); #28323=EDGE_CURVE('',#25338,#25336,#8751,.T.); #28324=EDGE_CURVE('',#25338,#25337,#8752,.T.); #28325=EDGE_CURVE('',#25339,#25337,#8753,.T.); #28326=EDGE_CURVE('',#25340,#25338,#8754,.T.); #28327=EDGE_CURVE('',#25340,#25339,#8755,.T.); #28328=EDGE_CURVE('',#25341,#25339,#8756,.T.); #28329=EDGE_CURVE('',#25342,#25340,#8757,.T.); #28330=EDGE_CURVE('',#25342,#25341,#8758,.T.); #28331=EDGE_CURVE('',#25343,#25344,#8759,.T.); #28332=EDGE_CURVE('',#25325,#25343,#8760,.T.); #28333=EDGE_CURVE('',#25324,#25344,#8761,.T.); #28334=EDGE_CURVE('',#25345,#25346,#8762,.T.); #28335=EDGE_CURVE('',#25347,#25346,#8763,.T.); #28336=EDGE_CURVE('',#25348,#25347,#8764,.T.); #28337=EDGE_CURVE('',#25348,#25345,#8765,.T.); #28338=EDGE_CURVE('',#25349,#25345,#8766,.T.); #28339=EDGE_CURVE('',#25350,#25348,#8767,.T.); #28340=EDGE_CURVE('',#25350,#25349,#8768,.T.); #28341=EDGE_CURVE('',#25351,#25349,#8769,.T.); #28342=EDGE_CURVE('',#25352,#25350,#8770,.T.); #28343=EDGE_CURVE('',#25352,#25351,#8771,.T.); #28344=EDGE_CURVE('',#25353,#25351,#8772,.T.); #28345=EDGE_CURVE('',#25354,#25352,#8773,.T.); #28346=EDGE_CURVE('',#25354,#25353,#8774,.T.); #28347=EDGE_CURVE('',#25355,#25353,#8775,.T.); #28348=EDGE_CURVE('',#25356,#25354,#8776,.T.); #28349=EDGE_CURVE('',#25356,#25355,#8777,.T.); #28350=EDGE_CURVE('',#25357,#25355,#8778,.T.); #28351=EDGE_CURVE('',#25358,#25356,#8779,.T.); #28352=EDGE_CURVE('',#25358,#25357,#8780,.T.); #28353=EDGE_CURVE('',#25359,#25357,#8781,.T.); #28354=EDGE_CURVE('',#25360,#25358,#8782,.T.); #28355=EDGE_CURVE('',#25360,#25359,#8783,.T.); #28356=EDGE_CURVE('',#25361,#25359,#8784,.T.); #28357=EDGE_CURVE('',#25362,#25360,#8785,.T.); #28358=EDGE_CURVE('',#25362,#25361,#8786,.T.); #28359=EDGE_CURVE('',#25363,#25361,#8787,.T.); #28360=EDGE_CURVE('',#25364,#25362,#8788,.T.); #28361=EDGE_CURVE('',#25364,#25363,#8789,.T.); #28362=EDGE_CURVE('',#25365,#25366,#8790,.T.); #28363=EDGE_CURVE('',#25347,#25365,#8791,.T.); #28364=EDGE_CURVE('',#25346,#25366,#8792,.T.); #28365=EDGE_CURVE('',#25367,#25368,#8793,.T.); #28366=EDGE_CURVE('',#25369,#25368,#8794,.T.); #28367=EDGE_CURVE('',#25370,#25369,#8795,.T.); #28368=EDGE_CURVE('',#25370,#25367,#8796,.T.); #28369=EDGE_CURVE('',#25371,#25367,#8797,.T.); #28370=EDGE_CURVE('',#25372,#25370,#8798,.T.); #28371=EDGE_CURVE('',#25372,#25371,#8799,.T.); #28372=EDGE_CURVE('',#25373,#25371,#8800,.T.); #28373=EDGE_CURVE('',#25374,#25372,#8801,.T.); #28374=EDGE_CURVE('',#25374,#25373,#8802,.T.); #28375=EDGE_CURVE('',#25375,#25373,#8803,.T.); #28376=EDGE_CURVE('',#25376,#25374,#8804,.T.); #28377=EDGE_CURVE('',#25376,#25375,#8805,.T.); #28378=EDGE_CURVE('',#25377,#25375,#8806,.T.); #28379=EDGE_CURVE('',#25378,#25376,#8807,.T.); #28380=EDGE_CURVE('',#25378,#25377,#8808,.T.); #28381=EDGE_CURVE('',#25379,#25377,#8809,.T.); #28382=EDGE_CURVE('',#25380,#25378,#8810,.T.); #28383=EDGE_CURVE('',#25380,#25379,#8811,.T.); #28384=EDGE_CURVE('',#25381,#25379,#8812,.T.); #28385=EDGE_CURVE('',#25382,#25380,#8813,.T.); #28386=EDGE_CURVE('',#25382,#25381,#8814,.T.); #28387=EDGE_CURVE('',#25383,#25381,#8815,.T.); #28388=EDGE_CURVE('',#25384,#25382,#8816,.T.); #28389=EDGE_CURVE('',#25384,#25383,#8817,.T.); #28390=EDGE_CURVE('',#25385,#25383,#8818,.T.); #28391=EDGE_CURVE('',#25386,#25384,#8819,.T.); #28392=EDGE_CURVE('',#25386,#25385,#8820,.T.); #28393=EDGE_CURVE('',#25387,#25388,#8821,.T.); #28394=EDGE_CURVE('',#25369,#25387,#8822,.T.); #28395=EDGE_CURVE('',#25368,#25388,#8823,.T.); #28396=EDGE_CURVE('',#25389,#25390,#8824,.T.); #28397=EDGE_CURVE('',#25391,#25390,#8825,.T.); #28398=EDGE_CURVE('',#25392,#25391,#8826,.T.); #28399=EDGE_CURVE('',#25392,#25389,#8827,.T.); #28400=EDGE_CURVE('',#25393,#25389,#8828,.T.); #28401=EDGE_CURVE('',#25394,#25392,#8829,.T.); #28402=EDGE_CURVE('',#25394,#25393,#8830,.T.); #28403=EDGE_CURVE('',#25395,#25393,#8831,.T.); #28404=EDGE_CURVE('',#25396,#25394,#8832,.T.); #28405=EDGE_CURVE('',#25396,#25395,#8833,.T.); #28406=EDGE_CURVE('',#25397,#25395,#8834,.T.); #28407=EDGE_CURVE('',#25398,#25396,#8835,.T.); #28408=EDGE_CURVE('',#25398,#25397,#8836,.T.); #28409=EDGE_CURVE('',#25399,#25397,#8837,.T.); #28410=EDGE_CURVE('',#25400,#25398,#8838,.T.); #28411=EDGE_CURVE('',#25400,#25399,#8839,.T.); #28412=EDGE_CURVE('',#25401,#25399,#8840,.T.); #28413=EDGE_CURVE('',#25402,#25400,#8841,.T.); #28414=EDGE_CURVE('',#25402,#25401,#8842,.T.); #28415=EDGE_CURVE('',#25403,#25401,#8843,.T.); #28416=EDGE_CURVE('',#25404,#25402,#8844,.T.); #28417=EDGE_CURVE('',#25404,#25403,#8845,.T.); #28418=EDGE_CURVE('',#25405,#25403,#8846,.T.); #28419=EDGE_CURVE('',#25406,#25404,#8847,.T.); #28420=EDGE_CURVE('',#25406,#25405,#8848,.T.); #28421=EDGE_CURVE('',#25407,#25405,#8849,.T.); #28422=EDGE_CURVE('',#25408,#25406,#8850,.T.); #28423=EDGE_CURVE('',#25408,#25407,#8851,.T.); #28424=EDGE_CURVE('',#25409,#25410,#8852,.T.); #28425=EDGE_CURVE('',#25391,#25409,#8853,.T.); #28426=EDGE_CURVE('',#25390,#25410,#8854,.T.); #28427=EDGE_CURVE('',#25411,#25412,#8855,.T.); #28428=EDGE_CURVE('',#25413,#25412,#8856,.T.); #28429=EDGE_CURVE('',#25414,#25413,#8857,.T.); #28430=EDGE_CURVE('',#25414,#25411,#8858,.T.); #28431=EDGE_CURVE('',#25415,#25411,#8859,.T.); #28432=EDGE_CURVE('',#25416,#25414,#8860,.T.); #28433=EDGE_CURVE('',#25416,#25415,#8861,.T.); #28434=EDGE_CURVE('',#25417,#25415,#8862,.T.); #28435=EDGE_CURVE('',#25418,#25416,#8863,.T.); #28436=EDGE_CURVE('',#25418,#25417,#8864,.T.); #28437=EDGE_CURVE('',#25419,#25417,#8865,.T.); #28438=EDGE_CURVE('',#25420,#25418,#8866,.T.); #28439=EDGE_CURVE('',#25420,#25419,#8867,.T.); #28440=EDGE_CURVE('',#25421,#25419,#8868,.T.); #28441=EDGE_CURVE('',#25422,#25420,#8869,.T.); #28442=EDGE_CURVE('',#25422,#25421,#8870,.T.); #28443=EDGE_CURVE('',#25423,#25421,#8871,.T.); #28444=EDGE_CURVE('',#25424,#25422,#8872,.T.); #28445=EDGE_CURVE('',#25424,#25423,#8873,.T.); #28446=EDGE_CURVE('',#25425,#25423,#8874,.T.); #28447=EDGE_CURVE('',#25426,#25424,#8875,.T.); #28448=EDGE_CURVE('',#25426,#25425,#8876,.T.); #28449=EDGE_CURVE('',#25427,#25425,#8877,.T.); #28450=EDGE_CURVE('',#25428,#25426,#8878,.T.); #28451=EDGE_CURVE('',#25428,#25427,#8879,.T.); #28452=EDGE_CURVE('',#25429,#25427,#8880,.T.); #28453=EDGE_CURVE('',#25430,#25428,#8881,.T.); #28454=EDGE_CURVE('',#25430,#25429,#8882,.T.); #28455=EDGE_CURVE('',#25431,#25432,#8883,.T.); #28456=EDGE_CURVE('',#25413,#25431,#8884,.T.); #28457=EDGE_CURVE('',#25412,#25432,#8885,.T.); #28458=EDGE_CURVE('',#25433,#25434,#8886,.T.); #28459=EDGE_CURVE('',#25435,#25434,#8887,.T.); #28460=EDGE_CURVE('',#25436,#25435,#8888,.T.); #28461=EDGE_CURVE('',#25436,#25433,#8889,.T.); #28462=EDGE_CURVE('',#25437,#25433,#8890,.T.); #28463=EDGE_CURVE('',#25438,#25436,#8891,.T.); #28464=EDGE_CURVE('',#25438,#25437,#8892,.T.); #28465=EDGE_CURVE('',#25439,#25437,#8893,.T.); #28466=EDGE_CURVE('',#25440,#25438,#8894,.T.); #28467=EDGE_CURVE('',#25440,#25439,#8895,.T.); #28468=EDGE_CURVE('',#25441,#25439,#8896,.T.); #28469=EDGE_CURVE('',#25442,#25440,#8897,.T.); #28470=EDGE_CURVE('',#25442,#25441,#8898,.T.); #28471=EDGE_CURVE('',#25443,#25441,#8899,.T.); #28472=EDGE_CURVE('',#25444,#25442,#8900,.T.); #28473=EDGE_CURVE('',#25444,#25443,#8901,.T.); #28474=EDGE_CURVE('',#25445,#25443,#8902,.T.); #28475=EDGE_CURVE('',#25446,#25444,#8903,.T.); #28476=EDGE_CURVE('',#25446,#25445,#8904,.T.); #28477=EDGE_CURVE('',#25447,#25445,#8905,.T.); #28478=EDGE_CURVE('',#25448,#25446,#8906,.T.); #28479=EDGE_CURVE('',#25448,#25447,#8907,.T.); #28480=EDGE_CURVE('',#25449,#25447,#8908,.T.); #28481=EDGE_CURVE('',#25450,#25448,#8909,.T.); #28482=EDGE_CURVE('',#25450,#25449,#8910,.T.); #28483=EDGE_CURVE('',#25451,#25449,#8911,.T.); #28484=EDGE_CURVE('',#25452,#25450,#8912,.T.); #28485=EDGE_CURVE('',#25452,#25451,#8913,.T.); #28486=EDGE_CURVE('',#25453,#25454,#8914,.T.); #28487=EDGE_CURVE('',#25435,#25453,#8915,.T.); #28488=EDGE_CURVE('',#25434,#25454,#8916,.T.); #28489=EDGE_CURVE('',#25455,#25456,#8917,.T.); #28490=EDGE_CURVE('',#25457,#25456,#8918,.T.); #28491=EDGE_CURVE('',#25458,#25457,#8919,.T.); #28492=EDGE_CURVE('',#25458,#25455,#8920,.T.); #28493=EDGE_CURVE('',#25459,#25455,#8921,.T.); #28494=EDGE_CURVE('',#25460,#25458,#8922,.T.); #28495=EDGE_CURVE('',#25460,#25459,#8923,.T.); #28496=EDGE_CURVE('',#25461,#25459,#8924,.T.); #28497=EDGE_CURVE('',#25462,#25460,#8925,.T.); #28498=EDGE_CURVE('',#25462,#25461,#8926,.T.); #28499=EDGE_CURVE('',#25463,#25461,#8927,.T.); #28500=EDGE_CURVE('',#25464,#25462,#8928,.T.); #28501=EDGE_CURVE('',#25464,#25463,#8929,.T.); #28502=EDGE_CURVE('',#25465,#25463,#8930,.T.); #28503=EDGE_CURVE('',#25466,#25464,#8931,.T.); #28504=EDGE_CURVE('',#25466,#25465,#8932,.T.); #28505=EDGE_CURVE('',#25467,#25465,#8933,.T.); #28506=EDGE_CURVE('',#25468,#25466,#8934,.T.); #28507=EDGE_CURVE('',#25468,#25467,#8935,.T.); #28508=EDGE_CURVE('',#25469,#25467,#8936,.T.); #28509=EDGE_CURVE('',#25470,#25468,#8937,.T.); #28510=EDGE_CURVE('',#25470,#25469,#8938,.T.); #28511=EDGE_CURVE('',#25471,#25469,#8939,.T.); #28512=EDGE_CURVE('',#25472,#25470,#8940,.T.); #28513=EDGE_CURVE('',#25472,#25471,#8941,.T.); #28514=EDGE_CURVE('',#25473,#25471,#8942,.T.); #28515=EDGE_CURVE('',#25474,#25472,#8943,.T.); #28516=EDGE_CURVE('',#25474,#25473,#8944,.T.); #28517=EDGE_CURVE('',#25475,#25476,#8945,.T.); #28518=EDGE_CURVE('',#25457,#25475,#8946,.T.); #28519=EDGE_CURVE('',#25456,#25476,#8947,.T.); #28520=EDGE_CURVE('',#25477,#25478,#8948,.T.); #28521=EDGE_CURVE('',#25479,#25478,#8949,.T.); #28522=EDGE_CURVE('',#25480,#25479,#8950,.T.); #28523=EDGE_CURVE('',#25480,#25477,#8951,.T.); #28524=EDGE_CURVE('',#25481,#25477,#8952,.T.); #28525=EDGE_CURVE('',#25482,#25480,#8953,.T.); #28526=EDGE_CURVE('',#25482,#25481,#8954,.T.); #28527=EDGE_CURVE('',#25483,#25481,#8955,.T.); #28528=EDGE_CURVE('',#25484,#25482,#8956,.T.); #28529=EDGE_CURVE('',#25484,#25483,#8957,.T.); #28530=EDGE_CURVE('',#25485,#25483,#8958,.T.); #28531=EDGE_CURVE('',#25486,#25484,#8959,.T.); #28532=EDGE_CURVE('',#25486,#25485,#8960,.T.); #28533=EDGE_CURVE('',#25487,#25485,#8961,.T.); #28534=EDGE_CURVE('',#25488,#25486,#8962,.T.); #28535=EDGE_CURVE('',#25488,#25487,#8963,.T.); #28536=EDGE_CURVE('',#25489,#25487,#8964,.T.); #28537=EDGE_CURVE('',#25490,#25488,#8965,.T.); #28538=EDGE_CURVE('',#25490,#25489,#8966,.T.); #28539=EDGE_CURVE('',#25491,#25489,#8967,.T.); #28540=EDGE_CURVE('',#25492,#25490,#8968,.T.); #28541=EDGE_CURVE('',#25492,#25491,#8969,.T.); #28542=EDGE_CURVE('',#25493,#25491,#8970,.T.); #28543=EDGE_CURVE('',#25494,#25492,#8971,.T.); #28544=EDGE_CURVE('',#25494,#25493,#8972,.T.); #28545=EDGE_CURVE('',#25495,#25493,#8973,.T.); #28546=EDGE_CURVE('',#25496,#25494,#8974,.T.); #28547=EDGE_CURVE('',#25496,#25495,#8975,.T.); #28548=EDGE_CURVE('',#25497,#25498,#8976,.T.); #28549=EDGE_CURVE('',#25479,#25497,#8977,.T.); #28550=EDGE_CURVE('',#25478,#25498,#8978,.T.); #28551=EDGE_CURVE('',#25499,#25500,#8979,.T.); #28552=EDGE_CURVE('',#25501,#25500,#8980,.T.); #28553=EDGE_CURVE('',#25502,#25501,#8981,.T.); #28554=EDGE_CURVE('',#25502,#25499,#8982,.T.); #28555=EDGE_CURVE('',#25503,#25499,#8983,.T.); #28556=EDGE_CURVE('',#25504,#25502,#8984,.T.); #28557=EDGE_CURVE('',#25504,#25503,#8985,.T.); #28558=EDGE_CURVE('',#25505,#25503,#8986,.T.); #28559=EDGE_CURVE('',#25506,#25504,#8987,.T.); #28560=EDGE_CURVE('',#25506,#25505,#8988,.T.); #28561=EDGE_CURVE('',#25507,#25505,#8989,.T.); #28562=EDGE_CURVE('',#25508,#25506,#8990,.T.); #28563=EDGE_CURVE('',#25508,#25507,#8991,.T.); #28564=EDGE_CURVE('',#25509,#25507,#8992,.T.); #28565=EDGE_CURVE('',#25510,#25508,#8993,.T.); #28566=EDGE_CURVE('',#25510,#25509,#8994,.T.); #28567=EDGE_CURVE('',#25511,#25509,#8995,.T.); #28568=EDGE_CURVE('',#25512,#25510,#8996,.T.); #28569=EDGE_CURVE('',#25512,#25511,#8997,.T.); #28570=EDGE_CURVE('',#25513,#25511,#8998,.T.); #28571=EDGE_CURVE('',#25514,#25512,#8999,.T.); #28572=EDGE_CURVE('',#25514,#25513,#9000,.T.); #28573=EDGE_CURVE('',#25515,#25513,#9001,.T.); #28574=EDGE_CURVE('',#25516,#25514,#9002,.T.); #28575=EDGE_CURVE('',#25516,#25515,#9003,.T.); #28576=EDGE_CURVE('',#25517,#25515,#9004,.T.); #28577=EDGE_CURVE('',#25518,#25516,#9005,.T.); #28578=EDGE_CURVE('',#25518,#25517,#9006,.T.); #28579=EDGE_CURVE('',#25519,#25520,#9007,.T.); #28580=EDGE_CURVE('',#25501,#25519,#9008,.T.); #28581=EDGE_CURVE('',#25500,#25520,#9009,.T.); #28582=EDGE_CURVE('',#25521,#25522,#9010,.T.); #28583=EDGE_CURVE('',#25523,#25522,#9011,.T.); #28584=EDGE_CURVE('',#25524,#25523,#9012,.T.); #28585=EDGE_CURVE('',#25524,#25521,#9013,.T.); #28586=EDGE_CURVE('',#25525,#25521,#9014,.T.); #28587=EDGE_CURVE('',#25526,#25524,#9015,.T.); #28588=EDGE_CURVE('',#25526,#25525,#9016,.T.); #28589=EDGE_CURVE('',#25527,#25525,#9017,.T.); #28590=EDGE_CURVE('',#25528,#25526,#9018,.T.); #28591=EDGE_CURVE('',#25528,#25527,#9019,.T.); #28592=EDGE_CURVE('',#25529,#25527,#9020,.T.); #28593=EDGE_CURVE('',#25530,#25528,#9021,.T.); #28594=EDGE_CURVE('',#25530,#25529,#9022,.T.); #28595=EDGE_CURVE('',#25531,#25529,#9023,.T.); #28596=EDGE_CURVE('',#25532,#25530,#9024,.T.); #28597=EDGE_CURVE('',#25532,#25531,#9025,.T.); #28598=EDGE_CURVE('',#25533,#25531,#9026,.T.); #28599=EDGE_CURVE('',#25534,#25532,#9027,.T.); #28600=EDGE_CURVE('',#25534,#25533,#9028,.T.); #28601=EDGE_CURVE('',#25535,#25533,#9029,.T.); #28602=EDGE_CURVE('',#25536,#25534,#9030,.T.); #28603=EDGE_CURVE('',#25536,#25535,#9031,.T.); #28604=EDGE_CURVE('',#25537,#25535,#9032,.T.); #28605=EDGE_CURVE('',#25538,#25536,#9033,.T.); #28606=EDGE_CURVE('',#25538,#25537,#9034,.T.); #28607=EDGE_CURVE('',#25539,#25537,#9035,.T.); #28608=EDGE_CURVE('',#25540,#25538,#9036,.T.); #28609=EDGE_CURVE('',#25540,#25539,#9037,.T.); #28610=EDGE_CURVE('',#25541,#25542,#9038,.T.); #28611=EDGE_CURVE('',#25523,#25541,#9039,.T.); #28612=EDGE_CURVE('',#25522,#25542,#9040,.T.); #28613=EDGE_CURVE('',#25543,#25544,#9041,.T.); #28614=EDGE_CURVE('',#25545,#25544,#9042,.T.); #28615=EDGE_CURVE('',#25546,#25545,#9043,.T.); #28616=EDGE_CURVE('',#25546,#25543,#9044,.T.); #28617=EDGE_CURVE('',#25547,#25543,#9045,.T.); #28618=EDGE_CURVE('',#25548,#25546,#9046,.T.); #28619=EDGE_CURVE('',#25548,#25547,#9047,.T.); #28620=EDGE_CURVE('',#25549,#25547,#9048,.T.); #28621=EDGE_CURVE('',#25550,#25548,#9049,.T.); #28622=EDGE_CURVE('',#25550,#25549,#9050,.T.); #28623=EDGE_CURVE('',#25551,#25549,#9051,.T.); #28624=EDGE_CURVE('',#25552,#25550,#9052,.T.); #28625=EDGE_CURVE('',#25552,#25551,#9053,.T.); #28626=EDGE_CURVE('',#25553,#25551,#9054,.T.); #28627=EDGE_CURVE('',#25554,#25552,#9055,.T.); #28628=EDGE_CURVE('',#25554,#25553,#9056,.T.); #28629=EDGE_CURVE('',#25555,#25553,#9057,.T.); #28630=EDGE_CURVE('',#25556,#25554,#9058,.T.); #28631=EDGE_CURVE('',#25556,#25555,#9059,.T.); #28632=EDGE_CURVE('',#25557,#25555,#9060,.T.); #28633=EDGE_CURVE('',#25558,#25556,#9061,.T.); #28634=EDGE_CURVE('',#25558,#25557,#9062,.T.); #28635=EDGE_CURVE('',#25559,#25557,#9063,.T.); #28636=EDGE_CURVE('',#25560,#25558,#9064,.T.); #28637=EDGE_CURVE('',#25560,#25559,#9065,.T.); #28638=EDGE_CURVE('',#25561,#25559,#9066,.T.); #28639=EDGE_CURVE('',#25562,#25560,#9067,.T.); #28640=EDGE_CURVE('',#25562,#25561,#9068,.T.); #28641=EDGE_CURVE('',#25563,#25564,#9069,.T.); #28642=EDGE_CURVE('',#25545,#25563,#9070,.T.); #28643=EDGE_CURVE('',#25544,#25564,#9071,.T.); #28644=EDGE_CURVE('',#25565,#25566,#9072,.T.); #28645=EDGE_CURVE('',#25567,#25566,#9073,.T.); #28646=EDGE_CURVE('',#25568,#25567,#9074,.T.); #28647=EDGE_CURVE('',#25568,#25565,#9075,.T.); #28648=EDGE_CURVE('',#25569,#25565,#9076,.T.); #28649=EDGE_CURVE('',#25570,#25568,#9077,.T.); #28650=EDGE_CURVE('',#25570,#25569,#9078,.T.); #28651=EDGE_CURVE('',#25571,#25569,#9079,.T.); #28652=EDGE_CURVE('',#25572,#25570,#9080,.T.); #28653=EDGE_CURVE('',#25572,#25571,#9081,.T.); #28654=EDGE_CURVE('',#25573,#25571,#9082,.T.); #28655=EDGE_CURVE('',#25574,#25572,#9083,.T.); #28656=EDGE_CURVE('',#25574,#25573,#9084,.T.); #28657=EDGE_CURVE('',#25575,#25573,#9085,.T.); #28658=EDGE_CURVE('',#25576,#25574,#9086,.T.); #28659=EDGE_CURVE('',#25576,#25575,#9087,.T.); #28660=EDGE_CURVE('',#25577,#25575,#9088,.T.); #28661=EDGE_CURVE('',#25578,#25576,#9089,.T.); #28662=EDGE_CURVE('',#25578,#25577,#9090,.T.); #28663=EDGE_CURVE('',#25579,#25577,#9091,.T.); #28664=EDGE_CURVE('',#25580,#25578,#9092,.T.); #28665=EDGE_CURVE('',#25580,#25579,#9093,.T.); #28666=EDGE_CURVE('',#25581,#25579,#9094,.T.); #28667=EDGE_CURVE('',#25582,#25580,#9095,.T.); #28668=EDGE_CURVE('',#25582,#25581,#9096,.T.); #28669=EDGE_CURVE('',#25583,#25581,#9097,.T.); #28670=EDGE_CURVE('',#25584,#25582,#9098,.T.); #28671=EDGE_CURVE('',#25584,#25583,#9099,.T.); #28672=EDGE_CURVE('',#25585,#25586,#9100,.T.); #28673=EDGE_CURVE('',#25567,#25585,#9101,.T.); #28674=EDGE_CURVE('',#25566,#25586,#9102,.T.); #28675=EDGE_CURVE('',#25587,#25588,#9103,.T.); #28676=EDGE_CURVE('',#25589,#25588,#9104,.T.); #28677=EDGE_CURVE('',#25590,#25589,#9105,.T.); #28678=EDGE_CURVE('',#25590,#25587,#9106,.T.); #28679=EDGE_CURVE('',#25591,#25587,#9107,.T.); #28680=EDGE_CURVE('',#25592,#25590,#9108,.T.); #28681=EDGE_CURVE('',#25592,#25591,#9109,.T.); #28682=EDGE_CURVE('',#25593,#25591,#9110,.T.); #28683=EDGE_CURVE('',#25594,#25592,#9111,.T.); #28684=EDGE_CURVE('',#25594,#25593,#9112,.T.); #28685=EDGE_CURVE('',#25595,#25593,#9113,.T.); #28686=EDGE_CURVE('',#25596,#25594,#9114,.T.); #28687=EDGE_CURVE('',#25596,#25595,#9115,.T.); #28688=EDGE_CURVE('',#25597,#25595,#9116,.T.); #28689=EDGE_CURVE('',#25598,#25596,#9117,.T.); #28690=EDGE_CURVE('',#25598,#25597,#9118,.T.); #28691=EDGE_CURVE('',#25599,#25597,#9119,.T.); #28692=EDGE_CURVE('',#25600,#25598,#9120,.T.); #28693=EDGE_CURVE('',#25600,#25599,#9121,.T.); #28694=EDGE_CURVE('',#25601,#25599,#9122,.T.); #28695=EDGE_CURVE('',#25602,#25600,#9123,.T.); #28696=EDGE_CURVE('',#25602,#25601,#9124,.T.); #28697=EDGE_CURVE('',#25603,#25601,#9125,.T.); #28698=EDGE_CURVE('',#25604,#25602,#9126,.T.); #28699=EDGE_CURVE('',#25604,#25603,#9127,.T.); #28700=EDGE_CURVE('',#25605,#25603,#9128,.T.); #28701=EDGE_CURVE('',#25606,#25604,#9129,.T.); #28702=EDGE_CURVE('',#25606,#25605,#9130,.T.); #28703=EDGE_CURVE('',#25607,#25608,#9131,.T.); #28704=EDGE_CURVE('',#25589,#25607,#9132,.T.); #28705=EDGE_CURVE('',#25588,#25608,#9133,.T.); #28706=EDGE_CURVE('',#25609,#25610,#9134,.T.); #28707=EDGE_CURVE('',#25611,#25610,#9135,.T.); #28708=EDGE_CURVE('',#25612,#25611,#9136,.T.); #28709=EDGE_CURVE('',#25612,#25609,#9137,.T.); #28710=EDGE_CURVE('',#25613,#25609,#9138,.T.); #28711=EDGE_CURVE('',#25614,#25612,#9139,.T.); #28712=EDGE_CURVE('',#25614,#25613,#9140,.T.); #28713=EDGE_CURVE('',#25615,#25613,#9141,.T.); #28714=EDGE_CURVE('',#25616,#25614,#9142,.T.); #28715=EDGE_CURVE('',#25616,#25615,#9143,.T.); #28716=EDGE_CURVE('',#25617,#25615,#9144,.T.); #28717=EDGE_CURVE('',#25618,#25616,#9145,.T.); #28718=EDGE_CURVE('',#25618,#25617,#9146,.T.); #28719=EDGE_CURVE('',#25619,#25617,#9147,.T.); #28720=EDGE_CURVE('',#25620,#25618,#9148,.T.); #28721=EDGE_CURVE('',#25620,#25619,#9149,.T.); #28722=EDGE_CURVE('',#25621,#25619,#9150,.T.); #28723=EDGE_CURVE('',#25622,#25620,#9151,.T.); #28724=EDGE_CURVE('',#25622,#25621,#9152,.T.); #28725=EDGE_CURVE('',#25623,#25621,#9153,.T.); #28726=EDGE_CURVE('',#25624,#25622,#9154,.T.); #28727=EDGE_CURVE('',#25624,#25623,#9155,.T.); #28728=EDGE_CURVE('',#25625,#25623,#9156,.T.); #28729=EDGE_CURVE('',#25626,#25624,#9157,.T.); #28730=EDGE_CURVE('',#25626,#25625,#9158,.T.); #28731=EDGE_CURVE('',#25627,#25625,#9159,.T.); #28732=EDGE_CURVE('',#25628,#25626,#9160,.T.); #28733=EDGE_CURVE('',#25628,#25627,#9161,.T.); #28734=EDGE_CURVE('',#25629,#25630,#9162,.T.); #28735=EDGE_CURVE('',#25611,#25629,#9163,.T.); #28736=EDGE_CURVE('',#25610,#25630,#9164,.T.); #28737=EDGE_CURVE('',#25269,#25631,#9165,.T.); #28738=EDGE_CURVE('',#25271,#25632,#9166,.T.); #28739=EDGE_CURVE('',#25631,#25632,#9167,.T.); #28740=EDGE_CURVE('',#25633,#25634,#9168,.T.); #28741=EDGE_CURVE('',#25635,#25633,#9169,.T.); #28742=EDGE_CURVE('',#25635,#25636,#9170,.T.); #28743=EDGE_CURVE('',#25636,#25634,#9171,.T.); #28744=EDGE_CURVE('',#25637,#25634,#9172,.T.); #28745=EDGE_CURVE('',#25637,#25638,#9173,.T.); #28746=EDGE_CURVE('',#25638,#25633,#9174,.T.); #28747=EDGE_CURVE('',#25639,#25640,#9175,.T.); #28748=EDGE_CURVE('',#25638,#25639,#9176,.T.); #28749=EDGE_CURVE('',#25640,#25637,#9177,.T.); #28750=EDGE_CURVE('',#25641,#25642,#9178,.T.); #28751=EDGE_CURVE('',#25643,#25641,#9179,.T.); #28752=EDGE_CURVE('',#25644,#25643,#9180,.T.); #28753=EDGE_CURVE('',#25644,#25645,#9181,.T.); #28754=EDGE_CURVE('',#25646,#25645,#9182,.T.); #28755=EDGE_CURVE('',#25647,#25646,#9183,.T.); #28756=EDGE_CURVE('',#25648,#25647,#9184,.T.); #28757=EDGE_CURVE('',#25648,#25649,#9185,.T.); #28758=EDGE_CURVE('',#25650,#25649,#9186,.T.); #28759=EDGE_CURVE('',#25651,#25650,#9187,.T.); #28760=EDGE_CURVE('',#25652,#25651,#9188,.T.); #28761=EDGE_CURVE('',#25652,#25653,#9189,.T.); #28762=EDGE_CURVE('',#25654,#25653,#9190,.T.); #28763=EDGE_CURVE('',#25655,#25654,#9191,.T.); #28764=EDGE_CURVE('',#25656,#25655,#9192,.T.); #28765=EDGE_CURVE('',#25656,#25657,#9193,.T.); #28766=EDGE_CURVE('',#25658,#25657,#9194,.T.); #28767=EDGE_CURVE('',#25659,#25658,#9195,.T.); #28768=EDGE_CURVE('',#25660,#25659,#9196,.T.); #28769=EDGE_CURVE('',#25660,#25661,#9197,.T.); #28770=EDGE_CURVE('',#25662,#25661,#9198,.T.); #28771=EDGE_CURVE('',#25663,#25662,#9199,.T.); #28772=EDGE_CURVE('',#25664,#25663,#9200,.T.); #28773=EDGE_CURVE('',#25664,#25665,#9201,.T.); #28774=EDGE_CURVE('',#25666,#25665,#9202,.T.); #28775=EDGE_CURVE('',#25667,#25666,#9203,.T.); #28776=EDGE_CURVE('',#25668,#25667,#9204,.T.); #28777=EDGE_CURVE('',#25668,#25669,#9205,.T.); #28778=EDGE_CURVE('',#25670,#25669,#9206,.T.); #28779=EDGE_CURVE('',#25671,#25670,#9207,.T.); #28780=EDGE_CURVE('',#25672,#25671,#9208,.T.); #28781=EDGE_CURVE('',#25672,#25673,#9209,.T.); #28782=EDGE_CURVE('',#25674,#25673,#9210,.T.); #28783=EDGE_CURVE('',#25674,#25268,#9211,.T.); #28784=EDGE_CURVE('',#25675,#25631,#9212,.T.); #28785=EDGE_CURVE('',#25676,#25675,#9213,.T.); #28786=EDGE_CURVE('',#25677,#25676,#9214,.T.); #28787=EDGE_CURVE('',#25677,#25678,#9215,.T.); #28788=EDGE_CURVE('',#25679,#25678,#9216,.T.); #28789=EDGE_CURVE('',#25680,#25679,#9217,.T.); #28790=EDGE_CURVE('',#25681,#25680,#9218,.T.); #28791=EDGE_CURVE('',#25681,#25682,#9219,.T.); #28792=EDGE_CURVE('',#25683,#25682,#9220,.T.); #28793=EDGE_CURVE('',#25684,#25683,#9221,.T.); #28794=EDGE_CURVE('',#25685,#25684,#9222,.T.); #28795=EDGE_CURVE('',#25685,#25686,#9223,.T.); #28796=EDGE_CURVE('',#25687,#25686,#9224,.T.); #28797=EDGE_CURVE('',#25688,#25687,#9225,.T.); #28798=EDGE_CURVE('',#25689,#25688,#9226,.T.); #28799=EDGE_CURVE('',#25689,#25690,#9227,.T.); #28800=EDGE_CURVE('',#25691,#25690,#9228,.T.); #28801=EDGE_CURVE('',#25692,#25691,#9229,.T.); #28802=EDGE_CURVE('',#25693,#25692,#9230,.T.); #28803=EDGE_CURVE('',#25693,#25694,#9231,.T.); #28804=EDGE_CURVE('',#25695,#25694,#9232,.T.); #28805=EDGE_CURVE('',#25696,#25695,#9233,.T.); #28806=EDGE_CURVE('',#25697,#25696,#9234,.T.); #28807=EDGE_CURVE('',#25697,#25698,#9235,.T.); #28808=EDGE_CURVE('',#25699,#25698,#9236,.T.); #28809=EDGE_CURVE('',#25700,#25699,#9237,.T.); #28810=EDGE_CURVE('',#25701,#25700,#9238,.T.); #28811=EDGE_CURVE('',#25701,#25702,#9239,.T.); #28812=EDGE_CURVE('',#25703,#25702,#9240,.T.); #28813=EDGE_CURVE('',#25704,#25703,#9241,.T.); #28814=EDGE_CURVE('',#25705,#25704,#9242,.T.); #28815=EDGE_CURVE('',#25705,#25642,#9243,.T.); #28816=EDGE_CURVE('',#25706,#25270,#9244,.T.); #28817=EDGE_CURVE('',#25706,#25674,#9245,.T.); #28818=EDGE_CURVE('',#25707,#25706,#9246,.T.); #28819=EDGE_CURVE('',#25708,#25707,#9247,.T.); #28820=EDGE_CURVE('',#25708,#25278,#9248,.T.); #28821=EDGE_CURVE('',#25276,#25709,#9249,.T.); #28822=EDGE_CURVE('',#25709,#25710,#9250,.T.); #28823=EDGE_CURVE('',#25632,#25710,#9251,.T.); #28824=EDGE_CURVE('',#25711,#25708,#9252,.T.); #28825=EDGE_CURVE('',#25640,#25711,#9253,.T.); #28826=EDGE_CURVE('',#25636,#25712,#9254,.T.); #28827=EDGE_CURVE('',#25712,#25709,#9255,.T.); #28828=EDGE_CURVE('',#25713,#25714,#9256,.T.); #28829=EDGE_CURVE('',#25715,#25714,#9257,.T.); #28830=EDGE_CURVE('',#25716,#25715,#9258,.T.); #28831=EDGE_CURVE('',#25716,#25713,#9259,.T.); #28832=EDGE_CURVE('',#25717,#25713,#9260,.T.); #28833=EDGE_CURVE('',#25718,#25716,#9261,.T.); #28834=EDGE_CURVE('',#25718,#25717,#9262,.T.); #28835=EDGE_CURVE('',#25719,#25720,#9263,.T.); #28836=EDGE_CURVE('',#25721,#25720,#9264,.T.); #28837=EDGE_CURVE('',#25722,#25721,#9265,.T.); #28838=EDGE_CURVE('',#25722,#25719,#9266,.T.); #28839=EDGE_CURVE('',#25723,#25719,#9267,.T.); #28840=EDGE_CURVE('',#25724,#25722,#9268,.T.); #28841=EDGE_CURVE('',#25724,#25723,#9269,.T.); #28842=EDGE_CURVE('',#25725,#25726,#9270,.T.); #28843=EDGE_CURVE('',#25727,#25726,#9271,.T.); #28844=EDGE_CURVE('',#25728,#25727,#9272,.T.); #28845=EDGE_CURVE('',#25728,#25725,#9273,.T.); #28846=EDGE_CURVE('',#25729,#25725,#9274,.T.); #28847=EDGE_CURVE('',#25730,#25728,#9275,.T.); #28848=EDGE_CURVE('',#25730,#25729,#9276,.T.); #28849=EDGE_CURVE('',#25731,#25732,#9277,.T.); #28850=EDGE_CURVE('',#25733,#25732,#9278,.T.); #28851=EDGE_CURVE('',#25734,#25733,#9279,.T.); #28852=EDGE_CURVE('',#25734,#25731,#9280,.T.); #28853=EDGE_CURVE('',#25735,#25731,#9281,.T.); #28854=EDGE_CURVE('',#25736,#25734,#9282,.T.); #28855=EDGE_CURVE('',#25736,#25735,#9283,.T.); #28856=EDGE_CURVE('',#25737,#25738,#9284,.T.); #28857=EDGE_CURVE('',#25739,#25738,#9285,.T.); #28858=EDGE_CURVE('',#25740,#25739,#9286,.T.); #28859=EDGE_CURVE('',#25740,#25737,#9287,.T.); #28860=EDGE_CURVE('',#25741,#25737,#9288,.T.); #28861=EDGE_CURVE('',#25742,#25740,#9289,.T.); #28862=EDGE_CURVE('',#25742,#25741,#9290,.T.); #28863=EDGE_CURVE('',#25743,#25744,#9291,.T.); #28864=EDGE_CURVE('',#25745,#25744,#9292,.T.); #28865=EDGE_CURVE('',#25746,#25745,#9293,.T.); #28866=EDGE_CURVE('',#25746,#25743,#9294,.T.); #28867=EDGE_CURVE('',#25747,#25743,#9295,.T.); #28868=EDGE_CURVE('',#25748,#25746,#9296,.T.); #28869=EDGE_CURVE('',#25748,#25747,#9297,.T.); #28870=EDGE_CURVE('',#25749,#25750,#9298,.T.); #28871=EDGE_CURVE('',#25751,#25750,#9299,.T.); #28872=EDGE_CURVE('',#25752,#25751,#9300,.T.); #28873=EDGE_CURVE('',#25752,#25749,#9301,.T.); #28874=EDGE_CURVE('',#25753,#25749,#9302,.T.); #28875=EDGE_CURVE('',#25754,#25752,#9303,.T.); #28876=EDGE_CURVE('',#25754,#25753,#9304,.T.); #28877=EDGE_CURVE('',#25755,#25756,#9305,.T.); #28878=EDGE_CURVE('',#25757,#25756,#9306,.T.); #28879=EDGE_CURVE('',#25758,#25757,#9307,.T.); #28880=EDGE_CURVE('',#25758,#25755,#9308,.T.); #28881=EDGE_CURVE('',#25759,#25755,#9309,.T.); #28882=EDGE_CURVE('',#25760,#25758,#9310,.T.); #28883=EDGE_CURVE('',#25760,#25759,#9311,.T.); #28884=EDGE_CURVE('',#25761,#25762,#9312,.T.); #28885=EDGE_CURVE('',#25763,#25762,#9313,.T.); #28886=EDGE_CURVE('',#25764,#25763,#9314,.T.); #28887=EDGE_CURVE('',#25764,#25761,#9315,.T.); #28888=EDGE_CURVE('',#25765,#25761,#9316,.T.); #28889=EDGE_CURVE('',#25766,#25764,#9317,.T.); #28890=EDGE_CURVE('',#25766,#25765,#9318,.T.); #28891=EDGE_CURVE('',#25767,#25768,#9319,.T.); #28892=EDGE_CURVE('',#25769,#25768,#9320,.T.); #28893=EDGE_CURVE('',#25770,#25769,#9321,.T.); #28894=EDGE_CURVE('',#25770,#25767,#9322,.T.); #28895=EDGE_CURVE('',#25771,#25767,#9323,.T.); #28896=EDGE_CURVE('',#25772,#25770,#9324,.T.); #28897=EDGE_CURVE('',#25772,#25771,#9325,.T.); #28898=EDGE_CURVE('',#25773,#25774,#9326,.T.); #28899=EDGE_CURVE('',#25775,#25774,#9327,.T.); #28900=EDGE_CURVE('',#25776,#25775,#9328,.T.); #28901=EDGE_CURVE('',#25776,#25773,#9329,.T.); #28902=EDGE_CURVE('',#25777,#25773,#9330,.T.); #28903=EDGE_CURVE('',#25778,#25776,#9331,.T.); #28904=EDGE_CURVE('',#25778,#25777,#9332,.T.); #28905=EDGE_CURVE('',#25779,#25780,#9333,.T.); #28906=EDGE_CURVE('',#25781,#25780,#9334,.T.); #28907=EDGE_CURVE('',#25782,#25781,#9335,.T.); #28908=EDGE_CURVE('',#25782,#25779,#9336,.T.); #28909=EDGE_CURVE('',#25783,#25779,#9337,.T.); #28910=EDGE_CURVE('',#25784,#25782,#9338,.T.); #28911=EDGE_CURVE('',#25784,#25783,#9339,.T.); #28912=EDGE_CURVE('',#25785,#25786,#9340,.T.); #28913=EDGE_CURVE('',#25787,#25786,#9341,.T.); #28914=EDGE_CURVE('',#25788,#25787,#9342,.T.); #28915=EDGE_CURVE('',#25788,#25785,#9343,.T.); #28916=EDGE_CURVE('',#25789,#25785,#9344,.T.); #28917=EDGE_CURVE('',#25790,#25788,#9345,.T.); #28918=EDGE_CURVE('',#25790,#25789,#9346,.T.); #28919=EDGE_CURVE('',#25791,#25792,#9347,.T.); #28920=EDGE_CURVE('',#25793,#25792,#9348,.T.); #28921=EDGE_CURVE('',#25794,#25793,#9349,.T.); #28922=EDGE_CURVE('',#25794,#25791,#9350,.T.); #28923=EDGE_CURVE('',#25795,#25791,#9351,.T.); #28924=EDGE_CURVE('',#25796,#25794,#9352,.T.); #28925=EDGE_CURVE('',#25796,#25795,#9353,.T.); #28926=EDGE_CURVE('',#25797,#25798,#9354,.T.); #28927=EDGE_CURVE('',#25799,#25798,#9355,.T.); #28928=EDGE_CURVE('',#25800,#25799,#9356,.T.); #28929=EDGE_CURVE('',#25800,#25797,#9357,.T.); #28930=EDGE_CURVE('',#25801,#25797,#9358,.T.); #28931=EDGE_CURVE('',#25802,#25800,#9359,.T.); #28932=EDGE_CURVE('',#25802,#25801,#9360,.T.); #28933=EDGE_CURVE('',#25803,#25804,#9361,.T.); #28934=EDGE_CURVE('',#25805,#25804,#9362,.T.); #28935=EDGE_CURVE('',#25806,#25805,#9363,.T.); #28936=EDGE_CURVE('',#25806,#25803,#9364,.T.); #28937=EDGE_CURVE('',#25807,#25803,#9365,.T.); #28938=EDGE_CURVE('',#25808,#25806,#9366,.T.); #28939=EDGE_CURVE('',#25808,#25807,#9367,.T.); #28940=EDGE_CURVE('',#25809,#25298,#9368,.T.); #28941=EDGE_CURVE('',#25809,#25810,#9369,.T.); #28942=EDGE_CURVE('',#25810,#25297,#9370,.T.); #28943=EDGE_CURVE('',#25811,#25810,#9371,.T.); #28944=EDGE_CURVE('',#25811,#25300,#9372,.T.); #28945=EDGE_CURVE('',#25812,#25299,#9373,.T.); #28946=EDGE_CURVE('',#25812,#25809,#9374,.T.); #28947=EDGE_CURVE('',#25812,#25813,#9375,.T.); #28948=EDGE_CURVE('',#25814,#25811,#9376,.T.); #28949=EDGE_CURVE('',#25815,#25814,#9377,.T.); #28950=EDGE_CURVE('',#25815,#25816,#9378,.T.); #28951=EDGE_CURVE('',#25813,#25816,#9379,.T.); #28952=EDGE_CURVE('',#25817,#25815,#9380,.T.); #28953=EDGE_CURVE('',#25818,#25815,#9381,.T.); #28954=EDGE_CURVE('',#25819,#25818,#9382,.T.); #28955=EDGE_CURVE('',#25817,#25819,#9383,.T.); #28956=EDGE_CURVE('',#25820,#25320,#9384,.T.); #28957=EDGE_CURVE('',#25820,#25821,#9385,.T.); #28958=EDGE_CURVE('',#25821,#25319,#9386,.T.); #28959=EDGE_CURVE('',#25822,#25821,#9387,.T.); #28960=EDGE_CURVE('',#25822,#25322,#9388,.T.); #28961=EDGE_CURVE('',#25823,#25321,#9389,.T.); #28962=EDGE_CURVE('',#25823,#25820,#9390,.T.); #28963=EDGE_CURVE('',#25823,#25824,#9391,.T.); #28964=EDGE_CURVE('',#25825,#25822,#9392,.T.); #28965=EDGE_CURVE('',#25826,#25825,#9393,.T.); #28966=EDGE_CURVE('',#25826,#25817,#9394,.T.); #28967=EDGE_CURVE('',#25824,#25817,#9395,.T.); #28968=EDGE_CURVE('',#25827,#25826,#9396,.T.); #28969=EDGE_CURVE('',#25828,#25826,#9397,.T.); #28970=EDGE_CURVE('',#25829,#25828,#9398,.T.); #28971=EDGE_CURVE('',#25827,#25829,#9399,.T.); #28972=EDGE_CURVE('',#25830,#25342,#9400,.T.); #28973=EDGE_CURVE('',#25830,#25831,#9401,.T.); #28974=EDGE_CURVE('',#25831,#25341,#9402,.T.); #28975=EDGE_CURVE('',#25832,#25831,#9403,.T.); #28976=EDGE_CURVE('',#25832,#25344,#9404,.T.); #28977=EDGE_CURVE('',#25833,#25343,#9405,.T.); #28978=EDGE_CURVE('',#25833,#25830,#9406,.T.); #28979=EDGE_CURVE('',#25833,#25834,#9407,.T.); #28980=EDGE_CURVE('',#25835,#25832,#9408,.T.); #28981=EDGE_CURVE('',#25836,#25835,#9409,.T.); #28982=EDGE_CURVE('',#25836,#25827,#9410,.T.); #28983=EDGE_CURVE('',#25834,#25827,#9411,.T.); #28984=EDGE_CURVE('',#25837,#25836,#9412,.T.); #28985=EDGE_CURVE('',#25838,#25836,#9413,.T.); #28986=EDGE_CURVE('',#25839,#25838,#9414,.T.); #28987=EDGE_CURVE('',#25837,#25839,#9415,.T.); #28988=EDGE_CURVE('',#25840,#25364,#9416,.T.); #28989=EDGE_CURVE('',#25840,#25841,#9417,.T.); #28990=EDGE_CURVE('',#25841,#25363,#9418,.T.); #28991=EDGE_CURVE('',#25842,#25841,#9419,.T.); #28992=EDGE_CURVE('',#25842,#25366,#9420,.T.); #28993=EDGE_CURVE('',#25843,#25365,#9421,.T.); #28994=EDGE_CURVE('',#25843,#25840,#9422,.T.); #28995=EDGE_CURVE('',#25843,#25844,#9423,.T.); #28996=EDGE_CURVE('',#25845,#25842,#9424,.T.); #28997=EDGE_CURVE('',#25846,#25845,#9425,.T.); #28998=EDGE_CURVE('',#25846,#25837,#9426,.T.); #28999=EDGE_CURVE('',#25844,#25837,#9427,.T.); #29000=EDGE_CURVE('',#25847,#25846,#9428,.T.); #29001=EDGE_CURVE('',#25848,#25846,#9429,.T.); #29002=EDGE_CURVE('',#25849,#25848,#9430,.T.); #29003=EDGE_CURVE('',#25847,#25849,#9431,.T.); #29004=EDGE_CURVE('',#25850,#25386,#9432,.T.); #29005=EDGE_CURVE('',#25850,#25851,#9433,.T.); #29006=EDGE_CURVE('',#25851,#25385,#9434,.T.); #29007=EDGE_CURVE('',#25852,#25851,#9435,.T.); #29008=EDGE_CURVE('',#25852,#25388,#9436,.T.); #29009=EDGE_CURVE('',#25853,#25387,#9437,.T.); #29010=EDGE_CURVE('',#25853,#25850,#9438,.T.); #29011=EDGE_CURVE('',#25853,#25854,#9439,.T.); #29012=EDGE_CURVE('',#25855,#25852,#9440,.T.); #29013=EDGE_CURVE('',#25856,#25855,#9441,.T.); #29014=EDGE_CURVE('',#25856,#25847,#9442,.T.); #29015=EDGE_CURVE('',#25854,#25847,#9443,.T.); #29016=EDGE_CURVE('',#25857,#25856,#9444,.T.); #29017=EDGE_CURVE('',#25858,#25856,#9445,.T.); #29018=EDGE_CURVE('',#25859,#25858,#9446,.T.); #29019=EDGE_CURVE('',#25857,#25859,#9447,.T.); #29020=EDGE_CURVE('',#25860,#25408,#9448,.T.); #29021=EDGE_CURVE('',#25860,#25861,#9449,.T.); #29022=EDGE_CURVE('',#25861,#25407,#9450,.T.); #29023=EDGE_CURVE('',#25862,#25861,#9451,.T.); #29024=EDGE_CURVE('',#25862,#25410,#9452,.T.); #29025=EDGE_CURVE('',#25863,#25409,#9453,.T.); #29026=EDGE_CURVE('',#25863,#25860,#9454,.T.); #29027=EDGE_CURVE('',#25863,#25864,#9455,.T.); #29028=EDGE_CURVE('',#25865,#25862,#9456,.T.); #29029=EDGE_CURVE('',#25866,#25865,#9457,.T.); #29030=EDGE_CURVE('',#25866,#25857,#9458,.T.); #29031=EDGE_CURVE('',#25864,#25857,#9459,.T.); #29032=EDGE_CURVE('',#25867,#25866,#9460,.T.); #29033=EDGE_CURVE('',#25868,#25866,#9461,.T.); #29034=EDGE_CURVE('',#25869,#25868,#9462,.T.); #29035=EDGE_CURVE('',#25867,#25869,#9463,.T.); #29036=EDGE_CURVE('',#25870,#25430,#9464,.T.); #29037=EDGE_CURVE('',#25870,#25871,#9465,.T.); #29038=EDGE_CURVE('',#25871,#25429,#9466,.T.); #29039=EDGE_CURVE('',#25872,#25871,#9467,.T.); #29040=EDGE_CURVE('',#25872,#25432,#9468,.T.); #29041=EDGE_CURVE('',#25873,#25431,#9469,.T.); #29042=EDGE_CURVE('',#25873,#25870,#9470,.T.); #29043=EDGE_CURVE('',#25873,#25874,#9471,.T.); #29044=EDGE_CURVE('',#25875,#25872,#9472,.T.); #29045=EDGE_CURVE('',#25876,#25875,#9473,.T.); #29046=EDGE_CURVE('',#25876,#25867,#9474,.T.); #29047=EDGE_CURVE('',#25874,#25867,#9475,.T.); #29048=EDGE_CURVE('',#25877,#25876,#9476,.T.); #29049=EDGE_CURVE('',#25878,#25876,#9477,.T.); #29050=EDGE_CURVE('',#25879,#25878,#9478,.T.); #29051=EDGE_CURVE('',#25877,#25879,#9479,.T.); #29052=EDGE_CURVE('',#25880,#25452,#9480,.T.); #29053=EDGE_CURVE('',#25880,#25881,#9481,.T.); #29054=EDGE_CURVE('',#25881,#25451,#9482,.T.); #29055=EDGE_CURVE('',#25882,#25881,#9483,.T.); #29056=EDGE_CURVE('',#25882,#25454,#9484,.T.); #29057=EDGE_CURVE('',#25883,#25453,#9485,.T.); #29058=EDGE_CURVE('',#25883,#25880,#9486,.T.); #29059=EDGE_CURVE('',#25883,#25884,#9487,.T.); #29060=EDGE_CURVE('',#25885,#25882,#9488,.T.); #29061=EDGE_CURVE('',#25886,#25885,#9489,.T.); #29062=EDGE_CURVE('',#25886,#25877,#9490,.T.); #29063=EDGE_CURVE('',#25884,#25877,#9491,.T.); #29064=EDGE_CURVE('',#25887,#25474,#9492,.T.); #29065=EDGE_CURVE('',#25887,#25888,#9493,.T.); #29066=EDGE_CURVE('',#25888,#25473,#9494,.T.); #29067=EDGE_CURVE('',#25889,#25888,#9495,.T.); #29068=EDGE_CURVE('',#25889,#25476,#9496,.T.); #29069=EDGE_CURVE('',#25890,#25475,#9497,.T.); #29070=EDGE_CURVE('',#25890,#25887,#9498,.T.); #29071=EDGE_CURVE('',#25890,#25891,#9499,.T.); #29072=EDGE_CURVE('',#25892,#25889,#9500,.T.); #29073=EDGE_CURVE('',#25893,#25892,#9501,.T.); #29074=EDGE_CURVE('',#25893,#25894,#9502,.T.); #29075=EDGE_CURVE('',#25891,#25894,#9503,.T.); #29076=EDGE_CURVE('',#25895,#25893,#9504,.T.); #29077=EDGE_CURVE('',#25896,#25893,#9505,.T.); #29078=EDGE_CURVE('',#25897,#25896,#9506,.T.); #29079=EDGE_CURVE('',#25895,#25897,#9507,.T.); #29080=EDGE_CURVE('',#25898,#25496,#9508,.T.); #29081=EDGE_CURVE('',#25898,#25899,#9509,.T.); #29082=EDGE_CURVE('',#25899,#25495,#9510,.T.); #29083=EDGE_CURVE('',#25900,#25899,#9511,.T.); #29084=EDGE_CURVE('',#25900,#25498,#9512,.T.); #29085=EDGE_CURVE('',#25901,#25497,#9513,.T.); #29086=EDGE_CURVE('',#25901,#25898,#9514,.T.); #29087=EDGE_CURVE('',#25901,#25902,#9515,.T.); #29088=EDGE_CURVE('',#25903,#25900,#9516,.T.); #29089=EDGE_CURVE('',#25904,#25903,#9517,.T.); #29090=EDGE_CURVE('',#25904,#25895,#9518,.T.); #29091=EDGE_CURVE('',#25902,#25895,#9519,.T.); #29092=EDGE_CURVE('',#25905,#25904,#9520,.T.); #29093=EDGE_CURVE('',#25906,#25904,#9521,.T.); #29094=EDGE_CURVE('',#25907,#25906,#9522,.T.); #29095=EDGE_CURVE('',#25905,#25907,#9523,.T.); #29096=EDGE_CURVE('',#25908,#25518,#9524,.T.); #29097=EDGE_CURVE('',#25908,#25909,#9525,.T.); #29098=EDGE_CURVE('',#25909,#25517,#9526,.T.); #29099=EDGE_CURVE('',#25910,#25909,#9527,.T.); #29100=EDGE_CURVE('',#25910,#25520,#9528,.T.); #29101=EDGE_CURVE('',#25911,#25519,#9529,.T.); #29102=EDGE_CURVE('',#25911,#25908,#9530,.T.); #29103=EDGE_CURVE('',#25911,#25912,#9531,.T.); #29104=EDGE_CURVE('',#25913,#25910,#9532,.T.); #29105=EDGE_CURVE('',#25914,#25913,#9533,.T.); #29106=EDGE_CURVE('',#25914,#25905,#9534,.T.); #29107=EDGE_CURVE('',#25912,#25905,#9535,.T.); #29108=EDGE_CURVE('',#25915,#25914,#9536,.T.); #29109=EDGE_CURVE('',#25916,#25914,#9537,.T.); #29110=EDGE_CURVE('',#25917,#25916,#9538,.T.); #29111=EDGE_CURVE('',#25915,#25917,#9539,.T.); #29112=EDGE_CURVE('',#25918,#25540,#9540,.T.); #29113=EDGE_CURVE('',#25918,#25919,#9541,.T.); #29114=EDGE_CURVE('',#25919,#25539,#9542,.T.); #29115=EDGE_CURVE('',#25920,#25919,#9543,.T.); #29116=EDGE_CURVE('',#25920,#25542,#9544,.T.); #29117=EDGE_CURVE('',#25921,#25541,#9545,.T.); #29118=EDGE_CURVE('',#25921,#25918,#9546,.T.); #29119=EDGE_CURVE('',#25921,#25922,#9547,.T.); #29120=EDGE_CURVE('',#25923,#25920,#9548,.T.); #29121=EDGE_CURVE('',#25924,#25923,#9549,.T.); #29122=EDGE_CURVE('',#25924,#25915,#9550,.T.); #29123=EDGE_CURVE('',#25922,#25915,#9551,.T.); #29124=EDGE_CURVE('',#25925,#25924,#9552,.T.); #29125=EDGE_CURVE('',#25926,#25924,#9553,.T.); #29126=EDGE_CURVE('',#25927,#25926,#9554,.T.); #29127=EDGE_CURVE('',#25925,#25927,#9555,.T.); #29128=EDGE_CURVE('',#25928,#25562,#9556,.T.); #29129=EDGE_CURVE('',#25928,#25929,#9557,.T.); #29130=EDGE_CURVE('',#25929,#25561,#9558,.T.); #29131=EDGE_CURVE('',#25930,#25929,#9559,.T.); #29132=EDGE_CURVE('',#25930,#25564,#9560,.T.); #29133=EDGE_CURVE('',#25931,#25563,#9561,.T.); #29134=EDGE_CURVE('',#25931,#25928,#9562,.T.); #29135=EDGE_CURVE('',#25931,#25932,#9563,.T.); #29136=EDGE_CURVE('',#25933,#25930,#9564,.T.); #29137=EDGE_CURVE('',#25934,#25933,#9565,.T.); #29138=EDGE_CURVE('',#25934,#25925,#9566,.T.); #29139=EDGE_CURVE('',#25932,#25925,#9567,.T.); #29140=EDGE_CURVE('',#25935,#25934,#9568,.T.); #29141=EDGE_CURVE('',#25936,#25934,#9569,.T.); #29142=EDGE_CURVE('',#25937,#25936,#9570,.T.); #29143=EDGE_CURVE('',#25935,#25937,#9571,.T.); #29144=EDGE_CURVE('',#25938,#25584,#9572,.T.); #29145=EDGE_CURVE('',#25938,#25939,#9573,.T.); #29146=EDGE_CURVE('',#25939,#25583,#9574,.T.); #29147=EDGE_CURVE('',#25940,#25939,#9575,.T.); #29148=EDGE_CURVE('',#25940,#25586,#9576,.T.); #29149=EDGE_CURVE('',#25941,#25585,#9577,.T.); #29150=EDGE_CURVE('',#25941,#25938,#9578,.T.); #29151=EDGE_CURVE('',#25941,#25942,#9579,.T.); #29152=EDGE_CURVE('',#25943,#25940,#9580,.T.); #29153=EDGE_CURVE('',#25944,#25943,#9581,.T.); #29154=EDGE_CURVE('',#25944,#25935,#9582,.T.); #29155=EDGE_CURVE('',#25942,#25935,#9583,.T.); #29156=EDGE_CURVE('',#25945,#25944,#9584,.T.); #29157=EDGE_CURVE('',#25946,#25944,#9585,.T.); #29158=EDGE_CURVE('',#25947,#25946,#9586,.T.); #29159=EDGE_CURVE('',#25945,#25947,#9587,.T.); #29160=EDGE_CURVE('',#25948,#25606,#9588,.T.); #29161=EDGE_CURVE('',#25948,#25949,#9589,.T.); #29162=EDGE_CURVE('',#25949,#25605,#9590,.T.); #29163=EDGE_CURVE('',#25950,#25949,#9591,.T.); #29164=EDGE_CURVE('',#25950,#25608,#9592,.T.); #29165=EDGE_CURVE('',#25951,#25607,#9593,.T.); #29166=EDGE_CURVE('',#25951,#25948,#9594,.T.); #29167=EDGE_CURVE('',#25951,#25952,#9595,.T.); #29168=EDGE_CURVE('',#25953,#25950,#9596,.T.); #29169=EDGE_CURVE('',#25954,#25953,#9597,.T.); #29170=EDGE_CURVE('',#25954,#25945,#9598,.T.); #29171=EDGE_CURVE('',#25952,#25945,#9599,.T.); #29172=EDGE_CURVE('',#25955,#25954,#9600,.T.); #29173=EDGE_CURVE('',#25956,#25954,#9601,.T.); #29174=EDGE_CURVE('',#25957,#25956,#9602,.T.); #29175=EDGE_CURVE('',#25955,#25957,#9603,.T.); #29176=EDGE_CURVE('',#25958,#25628,#9604,.T.); #29177=EDGE_CURVE('',#25958,#25959,#9605,.T.); #29178=EDGE_CURVE('',#25959,#25627,#9606,.T.); #29179=EDGE_CURVE('',#25960,#25959,#9607,.T.); #29180=EDGE_CURVE('',#25960,#25630,#9608,.T.); #29181=EDGE_CURVE('',#25961,#25629,#9609,.T.); #29182=EDGE_CURVE('',#25961,#25958,#9610,.T.); #29183=EDGE_CURVE('',#25961,#25962,#9611,.T.); #29184=EDGE_CURVE('',#25963,#25960,#9612,.T.); #29185=EDGE_CURVE('',#25964,#25963,#9613,.T.); #29186=EDGE_CURVE('',#25964,#25955,#9614,.T.); #29187=EDGE_CURVE('',#25962,#25955,#9615,.T.); #29188=EDGE_CURVE('',#25816,#25964,#9616,.T.); #29189=EDGE_CURVE('',#25965,#25964,#9617,.T.); #29190=EDGE_CURVE('',#25966,#25965,#9618,.T.); #29191=EDGE_CURVE('',#25816,#25966,#9619,.T.); #29192=EDGE_CURVE('',#25967,#25968,#9620,.T.); #29193=EDGE_CURVE('',#25969,#25968,#9621,.T.); #29194=EDGE_CURVE('',#25970,#25969,#9622,.T.); #29195=EDGE_CURVE('',#25970,#25967,#9623,.T.); #29196=EDGE_CURVE('',#25968,#25971,#8071,.T.); #29197=EDGE_CURVE('',#25972,#25971,#9624,.T.); #29198=EDGE_CURVE('',#25969,#25972,#8072,.T.); #29199=EDGE_CURVE('',#25971,#25973,#9625,.T.); #29200=EDGE_CURVE('',#25974,#25973,#9626,.T.); #29201=EDGE_CURVE('',#25972,#25974,#9627,.T.); #29202=EDGE_CURVE('',#25975,#25976,#9628,.T.); #29203=EDGE_CURVE('',#25977,#25976,#9629,.T.); #29204=EDGE_CURVE('',#25978,#25977,#9630,.T.); #29205=EDGE_CURVE('',#25978,#25975,#9631,.T.); #29206=EDGE_CURVE('',#25976,#25979,#8073,.T.); #29207=EDGE_CURVE('',#25980,#25979,#9632,.T.); #29208=EDGE_CURVE('',#25977,#25980,#8074,.T.); #29209=EDGE_CURVE('',#25979,#25981,#9633,.T.); #29210=EDGE_CURVE('',#25982,#25981,#9634,.T.); #29211=EDGE_CURVE('',#25980,#25982,#9635,.T.); #29212=EDGE_CURVE('',#25983,#25984,#9636,.T.); #29213=EDGE_CURVE('',#25985,#25984,#9637,.T.); #29214=EDGE_CURVE('',#25986,#25985,#9638,.T.); #29215=EDGE_CURVE('',#25986,#25983,#9639,.T.); #29216=EDGE_CURVE('',#25984,#25987,#8075,.T.); #29217=EDGE_CURVE('',#25988,#25987,#9640,.T.); #29218=EDGE_CURVE('',#25985,#25988,#8076,.T.); #29219=EDGE_CURVE('',#25987,#25989,#9641,.T.); #29220=EDGE_CURVE('',#25990,#25989,#9642,.T.); #29221=EDGE_CURVE('',#25988,#25990,#9643,.T.); #29222=EDGE_CURVE('',#25991,#25992,#9644,.T.); #29223=EDGE_CURVE('',#25993,#25992,#9645,.T.); #29224=EDGE_CURVE('',#25994,#25993,#9646,.T.); #29225=EDGE_CURVE('',#25994,#25991,#9647,.T.); #29226=EDGE_CURVE('',#25992,#25995,#8077,.T.); #29227=EDGE_CURVE('',#25996,#25995,#9648,.T.); #29228=EDGE_CURVE('',#25993,#25996,#8078,.T.); #29229=EDGE_CURVE('',#25995,#25997,#9649,.T.); #29230=EDGE_CURVE('',#25998,#25997,#9650,.T.); #29231=EDGE_CURVE('',#25996,#25998,#9651,.T.); #29232=EDGE_CURVE('',#25999,#26000,#9652,.T.); #29233=EDGE_CURVE('',#26001,#26000,#9653,.T.); #29234=EDGE_CURVE('',#26002,#26001,#9654,.T.); #29235=EDGE_CURVE('',#26002,#25999,#9655,.T.); #29236=EDGE_CURVE('',#26000,#26003,#8079,.T.); #29237=EDGE_CURVE('',#26004,#26003,#9656,.T.); #29238=EDGE_CURVE('',#26001,#26004,#8080,.T.); #29239=EDGE_CURVE('',#26003,#26005,#9657,.T.); #29240=EDGE_CURVE('',#26006,#26005,#9658,.T.); #29241=EDGE_CURVE('',#26004,#26006,#9659,.T.); #29242=EDGE_CURVE('',#26007,#26008,#9660,.T.); #29243=EDGE_CURVE('',#26009,#26008,#9661,.T.); #29244=EDGE_CURVE('',#26010,#26009,#9662,.T.); #29245=EDGE_CURVE('',#26010,#26007,#9663,.T.); #29246=EDGE_CURVE('',#26008,#26011,#8081,.T.); #29247=EDGE_CURVE('',#26012,#26011,#9664,.T.); #29248=EDGE_CURVE('',#26009,#26012,#8082,.T.); #29249=EDGE_CURVE('',#26011,#26013,#9665,.T.); #29250=EDGE_CURVE('',#26014,#26013,#9666,.T.); #29251=EDGE_CURVE('',#26012,#26014,#9667,.T.); #29252=EDGE_CURVE('',#26015,#26016,#9668,.T.); #29253=EDGE_CURVE('',#26017,#26016,#9669,.T.); #29254=EDGE_CURVE('',#26018,#26017,#9670,.T.); #29255=EDGE_CURVE('',#26018,#26015,#9671,.T.); #29256=EDGE_CURVE('',#26016,#26019,#8083,.T.); #29257=EDGE_CURVE('',#26020,#26019,#9672,.T.); #29258=EDGE_CURVE('',#26017,#26020,#8084,.T.); #29259=EDGE_CURVE('',#26019,#26021,#9673,.T.); #29260=EDGE_CURVE('',#26022,#26021,#9674,.T.); #29261=EDGE_CURVE('',#26020,#26022,#9675,.T.); #29262=EDGE_CURVE('',#26023,#26024,#9676,.T.); #29263=EDGE_CURVE('',#26025,#26024,#9677,.T.); #29264=EDGE_CURVE('',#26026,#26025,#9678,.T.); #29265=EDGE_CURVE('',#26026,#26023,#9679,.T.); #29266=EDGE_CURVE('',#26024,#26027,#8085,.T.); #29267=EDGE_CURVE('',#26028,#26027,#9680,.T.); #29268=EDGE_CURVE('',#26025,#26028,#8086,.T.); #29269=EDGE_CURVE('',#26027,#26029,#9681,.T.); #29270=EDGE_CURVE('',#26030,#26029,#9682,.T.); #29271=EDGE_CURVE('',#26028,#26030,#9683,.T.); #29272=EDGE_CURVE('',#26031,#26032,#9684,.T.); #29273=EDGE_CURVE('',#26033,#26032,#9685,.T.); #29274=EDGE_CURVE('',#26034,#26033,#9686,.T.); #29275=EDGE_CURVE('',#26034,#26031,#9687,.T.); #29276=EDGE_CURVE('',#26032,#26035,#8087,.T.); #29277=EDGE_CURVE('',#26036,#26035,#9688,.T.); #29278=EDGE_CURVE('',#26033,#26036,#8088,.T.); #29279=EDGE_CURVE('',#26035,#26037,#9689,.T.); #29280=EDGE_CURVE('',#26038,#26037,#9690,.T.); #29281=EDGE_CURVE('',#26036,#26038,#9691,.T.); #29282=EDGE_CURVE('',#26039,#26040,#9692,.T.); #29283=EDGE_CURVE('',#26041,#26040,#9693,.T.); #29284=EDGE_CURVE('',#26042,#26041,#9694,.T.); #29285=EDGE_CURVE('',#26042,#26039,#9695,.T.); #29286=EDGE_CURVE('',#26040,#26043,#8089,.T.); #29287=EDGE_CURVE('',#26044,#26043,#9696,.T.); #29288=EDGE_CURVE('',#26041,#26044,#8090,.T.); #29289=EDGE_CURVE('',#26043,#26045,#9697,.T.); #29290=EDGE_CURVE('',#26046,#26045,#9698,.T.); #29291=EDGE_CURVE('',#26044,#26046,#9699,.T.); #29292=EDGE_CURVE('',#26047,#26048,#9700,.T.); #29293=EDGE_CURVE('',#26049,#26048,#9701,.T.); #29294=EDGE_CURVE('',#26050,#26049,#9702,.T.); #29295=EDGE_CURVE('',#26050,#26047,#9703,.T.); #29296=EDGE_CURVE('',#26048,#26051,#8091,.T.); #29297=EDGE_CURVE('',#26052,#26051,#9704,.T.); #29298=EDGE_CURVE('',#26049,#26052,#8092,.T.); #29299=EDGE_CURVE('',#26051,#26053,#9705,.T.); #29300=EDGE_CURVE('',#26054,#26053,#9706,.T.); #29301=EDGE_CURVE('',#26052,#26054,#9707,.T.); #29302=EDGE_CURVE('',#26055,#26056,#9708,.T.); #29303=EDGE_CURVE('',#26057,#26056,#9709,.T.); #29304=EDGE_CURVE('',#26058,#26057,#9710,.T.); #29305=EDGE_CURVE('',#26058,#26055,#9711,.T.); #29306=EDGE_CURVE('',#26056,#26059,#8093,.T.); #29307=EDGE_CURVE('',#26060,#26059,#9712,.T.); #29308=EDGE_CURVE('',#26057,#26060,#8094,.T.); #29309=EDGE_CURVE('',#26059,#26061,#9713,.T.); #29310=EDGE_CURVE('',#26062,#26061,#9714,.T.); #29311=EDGE_CURVE('',#26060,#26062,#9715,.T.); #29312=EDGE_CURVE('',#26063,#26064,#9716,.T.); #29313=EDGE_CURVE('',#26065,#26064,#9717,.T.); #29314=EDGE_CURVE('',#26066,#26065,#9718,.T.); #29315=EDGE_CURVE('',#26066,#26063,#9719,.T.); #29316=EDGE_CURVE('',#26064,#26067,#8095,.T.); #29317=EDGE_CURVE('',#26068,#26067,#9720,.T.); #29318=EDGE_CURVE('',#26065,#26068,#8096,.T.); #29319=EDGE_CURVE('',#26067,#26069,#9721,.T.); #29320=EDGE_CURVE('',#26070,#26069,#9722,.T.); #29321=EDGE_CURVE('',#26068,#26070,#9723,.T.); #29322=EDGE_CURVE('',#26071,#26072,#9724,.T.); #29323=EDGE_CURVE('',#26073,#26072,#9725,.T.); #29324=EDGE_CURVE('',#26074,#26073,#9726,.T.); #29325=EDGE_CURVE('',#26074,#26071,#9727,.T.); #29326=EDGE_CURVE('',#26072,#26075,#8097,.T.); #29327=EDGE_CURVE('',#26076,#26075,#9728,.T.); #29328=EDGE_CURVE('',#26073,#26076,#8098,.T.); #29329=EDGE_CURVE('',#26075,#26077,#9729,.T.); #29330=EDGE_CURVE('',#26078,#26077,#9730,.T.); #29331=EDGE_CURVE('',#26076,#26078,#9731,.T.); #29332=EDGE_CURVE('',#26079,#26080,#9732,.T.); #29333=EDGE_CURVE('',#26081,#26080,#9733,.T.); #29334=EDGE_CURVE('',#26082,#26081,#9734,.T.); #29335=EDGE_CURVE('',#26082,#26079,#9735,.T.); #29336=EDGE_CURVE('',#26080,#26083,#8099,.T.); #29337=EDGE_CURVE('',#26084,#26083,#9736,.T.); #29338=EDGE_CURVE('',#26081,#26084,#8100,.T.); #29339=EDGE_CURVE('',#26083,#26085,#9737,.T.); #29340=EDGE_CURVE('',#26086,#26085,#9738,.T.); #29341=EDGE_CURVE('',#26084,#26086,#9739,.T.); #29342=EDGE_CURVE('',#26087,#26088,#9740,.T.); #29343=EDGE_CURVE('',#26089,#26088,#9741,.T.); #29344=EDGE_CURVE('',#26090,#26089,#9742,.T.); #29345=EDGE_CURVE('',#26090,#26087,#9743,.T.); #29346=EDGE_CURVE('',#26088,#26091,#8101,.T.); #29347=EDGE_CURVE('',#26092,#26091,#9744,.T.); #29348=EDGE_CURVE('',#26089,#26092,#8102,.T.); #29349=EDGE_CURVE('',#26091,#26093,#9745,.T.); #29350=EDGE_CURVE('',#26094,#26093,#9746,.T.); #29351=EDGE_CURVE('',#26092,#26094,#9747,.T.); #29352=EDGE_CURVE('',#26095,#25759,#9748,.T.); #29353=EDGE_CURVE('',#26096,#25760,#9749,.T.); #29354=EDGE_CURVE('',#26096,#26095,#9750,.T.); #29355=EDGE_CURVE('',#26097,#25753,#9751,.T.); #29356=EDGE_CURVE('',#26098,#25754,#9752,.T.); #29357=EDGE_CURVE('',#26098,#26097,#9753,.T.); #29358=EDGE_CURVE('',#26099,#25747,#9754,.T.); #29359=EDGE_CURVE('',#26100,#25748,#9755,.T.); #29360=EDGE_CURVE('',#26100,#26099,#9756,.T.); #29361=EDGE_CURVE('',#26101,#25741,#9757,.T.); #29362=EDGE_CURVE('',#26102,#25742,#9758,.T.); #29363=EDGE_CURVE('',#26102,#26101,#9759,.T.); #29364=EDGE_CURVE('',#26103,#25735,#9760,.T.); #29365=EDGE_CURVE('',#26104,#25736,#9761,.T.); #29366=EDGE_CURVE('',#26104,#26103,#9762,.T.); #29367=EDGE_CURVE('',#26105,#25729,#9763,.T.); #29368=EDGE_CURVE('',#26106,#25730,#9764,.T.); #29369=EDGE_CURVE('',#26106,#26105,#9765,.T.); #29370=EDGE_CURVE('',#26107,#25723,#9766,.T.); #29371=EDGE_CURVE('',#26108,#25724,#9767,.T.); #29372=EDGE_CURVE('',#26108,#26107,#9768,.T.); #29373=EDGE_CURVE('',#26109,#25717,#9769,.T.); #29374=EDGE_CURVE('',#26110,#25718,#9770,.T.); #29375=EDGE_CURVE('',#26110,#26109,#9771,.T.); #29376=EDGE_CURVE('',#26029,#26111,#9772,.T.); #29377=EDGE_CURVE('',#26112,#26111,#9773,.T.); #29378=EDGE_CURVE('',#26030,#26112,#9774,.T.); #29379=EDGE_CURVE('',#26021,#26113,#9775,.T.); #29380=EDGE_CURVE('',#26114,#26113,#9776,.T.); #29381=EDGE_CURVE('',#26022,#26114,#9777,.T.); #29382=EDGE_CURVE('',#26013,#26115,#9778,.T.); #29383=EDGE_CURVE('',#26116,#26115,#9779,.T.); #29384=EDGE_CURVE('',#26014,#26116,#9780,.T.); #29385=EDGE_CURVE('',#26005,#26117,#9781,.T.); #29386=EDGE_CURVE('',#26118,#26117,#9782,.T.); #29387=EDGE_CURVE('',#26006,#26118,#9783,.T.); #29388=EDGE_CURVE('',#25997,#26119,#9784,.T.); #29389=EDGE_CURVE('',#26120,#26119,#9785,.T.); #29390=EDGE_CURVE('',#25998,#26120,#9786,.T.); #29391=EDGE_CURVE('',#25989,#26121,#9787,.T.); #29392=EDGE_CURVE('',#26122,#26121,#9788,.T.); #29393=EDGE_CURVE('',#25990,#26122,#9789,.T.); #29394=EDGE_CURVE('',#25981,#26123,#9790,.T.); #29395=EDGE_CURVE('',#26124,#26123,#9791,.T.); #29396=EDGE_CURVE('',#25982,#26124,#9792,.T.); #29397=EDGE_CURVE('',#25973,#26125,#9793,.T.); #29398=EDGE_CURVE('',#26126,#26125,#9794,.T.); #29399=EDGE_CURVE('',#25974,#26126,#9795,.T.); #29400=EDGE_CURVE('',#26093,#26127,#9796,.T.); #29401=EDGE_CURVE('',#26128,#26127,#9797,.T.); #29402=EDGE_CURVE('',#26094,#26128,#9798,.T.); #29403=EDGE_CURVE('',#26085,#26129,#9799,.T.); #29404=EDGE_CURVE('',#26130,#26129,#9800,.T.); #29405=EDGE_CURVE('',#26086,#26130,#9801,.T.); #29406=EDGE_CURVE('',#26077,#26131,#9802,.T.); #29407=EDGE_CURVE('',#26132,#26131,#9803,.T.); #29408=EDGE_CURVE('',#26078,#26132,#9804,.T.); #29409=EDGE_CURVE('',#26069,#26133,#9805,.T.); #29410=EDGE_CURVE('',#26134,#26133,#9806,.T.); #29411=EDGE_CURVE('',#26070,#26134,#9807,.T.); #29412=EDGE_CURVE('',#26061,#26135,#9808,.T.); #29413=EDGE_CURVE('',#26136,#26135,#9809,.T.); #29414=EDGE_CURVE('',#26062,#26136,#9810,.T.); #29415=EDGE_CURVE('',#26053,#26137,#9811,.T.); #29416=EDGE_CURVE('',#26138,#26137,#9812,.T.); #29417=EDGE_CURVE('',#26054,#26138,#9813,.T.); #29418=EDGE_CURVE('',#26045,#26139,#9814,.T.); #29419=EDGE_CURVE('',#26140,#26139,#9815,.T.); #29420=EDGE_CURVE('',#26046,#26140,#9816,.T.); #29421=EDGE_CURVE('',#26037,#26141,#9817,.T.); #29422=EDGE_CURVE('',#26142,#26141,#9818,.T.); #29423=EDGE_CURVE('',#26038,#26142,#9819,.T.); #29424=EDGE_CURVE('',#26143,#25807,#9820,.T.); #29425=EDGE_CURVE('',#26144,#25808,#9821,.T.); #29426=EDGE_CURVE('',#26144,#26143,#9822,.T.); #29427=EDGE_CURVE('',#26145,#25801,#9823,.T.); #29428=EDGE_CURVE('',#26146,#25802,#9824,.T.); #29429=EDGE_CURVE('',#26146,#26145,#9825,.T.); #29430=EDGE_CURVE('',#26147,#25795,#9826,.T.); #29431=EDGE_CURVE('',#26148,#25796,#9827,.T.); #29432=EDGE_CURVE('',#26148,#26147,#9828,.T.); #29433=EDGE_CURVE('',#26149,#25789,#9829,.T.); #29434=EDGE_CURVE('',#26150,#25790,#9830,.T.); #29435=EDGE_CURVE('',#26150,#26149,#9831,.T.); #29436=EDGE_CURVE('',#26151,#25783,#9832,.T.); #29437=EDGE_CURVE('',#26152,#25784,#9833,.T.); #29438=EDGE_CURVE('',#26152,#26151,#9834,.T.); #29439=EDGE_CURVE('',#26153,#25777,#9835,.T.); #29440=EDGE_CURVE('',#26154,#25778,#9836,.T.); #29441=EDGE_CURVE('',#26154,#26153,#9837,.T.); #29442=EDGE_CURVE('',#26155,#25771,#9838,.T.); #29443=EDGE_CURVE('',#26156,#25772,#9839,.T.); #29444=EDGE_CURVE('',#26156,#26155,#9840,.T.); #29445=EDGE_CURVE('',#26157,#25765,#9841,.T.); #29446=EDGE_CURVE('',#26158,#25766,#9842,.T.); #29447=EDGE_CURVE('',#26158,#26157,#9843,.T.); #29448=EDGE_CURVE('',#26159,#26160,#9844,.T.); #29449=EDGE_CURVE('',#26161,#26160,#9845,.T.); #29450=EDGE_CURVE('',#26162,#26161,#9846,.T.); #29451=EDGE_CURVE('',#26162,#26159,#9847,.T.); #29452=EDGE_CURVE('',#26160,#26163,#9848,.T.); #29453=EDGE_CURVE('',#26164,#26163,#9849,.T.); #29454=EDGE_CURVE('',#26161,#26164,#9850,.T.); #29455=EDGE_CURVE('',#26163,#26165,#9851,.T.); #29456=EDGE_CURVE('',#26166,#26165,#9852,.T.); #29457=EDGE_CURVE('',#26164,#26166,#9853,.T.); #29458=EDGE_CURVE('',#26165,#26167,#9854,.T.); #29459=EDGE_CURVE('',#26168,#26167,#9855,.T.); #29460=EDGE_CURVE('',#26166,#26168,#9856,.T.); #29461=EDGE_CURVE('',#26167,#26169,#9857,.T.); #29462=EDGE_CURVE('',#26170,#26169,#9858,.T.); #29463=EDGE_CURVE('',#26168,#26170,#9859,.T.); #29464=EDGE_CURVE('',#26169,#26171,#9860,.T.); #29465=EDGE_CURVE('',#26172,#26171,#9861,.T.); #29466=EDGE_CURVE('',#26170,#26172,#9862,.T.); #29467=EDGE_CURVE('',#26171,#26173,#9863,.T.); #29468=EDGE_CURVE('',#26174,#26173,#9864,.T.); #29469=EDGE_CURVE('',#26172,#26174,#9865,.T.); #29470=EDGE_CURVE('',#26173,#26175,#9866,.T.); #29471=EDGE_CURVE('',#26176,#26175,#9867,.T.); #29472=EDGE_CURVE('',#26174,#26176,#9868,.T.); #29473=EDGE_CURVE('',#26177,#26178,#9869,.T.); #29474=EDGE_CURVE('',#26178,#26179,#9870,.T.); #29475=EDGE_CURVE('',#26179,#26162,#9871,.T.); #29476=EDGE_CURVE('',#26176,#26177,#9872,.T.); #29477=EDGE_CURVE('',#26180,#26178,#9873,.T.); #29478=EDGE_CURVE('',#26181,#26177,#9874,.T.); #29479=EDGE_CURVE('',#26181,#26180,#9875,.T.); #29480=EDGE_CURVE('',#26182,#25712,#9876,.T.); #29481=EDGE_CURVE('',#26182,#26183,#8103,.T.); #29482=EDGE_CURVE('',#26183,#26184,#8104,.T.); #29483=EDGE_CURVE('',#25710,#26184,#9877,.T.); #29484=EDGE_CURVE('',#26185,#25886,#9878,.T.); #29485=EDGE_CURVE('',#26186,#25886,#9879,.T.); #29486=EDGE_CURVE('',#26187,#26186,#9880,.T.); #29487=EDGE_CURVE('',#26185,#26187,#9881,.T.); #29488=EDGE_CURVE('',#26188,#25635,#9882,.T.); #29489=EDGE_CURVE('',#26188,#26189,#9883,.T.); #29490=EDGE_CURVE('',#26189,#26182,#9884,.T.); #29491=EDGE_CURVE('',#26190,#26184,#9885,.T.); #29492=EDGE_CURVE('',#26190,#26191,#9886,.T.); #29493=EDGE_CURVE('',#26191,#25675,#9887,.T.); #29494=EDGE_CURVE('',#26192,#26191,#8105,.T.); #29495=EDGE_CURVE('',#26192,#25676,#9888,.T.); #29496=EDGE_CURVE('',#26193,#26192,#9889,.T.); #29497=EDGE_CURVE('',#26193,#25677,#9890,.T.); #29498=EDGE_CURVE('',#26193,#26194,#8106,.T.); #29499=EDGE_CURVE('',#25678,#26194,#9891,.T.); #29500=EDGE_CURVE('',#26195,#26196,#8107,.T.); #29501=EDGE_CURVE('',#26196,#26197,#9892,.T.); #29502=EDGE_CURVE('',#26198,#26197,#8108,.T.); #29503=EDGE_CURVE('',#26195,#26198,#9893,.T.); #29504=EDGE_CURVE('',#26196,#26199,#8109,.T.); #29505=EDGE_CURVE('',#26199,#26200,#9894,.T.); #29506=EDGE_CURVE('',#26197,#26200,#8110,.T.); #29507=EDGE_CURVE('',#26199,#26201,#9895,.T.); #29508=EDGE_CURVE('',#26201,#25679,#9896,.T.); #29509=EDGE_CURVE('',#26200,#26194,#9897,.T.); #29510=EDGE_CURVE('',#26202,#26201,#8111,.T.); #29511=EDGE_CURVE('',#26202,#25680,#9898,.T.); #29512=EDGE_CURVE('',#26203,#26202,#9899,.T.); #29513=EDGE_CURVE('',#26203,#25681,#9900,.T.); #29514=EDGE_CURVE('',#26203,#26204,#8112,.T.); #29515=EDGE_CURVE('',#25682,#26204,#9901,.T.); #29516=EDGE_CURVE('',#26205,#26206,#8113,.T.); #29517=EDGE_CURVE('',#26206,#26207,#9902,.T.); #29518=EDGE_CURVE('',#26208,#26207,#8114,.T.); #29519=EDGE_CURVE('',#26205,#26208,#9903,.T.); #29520=EDGE_CURVE('',#26206,#26209,#8115,.T.); #29521=EDGE_CURVE('',#26209,#26210,#9904,.T.); #29522=EDGE_CURVE('',#26207,#26210,#8116,.T.); #29523=EDGE_CURVE('',#26209,#26211,#9905,.T.); #29524=EDGE_CURVE('',#26211,#25683,#9906,.T.); #29525=EDGE_CURVE('',#26210,#26204,#9907,.T.); #29526=EDGE_CURVE('',#26212,#26211,#8117,.T.); #29527=EDGE_CURVE('',#26212,#25684,#9908,.T.); #29528=EDGE_CURVE('',#26213,#26212,#9909,.T.); #29529=EDGE_CURVE('',#26213,#25685,#9910,.T.); #29530=EDGE_CURVE('',#26213,#26214,#8118,.T.); #29531=EDGE_CURVE('',#25686,#26214,#9911,.T.); #29532=EDGE_CURVE('',#26215,#26216,#8119,.T.); #29533=EDGE_CURVE('',#26216,#26217,#9912,.T.); #29534=EDGE_CURVE('',#26218,#26217,#8120,.T.); #29535=EDGE_CURVE('',#26215,#26218,#9913,.T.); #29536=EDGE_CURVE('',#26216,#26219,#8121,.T.); #29537=EDGE_CURVE('',#26219,#26220,#9914,.T.); #29538=EDGE_CURVE('',#26217,#26220,#8122,.T.); #29539=EDGE_CURVE('',#26219,#26221,#9915,.T.); #29540=EDGE_CURVE('',#26221,#25687,#9916,.T.); #29541=EDGE_CURVE('',#26220,#26214,#9917,.T.); #29542=EDGE_CURVE('',#26222,#26221,#8123,.T.); #29543=EDGE_CURVE('',#26222,#25688,#9918,.T.); #29544=EDGE_CURVE('',#26223,#26222,#9919,.T.); #29545=EDGE_CURVE('',#26223,#25689,#9920,.T.); #29546=EDGE_CURVE('',#26223,#26224,#8124,.T.); #29547=EDGE_CURVE('',#25690,#26224,#9921,.T.); #29548=EDGE_CURVE('',#26225,#26226,#8125,.T.); #29549=EDGE_CURVE('',#26226,#26227,#9922,.T.); #29550=EDGE_CURVE('',#26228,#26227,#8126,.T.); #29551=EDGE_CURVE('',#26225,#26228,#9923,.T.); #29552=EDGE_CURVE('',#26226,#26229,#8127,.T.); #29553=EDGE_CURVE('',#26229,#26230,#9924,.T.); #29554=EDGE_CURVE('',#26227,#26230,#8128,.T.); #29555=EDGE_CURVE('',#26229,#26231,#9925,.T.); #29556=EDGE_CURVE('',#26231,#25691,#9926,.T.); #29557=EDGE_CURVE('',#26230,#26224,#9927,.T.); #29558=EDGE_CURVE('',#26232,#26231,#8129,.T.); #29559=EDGE_CURVE('',#26232,#25692,#9928,.T.); #29560=EDGE_CURVE('',#26233,#26232,#9929,.T.); #29561=EDGE_CURVE('',#26233,#25693,#9930,.T.); #29562=EDGE_CURVE('',#26233,#26234,#8130,.T.); #29563=EDGE_CURVE('',#25694,#26234,#9931,.T.); #29564=EDGE_CURVE('',#26235,#26236,#8131,.T.); #29565=EDGE_CURVE('',#26236,#26237,#9932,.T.); #29566=EDGE_CURVE('',#26238,#26237,#8132,.T.); #29567=EDGE_CURVE('',#26235,#26238,#9933,.T.); #29568=EDGE_CURVE('',#26236,#26239,#8133,.T.); #29569=EDGE_CURVE('',#26239,#26240,#9934,.T.); #29570=EDGE_CURVE('',#26237,#26240,#8134,.T.); #29571=EDGE_CURVE('',#26239,#26241,#9935,.T.); #29572=EDGE_CURVE('',#26241,#25695,#9936,.T.); #29573=EDGE_CURVE('',#26240,#26234,#9937,.T.); #29574=EDGE_CURVE('',#26242,#26241,#8135,.T.); #29575=EDGE_CURVE('',#26242,#25696,#9938,.T.); #29576=EDGE_CURVE('',#26243,#26242,#9939,.T.); #29577=EDGE_CURVE('',#26243,#25697,#9940,.T.); #29578=EDGE_CURVE('',#26243,#26244,#8136,.T.); #29579=EDGE_CURVE('',#25698,#26244,#9941,.T.); #29580=EDGE_CURVE('',#26245,#26246,#8137,.T.); #29581=EDGE_CURVE('',#26246,#26247,#9942,.T.); #29582=EDGE_CURVE('',#26248,#26247,#8138,.T.); #29583=EDGE_CURVE('',#26245,#26248,#9943,.T.); #29584=EDGE_CURVE('',#26246,#26249,#8139,.T.); #29585=EDGE_CURVE('',#26249,#26250,#9944,.T.); #29586=EDGE_CURVE('',#26247,#26250,#8140,.T.); #29587=EDGE_CURVE('',#26249,#26251,#9945,.T.); #29588=EDGE_CURVE('',#26251,#25699,#9946,.T.); #29589=EDGE_CURVE('',#26250,#26244,#9947,.T.); #29590=EDGE_CURVE('',#26252,#26251,#8141,.T.); #29591=EDGE_CURVE('',#26252,#25700,#9948,.T.); #29592=EDGE_CURVE('',#26253,#26252,#9949,.T.); #29593=EDGE_CURVE('',#26253,#25701,#9950,.T.); #29594=EDGE_CURVE('',#26253,#26254,#8142,.T.); #29595=EDGE_CURVE('',#25702,#26254,#9951,.T.); #29596=EDGE_CURVE('',#26255,#26256,#8143,.T.); #29597=EDGE_CURVE('',#26256,#26257,#9952,.T.); #29598=EDGE_CURVE('',#26258,#26257,#8144,.T.); #29599=EDGE_CURVE('',#26255,#26258,#9953,.T.); #29600=EDGE_CURVE('',#26256,#26259,#8145,.T.); #29601=EDGE_CURVE('',#26259,#26260,#9954,.T.); #29602=EDGE_CURVE('',#26257,#26260,#8146,.T.); #29603=EDGE_CURVE('',#26259,#26261,#9955,.T.); #29604=EDGE_CURVE('',#26261,#25703,#9956,.T.); #29605=EDGE_CURVE('',#26260,#26254,#9957,.T.); #29606=EDGE_CURVE('',#26262,#26261,#8147,.T.); #29607=EDGE_CURVE('',#26262,#25704,#9958,.T.); #29608=EDGE_CURVE('',#26263,#26262,#9959,.T.); #29609=EDGE_CURVE('',#26263,#25705,#9960,.T.); #29610=EDGE_CURVE('',#26263,#26264,#8148,.T.); #29611=EDGE_CURVE('',#25642,#26264,#9961,.T.); #29612=EDGE_CURVE('',#26265,#26266,#9962,.T.); #29613=EDGE_CURVE('',#26265,#26267,#8149,.T.); #29614=EDGE_CURVE('',#26267,#26268,#9963,.T.); #29615=EDGE_CURVE('',#26266,#26268,#8150,.T.); #29616=EDGE_CURVE('',#26267,#26269,#8151,.T.); #29617=EDGE_CURVE('',#26269,#26270,#9964,.T.); #29618=EDGE_CURVE('',#26268,#26270,#8152,.T.); #29619=EDGE_CURVE('',#26269,#26271,#9965,.T.); #29620=EDGE_CURVE('',#26271,#25641,#9966,.T.); #29621=EDGE_CURVE('',#26270,#26264,#9967,.T.); #29622=EDGE_CURVE('',#26272,#26271,#8153,.T.); #29623=EDGE_CURVE('',#26272,#25643,#9968,.T.); #29624=EDGE_CURVE('',#26273,#26272,#9969,.T.); #29625=EDGE_CURVE('',#26273,#25644,#9970,.T.); #29626=EDGE_CURVE('',#26273,#26274,#8154,.T.); #29627=EDGE_CURVE('',#25645,#26274,#9971,.T.); #29628=EDGE_CURVE('',#26275,#26276,#8155,.T.); #29629=EDGE_CURVE('',#26276,#26277,#9972,.T.); #29630=EDGE_CURVE('',#26278,#26277,#8156,.T.); #29631=EDGE_CURVE('',#26275,#26278,#9973,.T.); #29632=EDGE_CURVE('',#26276,#26279,#8157,.T.); #29633=EDGE_CURVE('',#26279,#26280,#9974,.T.); #29634=EDGE_CURVE('',#26277,#26280,#8158,.T.); #29635=EDGE_CURVE('',#26279,#26281,#9975,.T.); #29636=EDGE_CURVE('',#26281,#25646,#9976,.T.); #29637=EDGE_CURVE('',#26280,#26274,#9977,.T.); #29638=EDGE_CURVE('',#26282,#26281,#8159,.T.); #29639=EDGE_CURVE('',#26282,#25647,#9978,.T.); #29640=EDGE_CURVE('',#26283,#26282,#9979,.T.); #29641=EDGE_CURVE('',#26283,#25648,#9980,.T.); #29642=EDGE_CURVE('',#26283,#26284,#8160,.T.); #29643=EDGE_CURVE('',#25649,#26284,#9981,.T.); #29644=EDGE_CURVE('',#26285,#26286,#8161,.T.); #29645=EDGE_CURVE('',#26286,#26287,#9982,.T.); #29646=EDGE_CURVE('',#26288,#26287,#8162,.T.); #29647=EDGE_CURVE('',#26285,#26288,#9983,.T.); #29648=EDGE_CURVE('',#26286,#26289,#8163,.T.); #29649=EDGE_CURVE('',#26289,#26290,#9984,.T.); #29650=EDGE_CURVE('',#26287,#26290,#8164,.T.); #29651=EDGE_CURVE('',#26289,#26291,#9985,.T.); #29652=EDGE_CURVE('',#26291,#25650,#9986,.T.); #29653=EDGE_CURVE('',#26290,#26284,#9987,.T.); #29654=EDGE_CURVE('',#26292,#26291,#8165,.T.); #29655=EDGE_CURVE('',#26292,#25651,#9988,.T.); #29656=EDGE_CURVE('',#26293,#26292,#9989,.T.); #29657=EDGE_CURVE('',#26293,#25652,#9990,.T.); #29658=EDGE_CURVE('',#26293,#26294,#8166,.T.); #29659=EDGE_CURVE('',#25653,#26294,#9991,.T.); #29660=EDGE_CURVE('',#26295,#26296,#8167,.T.); #29661=EDGE_CURVE('',#26296,#26297,#9992,.T.); #29662=EDGE_CURVE('',#26298,#26297,#8168,.T.); #29663=EDGE_CURVE('',#26295,#26298,#9993,.T.); #29664=EDGE_CURVE('',#26296,#26299,#8169,.T.); #29665=EDGE_CURVE('',#26299,#26300,#9994,.T.); #29666=EDGE_CURVE('',#26297,#26300,#8170,.T.); #29667=EDGE_CURVE('',#26299,#26301,#9995,.T.); #29668=EDGE_CURVE('',#26301,#25654,#9996,.T.); #29669=EDGE_CURVE('',#26300,#26294,#9997,.T.); #29670=EDGE_CURVE('',#26302,#26301,#8171,.T.); #29671=EDGE_CURVE('',#26302,#25655,#9998,.T.); #29672=EDGE_CURVE('',#26303,#26302,#9999,.T.); #29673=EDGE_CURVE('',#26303,#25656,#10000,.T.); #29674=EDGE_CURVE('',#26303,#26304,#8172,.T.); #29675=EDGE_CURVE('',#25657,#26304,#10001,.T.); #29676=EDGE_CURVE('',#26305,#26306,#8173,.T.); #29677=EDGE_CURVE('',#26306,#26307,#10002,.T.); #29678=EDGE_CURVE('',#26308,#26307,#8174,.T.); #29679=EDGE_CURVE('',#26305,#26308,#10003,.T.); #29680=EDGE_CURVE('',#26306,#26309,#8175,.T.); #29681=EDGE_CURVE('',#26309,#26310,#10004,.T.); #29682=EDGE_CURVE('',#26307,#26310,#8176,.T.); #29683=EDGE_CURVE('',#26309,#26311,#10005,.T.); #29684=EDGE_CURVE('',#26311,#25658,#10006,.T.); #29685=EDGE_CURVE('',#26310,#26304,#10007,.T.); #29686=EDGE_CURVE('',#26312,#26311,#8177,.T.); #29687=EDGE_CURVE('',#26312,#25659,#10008,.T.); #29688=EDGE_CURVE('',#26313,#26312,#10009,.T.); #29689=EDGE_CURVE('',#26313,#25660,#10010,.T.); #29690=EDGE_CURVE('',#26313,#26314,#8178,.T.); #29691=EDGE_CURVE('',#25661,#26314,#10011,.T.); #29692=EDGE_CURVE('',#26315,#26316,#8179,.T.); #29693=EDGE_CURVE('',#26316,#26317,#10012,.T.); #29694=EDGE_CURVE('',#26318,#26317,#8180,.T.); #29695=EDGE_CURVE('',#26315,#26318,#10013,.T.); #29696=EDGE_CURVE('',#26316,#26319,#8181,.T.); #29697=EDGE_CURVE('',#26319,#26320,#10014,.T.); #29698=EDGE_CURVE('',#26317,#26320,#8182,.T.); #29699=EDGE_CURVE('',#26319,#26321,#10015,.T.); #29700=EDGE_CURVE('',#26321,#25662,#10016,.T.); #29701=EDGE_CURVE('',#26320,#26314,#10017,.T.); #29702=EDGE_CURVE('',#26322,#26321,#8183,.T.); #29703=EDGE_CURVE('',#26322,#25663,#10018,.T.); #29704=EDGE_CURVE('',#26323,#26322,#10019,.T.); #29705=EDGE_CURVE('',#26323,#25664,#10020,.T.); #29706=EDGE_CURVE('',#26323,#26324,#8184,.T.); #29707=EDGE_CURVE('',#25665,#26324,#10021,.T.); #29708=EDGE_CURVE('',#26325,#26326,#8185,.T.); #29709=EDGE_CURVE('',#26326,#26327,#10022,.T.); #29710=EDGE_CURVE('',#26328,#26327,#8186,.T.); #29711=EDGE_CURVE('',#26325,#26328,#10023,.T.); #29712=EDGE_CURVE('',#26326,#26329,#8187,.T.); #29713=EDGE_CURVE('',#26329,#26330,#10024,.T.); #29714=EDGE_CURVE('',#26327,#26330,#8188,.T.); #29715=EDGE_CURVE('',#26329,#26331,#10025,.T.); #29716=EDGE_CURVE('',#26331,#25666,#10026,.T.); #29717=EDGE_CURVE('',#26330,#26324,#10027,.T.); #29718=EDGE_CURVE('',#26332,#26331,#8189,.T.); #29719=EDGE_CURVE('',#26332,#25667,#10028,.T.); #29720=EDGE_CURVE('',#26333,#26332,#10029,.T.); #29721=EDGE_CURVE('',#26333,#25668,#10030,.T.); #29722=EDGE_CURVE('',#26333,#26334,#8190,.T.); #29723=EDGE_CURVE('',#25669,#26334,#10031,.T.); #29724=EDGE_CURVE('',#26335,#26336,#8191,.T.); #29725=EDGE_CURVE('',#26336,#26337,#10032,.T.); #29726=EDGE_CURVE('',#26338,#26337,#8192,.T.); #29727=EDGE_CURVE('',#26335,#26338,#10033,.T.); #29728=EDGE_CURVE('',#26336,#26339,#8193,.T.); #29729=EDGE_CURVE('',#26339,#26340,#10034,.T.); #29730=EDGE_CURVE('',#26337,#26340,#8194,.T.); #29731=EDGE_CURVE('',#26339,#26341,#10035,.T.); #29732=EDGE_CURVE('',#26341,#25670,#10036,.T.); #29733=EDGE_CURVE('',#26340,#26334,#10037,.T.); #29734=EDGE_CURVE('',#26342,#26341,#8195,.T.); #29735=EDGE_CURVE('',#26342,#25671,#10038,.T.); #29736=EDGE_CURVE('',#26343,#26342,#10039,.T.); #29737=EDGE_CURVE('',#26343,#25672,#10040,.T.); #29738=EDGE_CURVE('',#26343,#26344,#8196,.T.); #29739=EDGE_CURVE('',#25673,#26344,#10041,.T.); #29740=EDGE_CURVE('',#25639,#26345,#10042,.T.); #29741=EDGE_CURVE('',#26345,#26346,#10043,.T.); #29742=EDGE_CURVE('',#25894,#26346,#10044,.T.); #29743=EDGE_CURVE('',#26185,#26188,#10045,.T.); #29744=EDGE_CURVE('',#26347,#26348,#10046,.T.); #29745=EDGE_CURVE('',#25894,#26347,#10047,.T.); #29746=EDGE_CURVE('',#26348,#26346,#10048,.T.); #29747=EDGE_CURVE('',#26349,#26350,#10049,.T.); #29748=EDGE_CURVE('',#26350,#26345,#10050,.T.); #29749=EDGE_CURVE('',#26349,#25711,#10051,.T.); #29750=EDGE_CURVE('',#26351,#26344,#10052,.T.); #29751=EDGE_CURVE('',#26352,#26351,#10053,.T.); #29752=EDGE_CURVE('',#25707,#26352,#10054,.T.); #29753=EDGE_CURVE('',#26349,#26353,#8197,.T.); #29754=EDGE_CURVE('',#26353,#26352,#8198,.T.); #29755=EDGE_CURVE('',#26354,#26355,#10055,.T.); #29756=EDGE_CURVE('',#26356,#26354,#10056,.T.); #29757=EDGE_CURVE('',#26356,#26357,#10057,.T.); #29758=EDGE_CURVE('',#26357,#26355,#10058,.T.); #29759=EDGE_CURVE('',#26358,#26354,#10059,.T.); #29760=EDGE_CURVE('',#26359,#26358,#10060,.T.); #29761=EDGE_CURVE('',#26359,#26356,#10061,.T.); #29762=EDGE_CURVE('',#26360,#26358,#10062,.T.); #29763=EDGE_CURVE('',#26361,#26360,#10063,.T.); #29764=EDGE_CURVE('',#26361,#26359,#10064,.T.); #29765=EDGE_CURVE('',#26362,#26360,#10065,.T.); #29766=EDGE_CURVE('',#26363,#26362,#10066,.T.); #29767=EDGE_CURVE('',#26363,#26361,#10067,.T.); #29768=EDGE_CURVE('',#26364,#26362,#10068,.T.); #29769=EDGE_CURVE('',#26365,#26364,#10069,.T.); #29770=EDGE_CURVE('',#26365,#26363,#10070,.T.); #29771=EDGE_CURVE('',#26366,#26364,#10071,.T.); #29772=EDGE_CURVE('',#26367,#26366,#10072,.T.); #29773=EDGE_CURVE('',#26367,#26365,#10073,.T.); #29774=EDGE_CURVE('',#26368,#26366,#10074,.T.); #29775=EDGE_CURVE('',#26369,#26368,#10075,.T.); #29776=EDGE_CURVE('',#26369,#26367,#10076,.T.); #29777=EDGE_CURVE('',#26370,#26368,#10077,.T.); #29778=EDGE_CURVE('',#26371,#26370,#10078,.T.); #29779=EDGE_CURVE('',#26371,#26369,#10079,.T.); #29780=EDGE_CURVE('',#26372,#26373,#10080,.T.); #29781=EDGE_CURVE('',#26373,#26374,#10081,.T.); #29782=EDGE_CURVE('',#26375,#26374,#10082,.T.); #29783=EDGE_CURVE('',#26372,#26375,#10083,.T.); #29784=EDGE_CURVE('',#26357,#26373,#10084,.T.); #29785=EDGE_CURVE('',#26376,#26371,#10085,.T.); #29786=EDGE_CURVE('',#26374,#26376,#10086,.T.); #29787=EDGE_CURVE('',#26377,#26378,#10087,.T.); #29788=EDGE_CURVE('',#26378,#26379,#10088,.T.); #29789=EDGE_CURVE('',#26380,#26379,#10089,.T.); #29790=EDGE_CURVE('',#26380,#26377,#10090,.T.); #29791=EDGE_CURVE('',#26381,#26109,#10091,.T.); #29792=EDGE_CURVE('',#26382,#26381,#10092,.T.); #29793=EDGE_CURVE('',#25714,#26382,#10093,.T.); #29794=EDGE_CURVE('',#26383,#26382,#10094,.T.); #29795=EDGE_CURVE('',#25715,#26383,#10095,.T.); #29796=EDGE_CURVE('',#26384,#26110,#10096,.T.); #29797=EDGE_CURVE('',#26383,#26384,#10097,.T.); #29798=EDGE_CURVE('',#26385,#26386,#10098,.T.); #29799=EDGE_CURVE('',#26386,#26387,#10099,.T.); #29800=EDGE_CURVE('',#26388,#26387,#10100,.T.); #29801=EDGE_CURVE('',#26388,#26385,#10101,.T.); #29802=EDGE_CURVE('',#26389,#26107,#10102,.T.); #29803=EDGE_CURVE('',#26390,#26389,#10103,.T.); #29804=EDGE_CURVE('',#25720,#26390,#10104,.T.); #29805=EDGE_CURVE('',#26391,#26390,#10105,.T.); #29806=EDGE_CURVE('',#25721,#26391,#10106,.T.); #29807=EDGE_CURVE('',#26392,#26108,#10107,.T.); #29808=EDGE_CURVE('',#26391,#26392,#10108,.T.); #29809=EDGE_CURVE('',#26393,#26394,#10109,.T.); #29810=EDGE_CURVE('',#26394,#26395,#10110,.T.); #29811=EDGE_CURVE('',#26396,#26395,#10111,.T.); #29812=EDGE_CURVE('',#26396,#26393,#10112,.T.); #29813=EDGE_CURVE('',#26397,#26105,#10113,.T.); #29814=EDGE_CURVE('',#26398,#26397,#10114,.T.); #29815=EDGE_CURVE('',#25726,#26398,#10115,.T.); #29816=EDGE_CURVE('',#26399,#26398,#10116,.T.); #29817=EDGE_CURVE('',#25727,#26399,#10117,.T.); #29818=EDGE_CURVE('',#26400,#26106,#10118,.T.); #29819=EDGE_CURVE('',#26399,#26400,#10119,.T.); #29820=EDGE_CURVE('',#26401,#26402,#10120,.T.); #29821=EDGE_CURVE('',#26402,#26403,#10121,.T.); #29822=EDGE_CURVE('',#26404,#26403,#10122,.T.); #29823=EDGE_CURVE('',#26404,#26401,#10123,.T.); #29824=EDGE_CURVE('',#26405,#26103,#10124,.T.); #29825=EDGE_CURVE('',#26406,#26405,#10125,.T.); #29826=EDGE_CURVE('',#25732,#26406,#10126,.T.); #29827=EDGE_CURVE('',#26407,#26406,#10127,.T.); #29828=EDGE_CURVE('',#25733,#26407,#10128,.T.); #29829=EDGE_CURVE('',#26408,#26104,#10129,.T.); #29830=EDGE_CURVE('',#26407,#26408,#10130,.T.); #29831=EDGE_CURVE('',#26409,#26410,#10131,.T.); #29832=EDGE_CURVE('',#26410,#26411,#10132,.T.); #29833=EDGE_CURVE('',#26412,#26411,#10133,.T.); #29834=EDGE_CURVE('',#26412,#26409,#10134,.T.); #29835=EDGE_CURVE('',#26413,#26101,#10135,.T.); #29836=EDGE_CURVE('',#26414,#26413,#10136,.T.); #29837=EDGE_CURVE('',#25738,#26414,#10137,.T.); #29838=EDGE_CURVE('',#26415,#26414,#10138,.T.); #29839=EDGE_CURVE('',#25739,#26415,#10139,.T.); #29840=EDGE_CURVE('',#26416,#26102,#10140,.T.); #29841=EDGE_CURVE('',#26415,#26416,#10141,.T.); #29842=EDGE_CURVE('',#26417,#26418,#10142,.T.); #29843=EDGE_CURVE('',#26418,#26419,#10143,.T.); #29844=EDGE_CURVE('',#26420,#26419,#10144,.T.); #29845=EDGE_CURVE('',#26420,#26417,#10145,.T.); #29846=EDGE_CURVE('',#26421,#26099,#10146,.T.); #29847=EDGE_CURVE('',#26422,#26421,#10147,.T.); #29848=EDGE_CURVE('',#25744,#26422,#10148,.T.); #29849=EDGE_CURVE('',#26423,#26422,#10149,.T.); #29850=EDGE_CURVE('',#25745,#26423,#10150,.T.); #29851=EDGE_CURVE('',#26424,#26100,#10151,.T.); #29852=EDGE_CURVE('',#26423,#26424,#10152,.T.); #29853=EDGE_CURVE('',#26425,#26426,#10153,.T.); #29854=EDGE_CURVE('',#26426,#26427,#10154,.T.); #29855=EDGE_CURVE('',#26428,#26427,#10155,.T.); #29856=EDGE_CURVE('',#26428,#26425,#10156,.T.); #29857=EDGE_CURVE('',#26429,#26097,#10157,.T.); #29858=EDGE_CURVE('',#26430,#26429,#10158,.T.); #29859=EDGE_CURVE('',#25750,#26430,#10159,.T.); #29860=EDGE_CURVE('',#26431,#26430,#10160,.T.); #29861=EDGE_CURVE('',#25751,#26431,#10161,.T.); #29862=EDGE_CURVE('',#26432,#26098,#10162,.T.); #29863=EDGE_CURVE('',#26431,#26432,#10163,.T.); #29864=EDGE_CURVE('',#26433,#26434,#10164,.T.); #29865=EDGE_CURVE('',#26434,#26435,#10165,.T.); #29866=EDGE_CURVE('',#26436,#26435,#10166,.T.); #29867=EDGE_CURVE('',#26436,#26433,#10167,.T.); #29868=EDGE_CURVE('',#26437,#26095,#10168,.T.); #29869=EDGE_CURVE('',#26438,#26437,#10169,.T.); #29870=EDGE_CURVE('',#25756,#26438,#10170,.T.); #29871=EDGE_CURVE('',#26439,#26438,#10171,.T.); #29872=EDGE_CURVE('',#25757,#26439,#10172,.T.); #29873=EDGE_CURVE('',#26440,#26096,#10173,.T.); #29874=EDGE_CURVE('',#26439,#26440,#10174,.T.); #29875=EDGE_CURVE('',#26441,#26442,#10175,.T.); #29876=EDGE_CURVE('',#26442,#26443,#10176,.T.); #29877=EDGE_CURVE('',#26444,#26443,#10177,.T.); #29878=EDGE_CURVE('',#26444,#26441,#10178,.T.); #29879=EDGE_CURVE('',#26445,#26157,#10179,.T.); #29880=EDGE_CURVE('',#26446,#26445,#10180,.T.); #29881=EDGE_CURVE('',#25762,#26446,#10181,.T.); #29882=EDGE_CURVE('',#26447,#26446,#10182,.T.); #29883=EDGE_CURVE('',#25763,#26447,#10183,.T.); #29884=EDGE_CURVE('',#26448,#26158,#10184,.T.); #29885=EDGE_CURVE('',#26447,#26448,#10185,.T.); #29886=EDGE_CURVE('',#26449,#26450,#10186,.T.); #29887=EDGE_CURVE('',#26450,#26451,#10187,.T.); #29888=EDGE_CURVE('',#26452,#26451,#10188,.T.); #29889=EDGE_CURVE('',#26452,#26449,#10189,.T.); #29890=EDGE_CURVE('',#26453,#26155,#10190,.T.); #29891=EDGE_CURVE('',#26454,#26453,#10191,.T.); #29892=EDGE_CURVE('',#25768,#26454,#10192,.T.); #29893=EDGE_CURVE('',#26455,#26454,#10193,.T.); #29894=EDGE_CURVE('',#25769,#26455,#10194,.T.); #29895=EDGE_CURVE('',#26456,#26156,#10195,.T.); #29896=EDGE_CURVE('',#26455,#26456,#10196,.T.); #29897=EDGE_CURVE('',#26457,#26458,#10197,.T.); #29898=EDGE_CURVE('',#26458,#26459,#10198,.T.); #29899=EDGE_CURVE('',#26460,#26459,#10199,.T.); #29900=EDGE_CURVE('',#26460,#26457,#10200,.T.); #29901=EDGE_CURVE('',#26461,#26153,#10201,.T.); #29902=EDGE_CURVE('',#26462,#26461,#10202,.T.); #29903=EDGE_CURVE('',#25774,#26462,#10203,.T.); #29904=EDGE_CURVE('',#26463,#26462,#10204,.T.); #29905=EDGE_CURVE('',#25775,#26463,#10205,.T.); #29906=EDGE_CURVE('',#26464,#26154,#10206,.T.); #29907=EDGE_CURVE('',#26463,#26464,#10207,.T.); #29908=EDGE_CURVE('',#26465,#26466,#10208,.T.); #29909=EDGE_CURVE('',#26466,#26467,#10209,.T.); #29910=EDGE_CURVE('',#26468,#26467,#10210,.T.); #29911=EDGE_CURVE('',#26468,#26465,#10211,.T.); #29912=EDGE_CURVE('',#26469,#26151,#10212,.T.); #29913=EDGE_CURVE('',#26470,#26469,#10213,.T.); #29914=EDGE_CURVE('',#25780,#26470,#10214,.T.); #29915=EDGE_CURVE('',#26471,#26470,#10215,.T.); #29916=EDGE_CURVE('',#25781,#26471,#10216,.T.); #29917=EDGE_CURVE('',#26472,#26152,#10217,.T.); #29918=EDGE_CURVE('',#26471,#26472,#10218,.T.); #29919=EDGE_CURVE('',#26473,#26474,#10219,.T.); #29920=EDGE_CURVE('',#26474,#26475,#10220,.T.); #29921=EDGE_CURVE('',#26476,#26475,#10221,.T.); #29922=EDGE_CURVE('',#26476,#26473,#10222,.T.); #29923=EDGE_CURVE('',#26477,#26149,#10223,.T.); #29924=EDGE_CURVE('',#26478,#26477,#10224,.T.); #29925=EDGE_CURVE('',#25786,#26478,#10225,.T.); #29926=EDGE_CURVE('',#26479,#26478,#10226,.T.); #29927=EDGE_CURVE('',#25787,#26479,#10227,.T.); #29928=EDGE_CURVE('',#26480,#26150,#10228,.T.); #29929=EDGE_CURVE('',#26479,#26480,#10229,.T.); #29930=EDGE_CURVE('',#26481,#26482,#10230,.T.); #29931=EDGE_CURVE('',#26482,#26483,#10231,.T.); #29932=EDGE_CURVE('',#26484,#26483,#10232,.T.); #29933=EDGE_CURVE('',#26484,#26481,#10233,.T.); #29934=EDGE_CURVE('',#26485,#26147,#10234,.T.); #29935=EDGE_CURVE('',#26486,#26485,#10235,.T.); #29936=EDGE_CURVE('',#25792,#26486,#10236,.T.); #29937=EDGE_CURVE('',#26487,#26486,#10237,.T.); #29938=EDGE_CURVE('',#25793,#26487,#10238,.T.); #29939=EDGE_CURVE('',#26488,#26148,#10239,.T.); #29940=EDGE_CURVE('',#26487,#26488,#10240,.T.); #29941=EDGE_CURVE('',#26489,#26490,#10241,.T.); #29942=EDGE_CURVE('',#26490,#26491,#10242,.T.); #29943=EDGE_CURVE('',#26492,#26491,#10243,.T.); #29944=EDGE_CURVE('',#26492,#26489,#10244,.T.); #29945=EDGE_CURVE('',#26493,#26145,#10245,.T.); #29946=EDGE_CURVE('',#26494,#26493,#10246,.T.); #29947=EDGE_CURVE('',#25798,#26494,#10247,.T.); #29948=EDGE_CURVE('',#26495,#26494,#10248,.T.); #29949=EDGE_CURVE('',#25799,#26495,#10249,.T.); #29950=EDGE_CURVE('',#26496,#26146,#10250,.T.); #29951=EDGE_CURVE('',#26495,#26496,#10251,.T.); #29952=EDGE_CURVE('',#26497,#26498,#10252,.T.); #29953=EDGE_CURVE('',#26498,#26499,#10253,.T.); #29954=EDGE_CURVE('',#26500,#26499,#10254,.T.); #29955=EDGE_CURVE('',#26500,#26497,#10255,.T.); #29956=EDGE_CURVE('',#26501,#26143,#10256,.T.); #29957=EDGE_CURVE('',#26502,#26501,#10257,.T.); #29958=EDGE_CURVE('',#25804,#26502,#10258,.T.); #29959=EDGE_CURVE('',#26503,#26502,#10259,.T.); #29960=EDGE_CURVE('',#25805,#26503,#10260,.T.); #29961=EDGE_CURVE('',#26504,#26144,#10261,.T.); #29962=EDGE_CURVE('',#26503,#26504,#10262,.T.); #29963=EDGE_CURVE('',#25966,#26505,#10263,.T.); #29964=EDGE_CURVE('',#26505,#26506,#10264,.T.); #29965=EDGE_CURVE('',#25813,#26506,#10265,.T.); #29966=EDGE_CURVE('',#26506,#26507,#10266,.T.); #29967=EDGE_CURVE('',#25814,#26507,#10267,.T.); #29968=EDGE_CURVE('',#26507,#26508,#10268,.T.); #29969=EDGE_CURVE('',#25818,#26508,#10269,.T.); #29970=EDGE_CURVE('',#26509,#26508,#10270,.T.); #29971=EDGE_CURVE('',#25819,#26509,#10271,.T.); #29972=EDGE_CURVE('',#26509,#26510,#10272,.T.); #29973=EDGE_CURVE('',#25824,#26510,#10273,.T.); #29974=EDGE_CURVE('',#26510,#26511,#10274,.T.); #29975=EDGE_CURVE('',#25825,#26511,#10275,.T.); #29976=EDGE_CURVE('',#26511,#26512,#10276,.T.); #29977=EDGE_CURVE('',#25828,#26512,#10277,.T.); #29978=EDGE_CURVE('',#26513,#26512,#10278,.T.); #29979=EDGE_CURVE('',#25829,#26513,#10279,.T.); #29980=EDGE_CURVE('',#26513,#26514,#10280,.T.); #29981=EDGE_CURVE('',#25834,#26514,#10281,.T.); #29982=EDGE_CURVE('',#26514,#26515,#10282,.T.); #29983=EDGE_CURVE('',#25835,#26515,#10283,.T.); #29984=EDGE_CURVE('',#26515,#26516,#10284,.T.); #29985=EDGE_CURVE('',#25838,#26516,#10285,.T.); #29986=EDGE_CURVE('',#26517,#26516,#10286,.T.); #29987=EDGE_CURVE('',#25839,#26517,#10287,.T.); #29988=EDGE_CURVE('',#26517,#26518,#10288,.T.); #29989=EDGE_CURVE('',#25844,#26518,#10289,.T.); #29990=EDGE_CURVE('',#26518,#26519,#10290,.T.); #29991=EDGE_CURVE('',#25845,#26519,#10291,.T.); #29992=EDGE_CURVE('',#26519,#26520,#10292,.T.); #29993=EDGE_CURVE('',#25848,#26520,#10293,.T.); #29994=EDGE_CURVE('',#26521,#26520,#10294,.T.); #29995=EDGE_CURVE('',#25849,#26521,#10295,.T.); #29996=EDGE_CURVE('',#26521,#26522,#10296,.T.); #29997=EDGE_CURVE('',#25854,#26522,#10297,.T.); #29998=EDGE_CURVE('',#26522,#26523,#10298,.T.); #29999=EDGE_CURVE('',#25855,#26523,#10299,.T.); #30000=EDGE_CURVE('',#26523,#26524,#10300,.T.); #30001=EDGE_CURVE('',#25858,#26524,#10301,.T.); #30002=EDGE_CURVE('',#26525,#26524,#10302,.T.); #30003=EDGE_CURVE('',#25859,#26525,#10303,.T.); #30004=EDGE_CURVE('',#26525,#26526,#10304,.T.); #30005=EDGE_CURVE('',#25864,#26526,#10305,.T.); #30006=EDGE_CURVE('',#26526,#26527,#10306,.T.); #30007=EDGE_CURVE('',#25865,#26527,#10307,.T.); #30008=EDGE_CURVE('',#26527,#26528,#10308,.T.); #30009=EDGE_CURVE('',#25868,#26528,#10309,.T.); #30010=EDGE_CURVE('',#26529,#26528,#10310,.T.); #30011=EDGE_CURVE('',#25869,#26529,#10311,.T.); #30012=EDGE_CURVE('',#26529,#26530,#10312,.T.); #30013=EDGE_CURVE('',#25874,#26530,#10313,.T.); #30014=EDGE_CURVE('',#26530,#26531,#10314,.T.); #30015=EDGE_CURVE('',#25875,#26531,#10315,.T.); #30016=EDGE_CURVE('',#26531,#26532,#10316,.T.); #30017=EDGE_CURVE('',#25878,#26532,#10317,.T.); #30018=EDGE_CURVE('',#26533,#26532,#10318,.T.); #30019=EDGE_CURVE('',#25879,#26533,#10319,.T.); #30020=EDGE_CURVE('',#26533,#26534,#10320,.T.); #30021=EDGE_CURVE('',#25884,#26534,#10321,.T.); #30022=EDGE_CURVE('',#26534,#26535,#10322,.T.); #30023=EDGE_CURVE('',#25885,#26535,#10323,.T.); #30024=EDGE_CURVE('',#26535,#26536,#10324,.T.); #30025=EDGE_CURVE('',#26186,#26536,#10325,.T.); #30026=EDGE_CURVE('',#26537,#26536,#10326,.T.); #30027=EDGE_CURVE('',#26187,#26537,#10327,.T.); #30028=EDGE_CURVE('',#26538,#26539,#10328,.T.); #30029=EDGE_CURVE('',#26180,#26538,#10329,.T.); #30030=EDGE_CURVE('',#26540,#26181,#10330,.T.); #30031=EDGE_CURVE('',#26541,#26540,#10331,.T.); #30032=EDGE_CURVE('',#26539,#26541,#10332,.T.); #30033=EDGE_CURVE('',#26542,#26543,#10333,.T.); #30034=EDGE_CURVE('',#26543,#26544,#10334,.T.); #30035=EDGE_CURVE('',#26544,#26545,#10335,.T.); #30036=EDGE_CURVE('',#26545,#26372,#10336,.T.); #30037=EDGE_CURVE('',#26375,#26542,#10337,.T.); #30038=EDGE_CURVE('',#26347,#26546,#10338,.T.); #30039=EDGE_CURVE('',#26348,#26547,#10339,.T.); #30040=EDGE_CURVE('',#26546,#26547,#10340,.T.); #30041=EDGE_CURVE('',#26546,#26548,#10341,.T.); #30042=EDGE_CURVE('',#25891,#26548,#10342,.T.); #30043=EDGE_CURVE('',#26548,#26549,#10343,.T.); #30044=EDGE_CURVE('',#25892,#26549,#10344,.T.); #30045=EDGE_CURVE('',#26549,#26550,#10345,.T.); #30046=EDGE_CURVE('',#25896,#26550,#10346,.T.); #30047=EDGE_CURVE('',#26551,#26550,#10347,.T.); #30048=EDGE_CURVE('',#25897,#26551,#10348,.T.); #30049=EDGE_CURVE('',#26551,#26552,#10349,.T.); #30050=EDGE_CURVE('',#25902,#26552,#10350,.T.); #30051=EDGE_CURVE('',#26552,#26553,#10351,.T.); #30052=EDGE_CURVE('',#25903,#26553,#10352,.T.); #30053=EDGE_CURVE('',#26553,#26554,#10353,.T.); #30054=EDGE_CURVE('',#25906,#26554,#10354,.T.); #30055=EDGE_CURVE('',#26555,#26554,#10355,.T.); #30056=EDGE_CURVE('',#25907,#26555,#10356,.T.); #30057=EDGE_CURVE('',#26555,#26556,#10357,.T.); #30058=EDGE_CURVE('',#25912,#26556,#10358,.T.); #30059=EDGE_CURVE('',#26556,#26557,#10359,.T.); #30060=EDGE_CURVE('',#25913,#26557,#10360,.T.); #30061=EDGE_CURVE('',#26557,#26558,#10361,.T.); #30062=EDGE_CURVE('',#25916,#26558,#10362,.T.); #30063=EDGE_CURVE('',#26559,#26558,#10363,.T.); #30064=EDGE_CURVE('',#25917,#26559,#10364,.T.); #30065=EDGE_CURVE('',#26559,#26560,#10365,.T.); #30066=EDGE_CURVE('',#25922,#26560,#10366,.T.); #30067=EDGE_CURVE('',#26560,#26561,#10367,.T.); #30068=EDGE_CURVE('',#25923,#26561,#10368,.T.); #30069=EDGE_CURVE('',#26561,#26562,#10369,.T.); #30070=EDGE_CURVE('',#25926,#26562,#10370,.T.); #30071=EDGE_CURVE('',#26563,#26562,#10371,.T.); #30072=EDGE_CURVE('',#25927,#26563,#10372,.T.); #30073=EDGE_CURVE('',#26563,#26564,#10373,.T.); #30074=EDGE_CURVE('',#25932,#26564,#10374,.T.); #30075=EDGE_CURVE('',#26564,#26565,#10375,.T.); #30076=EDGE_CURVE('',#25933,#26565,#10376,.T.); #30077=EDGE_CURVE('',#26565,#26566,#10377,.T.); #30078=EDGE_CURVE('',#25936,#26566,#10378,.T.); #30079=EDGE_CURVE('',#26567,#26566,#10379,.T.); #30080=EDGE_CURVE('',#25937,#26567,#10380,.T.); #30081=EDGE_CURVE('',#26567,#26568,#10381,.T.); #30082=EDGE_CURVE('',#25942,#26568,#10382,.T.); #30083=EDGE_CURVE('',#26568,#26569,#10383,.T.); #30084=EDGE_CURVE('',#25943,#26569,#10384,.T.); #30085=EDGE_CURVE('',#26569,#26570,#10385,.T.); #30086=EDGE_CURVE('',#25946,#26570,#10386,.T.); #30087=EDGE_CURVE('',#26571,#26570,#10387,.T.); #30088=EDGE_CURVE('',#25947,#26571,#10388,.T.); #30089=EDGE_CURVE('',#26571,#26572,#10389,.T.); #30090=EDGE_CURVE('',#25952,#26572,#10390,.T.); #30091=EDGE_CURVE('',#26572,#26573,#10391,.T.); #30092=EDGE_CURVE('',#25953,#26573,#10392,.T.); #30093=EDGE_CURVE('',#26573,#26574,#10393,.T.); #30094=EDGE_CURVE('',#25956,#26574,#10394,.T.); #30095=EDGE_CURVE('',#26575,#26574,#10395,.T.); #30096=EDGE_CURVE('',#25957,#26575,#10396,.T.); #30097=EDGE_CURVE('',#26575,#26576,#10397,.T.); #30098=EDGE_CURVE('',#25962,#26576,#10398,.T.); #30099=EDGE_CURVE('',#26576,#26577,#10399,.T.); #30100=EDGE_CURVE('',#25963,#26577,#10400,.T.); #30101=EDGE_CURVE('',#26577,#26578,#10401,.T.); #30102=EDGE_CURVE('',#25965,#26578,#10402,.T.); #30103=EDGE_CURVE('',#26505,#26578,#10403,.T.); #30104=EDGE_CURVE('',#26579,#26580,#10404,.T.); #30105=EDGE_CURVE('',#26579,#26581,#10405,.T.); #30106=EDGE_CURVE('',#26581,#26582,#10406,.T.); #30107=EDGE_CURVE('',#26580,#26582,#10407,.T.); #30108=EDGE_CURVE('',#26583,#25967,#10408,.T.); #30109=EDGE_CURVE('',#26584,#25970,#10409,.T.); #30110=EDGE_CURVE('',#26584,#26583,#10410,.T.); #30111=EDGE_CURVE('',#26126,#26585,#10411,.T.); #30112=EDGE_CURVE('',#26585,#26586,#10412,.T.); #30113=EDGE_CURVE('',#26586,#26584,#10413,.T.); #30114=EDGE_CURVE('',#26125,#26587,#10414,.T.); #30115=EDGE_CURVE('',#26588,#26583,#10415,.T.); #30116=EDGE_CURVE('',#26587,#26588,#10416,.T.); #30117=EDGE_CURVE('',#26589,#26590,#10417,.T.); #30118=EDGE_CURVE('',#26589,#26591,#10418,.T.); #30119=EDGE_CURVE('',#26591,#26592,#10419,.T.); #30120=EDGE_CURVE('',#26592,#26590,#10420,.T.); #30121=EDGE_CURVE('',#26593,#25975,#10421,.T.); #30122=EDGE_CURVE('',#26594,#25978,#10422,.T.); #30123=EDGE_CURVE('',#26594,#26593,#10423,.T.); #30124=EDGE_CURVE('',#26124,#26595,#10424,.T.); #30125=EDGE_CURVE('',#26595,#26596,#10425,.T.); #30126=EDGE_CURVE('',#26596,#26594,#10426,.T.); #30127=EDGE_CURVE('',#26123,#26597,#10427,.T.); #30128=EDGE_CURVE('',#26598,#26593,#10428,.T.); #30129=EDGE_CURVE('',#26597,#26598,#10429,.T.); #30130=EDGE_CURVE('',#26599,#26600,#10430,.T.); #30131=EDGE_CURVE('',#26599,#26601,#10431,.T.); #30132=EDGE_CURVE('',#26601,#26602,#10432,.T.); #30133=EDGE_CURVE('',#26602,#26600,#10433,.T.); #30134=EDGE_CURVE('',#26603,#25983,#10434,.T.); #30135=EDGE_CURVE('',#26604,#25986,#10435,.T.); #30136=EDGE_CURVE('',#26604,#26603,#10436,.T.); #30137=EDGE_CURVE('',#26122,#26605,#10437,.T.); #30138=EDGE_CURVE('',#26605,#26606,#10438,.T.); #30139=EDGE_CURVE('',#26606,#26604,#10439,.T.); #30140=EDGE_CURVE('',#26121,#26607,#10440,.T.); #30141=EDGE_CURVE('',#26608,#26603,#10441,.T.); #30142=EDGE_CURVE('',#26607,#26608,#10442,.T.); #30143=EDGE_CURVE('',#26609,#26610,#10443,.T.); #30144=EDGE_CURVE('',#26609,#26611,#10444,.T.); #30145=EDGE_CURVE('',#26611,#26612,#10445,.T.); #30146=EDGE_CURVE('',#26612,#26610,#10446,.T.); #30147=EDGE_CURVE('',#26613,#25991,#10447,.T.); #30148=EDGE_CURVE('',#26614,#25994,#10448,.T.); #30149=EDGE_CURVE('',#26614,#26613,#10449,.T.); #30150=EDGE_CURVE('',#26120,#26615,#10450,.T.); #30151=EDGE_CURVE('',#26615,#26616,#10451,.T.); #30152=EDGE_CURVE('',#26616,#26614,#10452,.T.); #30153=EDGE_CURVE('',#26119,#26617,#10453,.T.); #30154=EDGE_CURVE('',#26618,#26613,#10454,.T.); #30155=EDGE_CURVE('',#26617,#26618,#10455,.T.); #30156=EDGE_CURVE('',#26619,#26620,#10456,.T.); #30157=EDGE_CURVE('',#26619,#26621,#10457,.T.); #30158=EDGE_CURVE('',#26621,#26622,#10458,.T.); #30159=EDGE_CURVE('',#26622,#26620,#10459,.T.); #30160=EDGE_CURVE('',#26623,#25999,#10460,.T.); #30161=EDGE_CURVE('',#26624,#26002,#10461,.T.); #30162=EDGE_CURVE('',#26624,#26623,#10462,.T.); #30163=EDGE_CURVE('',#26118,#26625,#10463,.T.); #30164=EDGE_CURVE('',#26625,#26626,#10464,.T.); #30165=EDGE_CURVE('',#26626,#26624,#10465,.T.); #30166=EDGE_CURVE('',#26117,#26627,#10466,.T.); #30167=EDGE_CURVE('',#26628,#26623,#10467,.T.); #30168=EDGE_CURVE('',#26627,#26628,#10468,.T.); #30169=EDGE_CURVE('',#26629,#26630,#10469,.T.); #30170=EDGE_CURVE('',#26629,#26631,#10470,.T.); #30171=EDGE_CURVE('',#26631,#26632,#10471,.T.); #30172=EDGE_CURVE('',#26632,#26630,#10472,.T.); #30173=EDGE_CURVE('',#26633,#26007,#10473,.T.); #30174=EDGE_CURVE('',#26634,#26010,#10474,.T.); #30175=EDGE_CURVE('',#26634,#26633,#10475,.T.); #30176=EDGE_CURVE('',#26116,#26635,#10476,.T.); #30177=EDGE_CURVE('',#26635,#26636,#10477,.T.); #30178=EDGE_CURVE('',#26636,#26634,#10478,.T.); #30179=EDGE_CURVE('',#26115,#26637,#10479,.T.); #30180=EDGE_CURVE('',#26638,#26633,#10480,.T.); #30181=EDGE_CURVE('',#26637,#26638,#10481,.T.); #30182=EDGE_CURVE('',#26639,#26640,#10482,.T.); #30183=EDGE_CURVE('',#26639,#26641,#10483,.T.); #30184=EDGE_CURVE('',#26641,#26642,#10484,.T.); #30185=EDGE_CURVE('',#26642,#26640,#10485,.T.); #30186=EDGE_CURVE('',#26643,#26015,#10486,.T.); #30187=EDGE_CURVE('',#26644,#26018,#10487,.T.); #30188=EDGE_CURVE('',#26644,#26643,#10488,.T.); #30189=EDGE_CURVE('',#26114,#26645,#10489,.T.); #30190=EDGE_CURVE('',#26645,#26646,#10490,.T.); #30191=EDGE_CURVE('',#26646,#26644,#10491,.T.); #30192=EDGE_CURVE('',#26113,#26647,#10492,.T.); #30193=EDGE_CURVE('',#26648,#26643,#10493,.T.); #30194=EDGE_CURVE('',#26647,#26648,#10494,.T.); #30195=EDGE_CURVE('',#26649,#26650,#10495,.T.); #30196=EDGE_CURVE('',#26649,#26651,#10496,.T.); #30197=EDGE_CURVE('',#26651,#26652,#10497,.T.); #30198=EDGE_CURVE('',#26652,#26650,#10498,.T.); #30199=EDGE_CURVE('',#26653,#26023,#10499,.T.); #30200=EDGE_CURVE('',#26654,#26026,#10500,.T.); #30201=EDGE_CURVE('',#26654,#26653,#10501,.T.); #30202=EDGE_CURVE('',#26112,#26655,#10502,.T.); #30203=EDGE_CURVE('',#26655,#26656,#10503,.T.); #30204=EDGE_CURVE('',#26656,#26654,#10504,.T.); #30205=EDGE_CURVE('',#26111,#26657,#10505,.T.); #30206=EDGE_CURVE('',#26658,#26653,#10506,.T.); #30207=EDGE_CURVE('',#26657,#26658,#10507,.T.); #30208=EDGE_CURVE('',#26659,#26660,#10508,.T.); #30209=EDGE_CURVE('',#26659,#26661,#10509,.T.); #30210=EDGE_CURVE('',#26661,#26662,#10510,.T.); #30211=EDGE_CURVE('',#26660,#26662,#10511,.T.); #30212=EDGE_CURVE('',#26663,#26664,#10512,.T.); #30213=EDGE_CURVE('',#26664,#26665,#10513,.T.); #30214=EDGE_CURVE('',#26666,#26665,#10514,.T.); #30215=EDGE_CURVE('',#26666,#26663,#10515,.T.); #30216=EDGE_CURVE('',#26667,#26031,#10516,.T.); #30217=EDGE_CURVE('',#26668,#26034,#10517,.T.); #30218=EDGE_CURVE('',#26668,#26667,#10518,.T.); #30219=EDGE_CURVE('',#26142,#26669,#10519,.T.); #30220=EDGE_CURVE('',#26669,#26670,#10520,.T.); #30221=EDGE_CURVE('',#26670,#26668,#10521,.T.); #30222=EDGE_CURVE('',#26141,#26671,#10522,.T.); #30223=EDGE_CURVE('',#26672,#26667,#10523,.T.); #30224=EDGE_CURVE('',#26671,#26672,#10524,.T.); #30225=EDGE_CURVE('',#26673,#26674,#10525,.T.); #30226=EDGE_CURVE('',#26673,#26675,#10526,.T.); #30227=EDGE_CURVE('',#26675,#26676,#10527,.T.); #30228=EDGE_CURVE('',#26676,#26674,#10528,.T.); #30229=EDGE_CURVE('',#26677,#26039,#10529,.T.); #30230=EDGE_CURVE('',#26678,#26042,#10530,.T.); #30231=EDGE_CURVE('',#26678,#26677,#10531,.T.); #30232=EDGE_CURVE('',#26140,#26679,#10532,.T.); #30233=EDGE_CURVE('',#26679,#26680,#10533,.T.); #30234=EDGE_CURVE('',#26680,#26678,#10534,.T.); #30235=EDGE_CURVE('',#26139,#26681,#10535,.T.); #30236=EDGE_CURVE('',#26682,#26677,#10536,.T.); #30237=EDGE_CURVE('',#26681,#26682,#10537,.T.); #30238=EDGE_CURVE('',#26683,#26684,#10538,.T.); #30239=EDGE_CURVE('',#26683,#26685,#10539,.T.); #30240=EDGE_CURVE('',#26685,#26686,#10540,.T.); #30241=EDGE_CURVE('',#26686,#26684,#10541,.T.); #30242=EDGE_CURVE('',#26687,#26047,#10542,.T.); #30243=EDGE_CURVE('',#26688,#26050,#10543,.T.); #30244=EDGE_CURVE('',#26688,#26687,#10544,.T.); #30245=EDGE_CURVE('',#26138,#26689,#10545,.T.); #30246=EDGE_CURVE('',#26689,#26690,#10546,.T.); #30247=EDGE_CURVE('',#26690,#26688,#10547,.T.); #30248=EDGE_CURVE('',#26137,#26691,#10548,.T.); #30249=EDGE_CURVE('',#26692,#26687,#10549,.T.); #30250=EDGE_CURVE('',#26691,#26692,#10550,.T.); #30251=EDGE_CURVE('',#26693,#26694,#10551,.T.); #30252=EDGE_CURVE('',#26693,#26695,#10552,.T.); #30253=EDGE_CURVE('',#26695,#26696,#10553,.T.); #30254=EDGE_CURVE('',#26696,#26694,#10554,.T.); #30255=EDGE_CURVE('',#26697,#26055,#10555,.T.); #30256=EDGE_CURVE('',#26698,#26058,#10556,.T.); #30257=EDGE_CURVE('',#26698,#26697,#10557,.T.); #30258=EDGE_CURVE('',#26136,#26699,#10558,.T.); #30259=EDGE_CURVE('',#26699,#26700,#10559,.T.); #30260=EDGE_CURVE('',#26700,#26698,#10560,.T.); #30261=EDGE_CURVE('',#26135,#26701,#10561,.T.); #30262=EDGE_CURVE('',#26702,#26697,#10562,.T.); #30263=EDGE_CURVE('',#26701,#26702,#10563,.T.); #30264=EDGE_CURVE('',#26703,#26704,#10564,.T.); #30265=EDGE_CURVE('',#26703,#26705,#10565,.T.); #30266=EDGE_CURVE('',#26705,#26706,#10566,.T.); #30267=EDGE_CURVE('',#26706,#26704,#10567,.T.); #30268=EDGE_CURVE('',#26707,#26063,#10568,.T.); #30269=EDGE_CURVE('',#26708,#26066,#10569,.T.); #30270=EDGE_CURVE('',#26708,#26707,#10570,.T.); #30271=EDGE_CURVE('',#26134,#26709,#10571,.T.); #30272=EDGE_CURVE('',#26709,#26710,#10572,.T.); #30273=EDGE_CURVE('',#26710,#26708,#10573,.T.); #30274=EDGE_CURVE('',#26133,#26711,#10574,.T.); #30275=EDGE_CURVE('',#26712,#26707,#10575,.T.); #30276=EDGE_CURVE('',#26711,#26712,#10576,.T.); #30277=EDGE_CURVE('',#26713,#26714,#10577,.T.); #30278=EDGE_CURVE('',#26713,#26715,#10578,.T.); #30279=EDGE_CURVE('',#26715,#26716,#10579,.T.); #30280=EDGE_CURVE('',#26716,#26714,#10580,.T.); #30281=EDGE_CURVE('',#26717,#26071,#10581,.T.); #30282=EDGE_CURVE('',#26718,#26074,#10582,.T.); #30283=EDGE_CURVE('',#26718,#26717,#10583,.T.); #30284=EDGE_CURVE('',#26132,#26719,#10584,.T.); #30285=EDGE_CURVE('',#26719,#26720,#10585,.T.); #30286=EDGE_CURVE('',#26720,#26718,#10586,.T.); #30287=EDGE_CURVE('',#26131,#26721,#10587,.T.); #30288=EDGE_CURVE('',#26722,#26717,#10588,.T.); #30289=EDGE_CURVE('',#26721,#26722,#10589,.T.); #30290=EDGE_CURVE('',#26723,#26724,#10590,.T.); #30291=EDGE_CURVE('',#26723,#26725,#10591,.T.); #30292=EDGE_CURVE('',#26725,#26726,#10592,.T.); #30293=EDGE_CURVE('',#26726,#26724,#10593,.T.); #30294=EDGE_CURVE('',#26727,#26079,#10594,.T.); #30295=EDGE_CURVE('',#26728,#26082,#10595,.T.); #30296=EDGE_CURVE('',#26728,#26727,#10596,.T.); #30297=EDGE_CURVE('',#26130,#26729,#10597,.T.); #30298=EDGE_CURVE('',#26729,#26730,#10598,.T.); #30299=EDGE_CURVE('',#26730,#26728,#10599,.T.); #30300=EDGE_CURVE('',#26129,#26731,#10600,.T.); #30301=EDGE_CURVE('',#26732,#26727,#10601,.T.); #30302=EDGE_CURVE('',#26731,#26732,#10602,.T.); #30303=EDGE_CURVE('',#26733,#26734,#10603,.T.); #30304=EDGE_CURVE('',#26733,#26735,#10604,.T.); #30305=EDGE_CURVE('',#26735,#26736,#10605,.T.); #30306=EDGE_CURVE('',#26736,#26734,#10606,.T.); #30307=EDGE_CURVE('',#26737,#26087,#10607,.T.); #30308=EDGE_CURVE('',#26738,#26090,#10608,.T.); #30309=EDGE_CURVE('',#26738,#26737,#10609,.T.); #30310=EDGE_CURVE('',#26128,#26739,#10610,.T.); #30311=EDGE_CURVE('',#26739,#26740,#10611,.T.); #30312=EDGE_CURVE('',#26740,#26738,#10612,.T.); #30313=EDGE_CURVE('',#26127,#26741,#10613,.T.); #30314=EDGE_CURVE('',#26742,#26737,#10614,.T.); #30315=EDGE_CURVE('',#26741,#26742,#10615,.T.); #30316=EDGE_CURVE('',#26743,#26443,#10616,.T.); #30317=EDGE_CURVE('',#26445,#26743,#10617,.T.); #30318=EDGE_CURVE('',#26744,#26448,#10618,.T.); #30319=EDGE_CURVE('',#26452,#26744,#10619,.T.); #30320=EDGE_CURVE('',#26745,#26451,#10620,.T.); #30321=EDGE_CURVE('',#26453,#26745,#10621,.T.); #30322=EDGE_CURVE('',#26746,#26456,#10622,.T.); #30323=EDGE_CURVE('',#26460,#26746,#10623,.T.); #30324=EDGE_CURVE('',#26747,#26459,#10624,.T.); #30325=EDGE_CURVE('',#26461,#26747,#10625,.T.); #30326=EDGE_CURVE('',#26748,#26464,#10626,.T.); #30327=EDGE_CURVE('',#26468,#26748,#10627,.T.); #30328=EDGE_CURVE('',#26749,#26467,#10628,.T.); #30329=EDGE_CURVE('',#26469,#26749,#10629,.T.); #30330=EDGE_CURVE('',#26750,#26472,#10630,.T.); #30331=EDGE_CURVE('',#26476,#26750,#10631,.T.); #30332=EDGE_CURVE('',#26751,#26475,#10632,.T.); #30333=EDGE_CURVE('',#26477,#26751,#10633,.T.); #30334=EDGE_CURVE('',#26752,#26480,#10634,.T.); #30335=EDGE_CURVE('',#26484,#26752,#10635,.T.); #30336=EDGE_CURVE('',#26753,#26483,#10636,.T.); #30337=EDGE_CURVE('',#26485,#26753,#10637,.T.); #30338=EDGE_CURVE('',#26754,#26488,#10638,.T.); #30339=EDGE_CURVE('',#26492,#26754,#10639,.T.); #30340=EDGE_CURVE('',#26755,#26491,#10640,.T.); #30341=EDGE_CURVE('',#26493,#26755,#10641,.T.); #30342=EDGE_CURVE('',#26756,#26496,#10642,.T.); #30343=EDGE_CURVE('',#26500,#26756,#10643,.T.); #30344=EDGE_CURVE('',#26757,#26499,#10644,.T.); #30345=EDGE_CURVE('',#26501,#26757,#10645,.T.); #30346=EDGE_CURVE('',#26758,#26504,#10646,.T.); #30347=EDGE_CURVE('',#26579,#26758,#10647,.T.); #30348=EDGE_CURVE('',#26580,#26666,#10648,.T.); #30349=EDGE_CURVE('',#26759,#26665,#10649,.T.); #30350=EDGE_CURVE('',#26669,#26759,#10650,.T.); #30351=EDGE_CURVE('',#26760,#26671,#10651,.T.); #30352=EDGE_CURVE('',#26673,#26760,#10652,.T.); #30353=EDGE_CURVE('',#26761,#26674,#10653,.T.); #30354=EDGE_CURVE('',#26679,#26761,#10654,.T.); #30355=EDGE_CURVE('',#26762,#26681,#10655,.T.); #30356=EDGE_CURVE('',#26683,#26762,#10656,.T.); #30357=EDGE_CURVE('',#26763,#26684,#10657,.T.); #30358=EDGE_CURVE('',#26689,#26763,#10658,.T.); #30359=EDGE_CURVE('',#26764,#26691,#10659,.T.); #30360=EDGE_CURVE('',#26693,#26764,#10660,.T.); #30361=EDGE_CURVE('',#26765,#26694,#10661,.T.); #30362=EDGE_CURVE('',#26699,#26765,#10662,.T.); #30363=EDGE_CURVE('',#26766,#26701,#10663,.T.); #30364=EDGE_CURVE('',#26703,#26766,#10664,.T.); #30365=EDGE_CURVE('',#26767,#26704,#10665,.T.); #30366=EDGE_CURVE('',#26709,#26767,#10666,.T.); #30367=EDGE_CURVE('',#26768,#26711,#10667,.T.); #30368=EDGE_CURVE('',#26713,#26768,#10668,.T.); #30369=EDGE_CURVE('',#26769,#26714,#10669,.T.); #30370=EDGE_CURVE('',#26719,#26769,#10670,.T.); #30371=EDGE_CURVE('',#26770,#26721,#10671,.T.); #30372=EDGE_CURVE('',#26723,#26770,#10672,.T.); #30373=EDGE_CURVE('',#26771,#26724,#10673,.T.); #30374=EDGE_CURVE('',#26729,#26771,#10674,.T.); #30375=EDGE_CURVE('',#26772,#26731,#10675,.T.); #30376=EDGE_CURVE('',#26733,#26772,#10676,.T.); #30377=EDGE_CURVE('',#26773,#26734,#10677,.T.); #30378=EDGE_CURVE('',#26739,#26773,#10678,.T.); #30379=EDGE_CURVE('',#26774,#26741,#10679,.T.); #30380=EDGE_CURVE('',#26775,#26774,#10680,.T.); #30381=EDGE_CURVE('',#26775,#26776,#10681,.T.); #30382=EDGE_CURVE('',#26777,#26776,#10682,.T.); #30383=EDGE_CURVE('',#26585,#26777,#10683,.T.); #30384=EDGE_CURVE('',#26778,#26587,#10684,.T.); #30385=EDGE_CURVE('',#26589,#26778,#10685,.T.); #30386=EDGE_CURVE('',#26779,#26590,#10686,.T.); #30387=EDGE_CURVE('',#26595,#26779,#10687,.T.); #30388=EDGE_CURVE('',#26780,#26597,#10688,.T.); #30389=EDGE_CURVE('',#26599,#26780,#10689,.T.); #30390=EDGE_CURVE('',#26781,#26600,#10690,.T.); #30391=EDGE_CURVE('',#26605,#26781,#10691,.T.); #30392=EDGE_CURVE('',#26782,#26607,#10692,.T.); #30393=EDGE_CURVE('',#26609,#26782,#10693,.T.); #30394=EDGE_CURVE('',#26783,#26610,#10694,.T.); #30395=EDGE_CURVE('',#26615,#26783,#10695,.T.); #30396=EDGE_CURVE('',#26784,#26617,#10696,.T.); #30397=EDGE_CURVE('',#26619,#26784,#10697,.T.); #30398=EDGE_CURVE('',#26785,#26620,#10698,.T.); #30399=EDGE_CURVE('',#26625,#26785,#10699,.T.); #30400=EDGE_CURVE('',#26786,#26627,#10700,.T.); #30401=EDGE_CURVE('',#26629,#26786,#10701,.T.); #30402=EDGE_CURVE('',#26787,#26630,#10702,.T.); #30403=EDGE_CURVE('',#26635,#26787,#10703,.T.); #30404=EDGE_CURVE('',#26788,#26637,#10704,.T.); #30405=EDGE_CURVE('',#26639,#26788,#10705,.T.); #30406=EDGE_CURVE('',#26789,#26640,#10706,.T.); #30407=EDGE_CURVE('',#26645,#26789,#10707,.T.); #30408=EDGE_CURVE('',#26790,#26647,#10708,.T.); #30409=EDGE_CURVE('',#26649,#26790,#10709,.T.); #30410=EDGE_CURVE('',#26791,#26650,#10710,.T.); #30411=EDGE_CURVE('',#26655,#26791,#10711,.T.); #30412=EDGE_CURVE('',#26792,#26657,#10712,.T.); #30413=EDGE_CURVE('',#26659,#26792,#10713,.T.); #30414=EDGE_CURVE('',#26660,#26380,#10714,.T.); #30415=EDGE_CURVE('',#26793,#26379,#10715,.T.); #30416=EDGE_CURVE('',#26381,#26793,#10716,.T.); #30417=EDGE_CURVE('',#26794,#26384,#10717,.T.); #30418=EDGE_CURVE('',#26388,#26794,#10718,.T.); #30419=EDGE_CURVE('',#26795,#26387,#10719,.T.); #30420=EDGE_CURVE('',#26389,#26795,#10720,.T.); #30421=EDGE_CURVE('',#26796,#26392,#10721,.T.); #30422=EDGE_CURVE('',#26396,#26796,#10722,.T.); #30423=EDGE_CURVE('',#26797,#26395,#10723,.T.); #30424=EDGE_CURVE('',#26397,#26797,#10724,.T.); #30425=EDGE_CURVE('',#26798,#26400,#10725,.T.); #30426=EDGE_CURVE('',#26404,#26798,#10726,.T.); #30427=EDGE_CURVE('',#26799,#26403,#10727,.T.); #30428=EDGE_CURVE('',#26405,#26799,#10728,.T.); #30429=EDGE_CURVE('',#26800,#26408,#10729,.T.); #30430=EDGE_CURVE('',#26412,#26800,#10730,.T.); #30431=EDGE_CURVE('',#26801,#26411,#10731,.T.); #30432=EDGE_CURVE('',#26413,#26801,#10732,.T.); #30433=EDGE_CURVE('',#26802,#26416,#10733,.T.); #30434=EDGE_CURVE('',#26420,#26802,#10734,.T.); #30435=EDGE_CURVE('',#26803,#26419,#10735,.T.); #30436=EDGE_CURVE('',#26421,#26803,#10736,.T.); #30437=EDGE_CURVE('',#26804,#26424,#10737,.T.); #30438=EDGE_CURVE('',#26428,#26804,#10738,.T.); #30439=EDGE_CURVE('',#26805,#26427,#10739,.T.); #30440=EDGE_CURVE('',#26429,#26805,#10740,.T.); #30441=EDGE_CURVE('',#26806,#26432,#10741,.T.); #30442=EDGE_CURVE('',#26436,#26806,#10742,.T.); #30443=EDGE_CURVE('',#26807,#26435,#10743,.T.); #30444=EDGE_CURVE('',#26437,#26807,#10744,.T.); #30445=EDGE_CURVE('',#26808,#26440,#10745,.T.); #30446=EDGE_CURVE('',#26444,#26808,#10746,.T.); #30447=EDGE_CURVE('',#26775,#26809,#10747,.T.); #30448=EDGE_CURVE('',#26809,#26810,#10748,.T.); #30449=EDGE_CURVE('',#26810,#26776,#10749,.T.); #30450=EDGE_CURVE('',#26811,#26812,#8199,.T.); #30451=EDGE_CURVE('',#26813,#26811,#10750,.T.); #30452=EDGE_CURVE('',#26814,#26813,#8200,.T.); #30453=EDGE_CURVE('',#26815,#26814,#8201,.T.); #30454=EDGE_CURVE('',#26812,#26815,#10751,.T.); #30455=EDGE_CURVE('',#26662,#26816,#10752,.T.); #30456=EDGE_CURVE('',#26816,#26377,#10753,.T.); #30457=EDGE_CURVE('',#26538,#26817,#10754,.T.); #30458=EDGE_CURVE('',#26539,#26818,#10755,.T.); #30459=EDGE_CURVE('',#26818,#26817,#10756,.T.); #30460=EDGE_CURVE('',#26817,#26179,#10757,.T.); #30461=EDGE_CURVE('',#26819,#26179,#10758,.T.); #30462=EDGE_CURVE('',#26819,#26820,#10759,.T.); #30463=EDGE_CURVE('',#26820,#26159,#10760,.T.); #30464=EDGE_CURVE('',#26821,#26819,#10761,.T.); #30465=EDGE_CURVE('',#26822,#26821,#10762,.T.); #30466=EDGE_CURVE('',#26823,#26822,#10763,.T.); #30467=EDGE_CURVE('',#26820,#26823,#10764,.T.); #30468=EDGE_CURVE('',#26824,#26820,#10765,.T.); #30469=EDGE_CURVE('',#26825,#26823,#10766,.T.); #30470=EDGE_CURVE('',#26824,#26825,#10767,.T.); #30471=EDGE_CURVE('',#26826,#26824,#10768,.T.); #30472=EDGE_CURVE('',#26175,#26826,#10769,.T.); #30473=EDGE_CURVE('',#26826,#26827,#10770,.T.); #30474=EDGE_CURVE('',#26828,#26827,#10771,.T.); #30475=EDGE_CURVE('',#26540,#26828,#10772,.T.); #30476=EDGE_CURVE('',#26829,#26828,#10773,.T.); #30477=EDGE_CURVE('',#26541,#26829,#10774,.T.); #30478=EDGE_CURVE('',#26183,#26830,#10775,.T.); #30479=EDGE_CURVE('',#26830,#26831,#8202,.T.); #30480=EDGE_CURVE('',#26832,#26831,#10776,.T.); #30481=EDGE_CURVE('',#26832,#26190,#8203,.T.); #30482=EDGE_CURVE('',#26189,#26833,#8204,.T.); #30483=EDGE_CURVE('',#26834,#26833,#10777,.T.); #30484=EDGE_CURVE('',#26834,#26832,#8205,.T.); #30485=EDGE_CURVE('',#26831,#26830,#8206,.T.); #30486=EDGE_CURVE('',#26835,#26537,#10778,.T.); #30487=EDGE_CURVE('',#26836,#26835,#10779,.T.); #30488=EDGE_CURVE('',#26836,#26833,#10780,.T.); #30489=EDGE_CURVE('',#26837,#26836,#10781,.T.); #30490=EDGE_CURVE('',#26837,#26834,#10782,.T.); #30491=EDGE_CURVE('',#26838,#26837,#10783,.T.); #30492=EDGE_CURVE('',#26191,#26838,#8207,.T.); #30493=EDGE_CURVE('',#26839,#26838,#10784,.T.); #30494=EDGE_CURVE('',#26194,#26839,#8208,.T.); #30495=EDGE_CURVE('',#26839,#26840,#10785,.T.); #30496=EDGE_CURVE('',#26840,#26198,#10786,.T.); #30497=EDGE_CURVE('',#26841,#26840,#10787,.T.); #30498=EDGE_CURVE('',#26841,#26195,#10788,.T.); #30499=EDGE_CURVE('',#26842,#26841,#10789,.T.); #30500=EDGE_CURVE('',#26201,#26842,#8209,.T.); #30501=EDGE_CURVE('',#26843,#26842,#10790,.T.); #30502=EDGE_CURVE('',#26204,#26843,#8210,.T.); #30503=EDGE_CURVE('',#26843,#26844,#10791,.T.); #30504=EDGE_CURVE('',#26844,#26208,#10792,.T.); #30505=EDGE_CURVE('',#26845,#26844,#10793,.T.); #30506=EDGE_CURVE('',#26845,#26205,#10794,.T.); #30507=EDGE_CURVE('',#26846,#26845,#10795,.T.); #30508=EDGE_CURVE('',#26211,#26846,#8211,.T.); #30509=EDGE_CURVE('',#26847,#26846,#10796,.T.); #30510=EDGE_CURVE('',#26214,#26847,#8212,.T.); #30511=EDGE_CURVE('',#26847,#26848,#10797,.T.); #30512=EDGE_CURVE('',#26848,#26218,#10798,.T.); #30513=EDGE_CURVE('',#26849,#26848,#10799,.T.); #30514=EDGE_CURVE('',#26849,#26215,#10800,.T.); #30515=EDGE_CURVE('',#26850,#26849,#10801,.T.); #30516=EDGE_CURVE('',#26221,#26850,#8213,.T.); #30517=EDGE_CURVE('',#26851,#26850,#10802,.T.); #30518=EDGE_CURVE('',#26224,#26851,#8214,.T.); #30519=EDGE_CURVE('',#26851,#26852,#10803,.T.); #30520=EDGE_CURVE('',#26852,#26228,#10804,.T.); #30521=EDGE_CURVE('',#26853,#26852,#10805,.T.); #30522=EDGE_CURVE('',#26853,#26225,#10806,.T.); #30523=EDGE_CURVE('',#26854,#26853,#10807,.T.); #30524=EDGE_CURVE('',#26231,#26854,#8215,.T.); #30525=EDGE_CURVE('',#26855,#26854,#10808,.T.); #30526=EDGE_CURVE('',#26234,#26855,#8216,.T.); #30527=EDGE_CURVE('',#26855,#26856,#10809,.T.); #30528=EDGE_CURVE('',#26856,#26238,#10810,.T.); #30529=EDGE_CURVE('',#26857,#26856,#10811,.T.); #30530=EDGE_CURVE('',#26857,#26235,#10812,.T.); #30531=EDGE_CURVE('',#26858,#26857,#10813,.T.); #30532=EDGE_CURVE('',#26241,#26858,#8217,.T.); #30533=EDGE_CURVE('',#26859,#26858,#10814,.T.); #30534=EDGE_CURVE('',#26244,#26859,#8218,.T.); #30535=EDGE_CURVE('',#26859,#26860,#10815,.T.); #30536=EDGE_CURVE('',#26860,#26248,#10816,.T.); #30537=EDGE_CURVE('',#26861,#26860,#10817,.T.); #30538=EDGE_CURVE('',#26861,#26245,#10818,.T.); #30539=EDGE_CURVE('',#26862,#26861,#10819,.T.); #30540=EDGE_CURVE('',#26251,#26862,#8219,.T.); #30541=EDGE_CURVE('',#26863,#26862,#10820,.T.); #30542=EDGE_CURVE('',#26254,#26863,#8220,.T.); #30543=EDGE_CURVE('',#26863,#26864,#10821,.T.); #30544=EDGE_CURVE('',#26864,#26258,#10822,.T.); #30545=EDGE_CURVE('',#26865,#26864,#10823,.T.); #30546=EDGE_CURVE('',#26865,#26255,#10824,.T.); #30547=EDGE_CURVE('',#26866,#26865,#10825,.T.); #30548=EDGE_CURVE('',#26261,#26866,#8221,.T.); #30549=EDGE_CURVE('',#26867,#26866,#10826,.T.); #30550=EDGE_CURVE('',#26264,#26867,#8222,.T.); #30551=EDGE_CURVE('',#26867,#26868,#10827,.T.); #30552=EDGE_CURVE('',#26868,#26266,#10828,.T.); #30553=EDGE_CURVE('',#26869,#26868,#10829,.T.); #30554=EDGE_CURVE('',#26869,#26265,#10830,.T.); #30555=EDGE_CURVE('',#26870,#26869,#10831,.T.); #30556=EDGE_CURVE('',#26271,#26870,#8223,.T.); #30557=EDGE_CURVE('',#26871,#26870,#10832,.T.); #30558=EDGE_CURVE('',#26274,#26871,#8224,.T.); #30559=EDGE_CURVE('',#26871,#26872,#10833,.T.); #30560=EDGE_CURVE('',#26872,#26278,#10834,.T.); #30561=EDGE_CURVE('',#26873,#26872,#10835,.T.); #30562=EDGE_CURVE('',#26873,#26275,#10836,.T.); #30563=EDGE_CURVE('',#26874,#26873,#10837,.T.); #30564=EDGE_CURVE('',#26281,#26874,#8225,.T.); #30565=EDGE_CURVE('',#26875,#26874,#10838,.T.); #30566=EDGE_CURVE('',#26284,#26875,#8226,.T.); #30567=EDGE_CURVE('',#26875,#26876,#10839,.T.); #30568=EDGE_CURVE('',#26876,#26288,#10840,.T.); #30569=EDGE_CURVE('',#26877,#26876,#10841,.T.); #30570=EDGE_CURVE('',#26877,#26285,#10842,.T.); #30571=EDGE_CURVE('',#26878,#26877,#10843,.T.); #30572=EDGE_CURVE('',#26291,#26878,#8227,.T.); #30573=EDGE_CURVE('',#26879,#26878,#10844,.T.); #30574=EDGE_CURVE('',#26294,#26879,#8228,.T.); #30575=EDGE_CURVE('',#26879,#26880,#10845,.T.); #30576=EDGE_CURVE('',#26880,#26298,#10846,.T.); #30577=EDGE_CURVE('',#26881,#26880,#10847,.T.); #30578=EDGE_CURVE('',#26881,#26295,#10848,.T.); #30579=EDGE_CURVE('',#26882,#26881,#10849,.T.); #30580=EDGE_CURVE('',#26301,#26882,#8229,.T.); #30581=EDGE_CURVE('',#26883,#26882,#10850,.T.); #30582=EDGE_CURVE('',#26304,#26883,#8230,.T.); #30583=EDGE_CURVE('',#26883,#26884,#10851,.T.); #30584=EDGE_CURVE('',#26884,#26308,#10852,.T.); #30585=EDGE_CURVE('',#26885,#26884,#10853,.T.); #30586=EDGE_CURVE('',#26885,#26305,#10854,.T.); #30587=EDGE_CURVE('',#26886,#26885,#10855,.T.); #30588=EDGE_CURVE('',#26311,#26886,#8231,.T.); #30589=EDGE_CURVE('',#26887,#26886,#10856,.T.); #30590=EDGE_CURVE('',#26314,#26887,#8232,.T.); #30591=EDGE_CURVE('',#26887,#26888,#10857,.T.); #30592=EDGE_CURVE('',#26888,#26318,#10858,.T.); #30593=EDGE_CURVE('',#26889,#26888,#10859,.T.); #30594=EDGE_CURVE('',#26889,#26315,#10860,.T.); #30595=EDGE_CURVE('',#26890,#26889,#10861,.T.); #30596=EDGE_CURVE('',#26321,#26890,#8233,.T.); #30597=EDGE_CURVE('',#26891,#26890,#10862,.T.); #30598=EDGE_CURVE('',#26324,#26891,#8234,.T.); #30599=EDGE_CURVE('',#26891,#26892,#10863,.T.); #30600=EDGE_CURVE('',#26892,#26328,#10864,.T.); #30601=EDGE_CURVE('',#26893,#26892,#10865,.T.); #30602=EDGE_CURVE('',#26893,#26325,#10866,.T.); #30603=EDGE_CURVE('',#26894,#26893,#10867,.T.); #30604=EDGE_CURVE('',#26331,#26894,#8235,.T.); #30605=EDGE_CURVE('',#26895,#26894,#10868,.T.); #30606=EDGE_CURVE('',#26334,#26895,#8236,.T.); #30607=EDGE_CURVE('',#26895,#26896,#10869,.T.); #30608=EDGE_CURVE('',#26896,#26338,#10870,.T.); #30609=EDGE_CURVE('',#26897,#26896,#10871,.T.); #30610=EDGE_CURVE('',#26897,#26335,#10872,.T.); #30611=EDGE_CURVE('',#26898,#26897,#10873,.T.); #30612=EDGE_CURVE('',#26341,#26898,#8237,.T.); #30613=EDGE_CURVE('',#26899,#26898,#10874,.T.); #30614=EDGE_CURVE('',#26344,#26899,#8238,.T.); #30615=EDGE_CURVE('',#26900,#26901,#8239,.T.); #30616=EDGE_CURVE('',#26901,#26351,#8240,.T.); #30617=EDGE_CURVE('',#26899,#26902,#10875,.T.); #30618=EDGE_CURVE('',#26902,#26900,#10876,.T.); #30619=EDGE_CURVE('',#26903,#26902,#10877,.T.); #30620=EDGE_CURVE('',#26904,#26903,#10878,.T.); #30621=EDGE_CURVE('',#26904,#26900,#10879,.T.); #30622=EDGE_CURVE('',#26904,#26350,#8241,.T.); #30623=EDGE_CURVE('',#26903,#26905,#10880,.T.); #30624=EDGE_CURVE('',#26547,#26905,#10881,.T.); #30625=EDGE_CURVE('',#26906,#26353,#10882,.T.); #30626=EDGE_CURVE('',#26906,#26907,#8242,.T.); #30627=EDGE_CURVE('',#26907,#26901,#10883,.T.); #30628=EDGE_CURVE('',#26907,#26906,#8243,.T.); #30629=EDGE_CURVE('',#26908,#26909,#10884,.T.); #30630=EDGE_CURVE('',#26545,#26909,#10885,.T.); #30631=EDGE_CURVE('',#26544,#26908,#10886,.T.); #30632=EDGE_CURVE('',#26909,#26910,#10887,.T.); #30633=EDGE_CURVE('',#26911,#26910,#10888,.T.); #30634=EDGE_CURVE('',#26355,#26911,#10889,.T.); #30635=EDGE_CURVE('',#26911,#26912,#10890,.T.); #30636=EDGE_CURVE('',#26912,#26913,#10891,.T.); #30637=EDGE_CURVE('',#26913,#26370,#10892,.T.); #30638=EDGE_CURVE('',#26914,#26913,#10893,.T.); #30639=EDGE_CURVE('',#26912,#26915,#10894,.T.); #30640=EDGE_CURVE('',#26915,#26914,#10895,.T.); #30641=EDGE_CURVE('',#26916,#26917,#10896,.T.); #30642=EDGE_CURVE('',#26913,#26916,#10897,.T.); #30643=EDGE_CURVE('',#26918,#26914,#10898,.T.); #30644=EDGE_CURVE('',#26917,#26918,#10899,.T.); #30645=EDGE_CURVE('',#26916,#26376,#10900,.T.); #30646=EDGE_CURVE('',#26376,#26919,#10901,.T.); #30647=EDGE_CURVE('',#26542,#26919,#10902,.T.); #30648=EDGE_CURVE('',#26920,#26919,#10903,.T.); #30649=EDGE_CURVE('',#26543,#26920,#10904,.T.); #30650=EDGE_CURVE('',#26378,#26921,#10905,.T.); #30651=EDGE_CURVE('',#26377,#26922,#10906,.T.); #30652=EDGE_CURVE('',#26921,#26922,#10907,.T.); #30653=EDGE_CURVE('',#26921,#26923,#10908,.T.); #30654=EDGE_CURVE('',#26793,#26923,#10909,.T.); #30655=EDGE_CURVE('',#26923,#26924,#10910,.T.); #30656=EDGE_CURVE('',#26794,#26924,#10911,.T.); #30657=EDGE_CURVE('',#26924,#26925,#10912,.T.); #30658=EDGE_CURVE('',#26925,#26385,#10913,.T.); #30659=EDGE_CURVE('',#26386,#26926,#10914,.T.); #30660=EDGE_CURVE('',#26926,#26925,#10915,.T.); #30661=EDGE_CURVE('',#26926,#26927,#10916,.T.); #30662=EDGE_CURVE('',#26795,#26927,#10917,.T.); #30663=EDGE_CURVE('',#26927,#26928,#10918,.T.); #30664=EDGE_CURVE('',#26796,#26928,#10919,.T.); #30665=EDGE_CURVE('',#26928,#26929,#10920,.T.); #30666=EDGE_CURVE('',#26929,#26393,#10921,.T.); #30667=EDGE_CURVE('',#26394,#26930,#10922,.T.); #30668=EDGE_CURVE('',#26930,#26929,#10923,.T.); #30669=EDGE_CURVE('',#26930,#26931,#10924,.T.); #30670=EDGE_CURVE('',#26797,#26931,#10925,.T.); #30671=EDGE_CURVE('',#26931,#26932,#10926,.T.); #30672=EDGE_CURVE('',#26798,#26932,#10927,.T.); #30673=EDGE_CURVE('',#26932,#26933,#10928,.T.); #30674=EDGE_CURVE('',#26933,#26401,#10929,.T.); #30675=EDGE_CURVE('',#26402,#26934,#10930,.T.); #30676=EDGE_CURVE('',#26934,#26933,#10931,.T.); #30677=EDGE_CURVE('',#26934,#26935,#10932,.T.); #30678=EDGE_CURVE('',#26799,#26935,#10933,.T.); #30679=EDGE_CURVE('',#26935,#26936,#10934,.T.); #30680=EDGE_CURVE('',#26800,#26936,#10935,.T.); #30681=EDGE_CURVE('',#26936,#26937,#10936,.T.); #30682=EDGE_CURVE('',#26937,#26409,#10937,.T.); #30683=EDGE_CURVE('',#26410,#26938,#10938,.T.); #30684=EDGE_CURVE('',#26938,#26937,#10939,.T.); #30685=EDGE_CURVE('',#26938,#26939,#10940,.T.); #30686=EDGE_CURVE('',#26801,#26939,#10941,.T.); #30687=EDGE_CURVE('',#26939,#26940,#10942,.T.); #30688=EDGE_CURVE('',#26802,#26940,#10943,.T.); #30689=EDGE_CURVE('',#26940,#26941,#10944,.T.); #30690=EDGE_CURVE('',#26941,#26417,#10945,.T.); #30691=EDGE_CURVE('',#26418,#26942,#10946,.T.); #30692=EDGE_CURVE('',#26942,#26941,#10947,.T.); #30693=EDGE_CURVE('',#26942,#26943,#10948,.T.); #30694=EDGE_CURVE('',#26803,#26943,#10949,.T.); #30695=EDGE_CURVE('',#26943,#26944,#10950,.T.); #30696=EDGE_CURVE('',#26804,#26944,#10951,.T.); #30697=EDGE_CURVE('',#26944,#26945,#10952,.T.); #30698=EDGE_CURVE('',#26945,#26425,#10953,.T.); #30699=EDGE_CURVE('',#26426,#26946,#10954,.T.); #30700=EDGE_CURVE('',#26946,#26945,#10955,.T.); #30701=EDGE_CURVE('',#26946,#26947,#10956,.T.); #30702=EDGE_CURVE('',#26805,#26947,#10957,.T.); #30703=EDGE_CURVE('',#26947,#26948,#10958,.T.); #30704=EDGE_CURVE('',#26806,#26948,#10959,.T.); #30705=EDGE_CURVE('',#26948,#26949,#10960,.T.); #30706=EDGE_CURVE('',#26949,#26433,#10961,.T.); #30707=EDGE_CURVE('',#26434,#26950,#10962,.T.); #30708=EDGE_CURVE('',#26950,#26949,#10963,.T.); #30709=EDGE_CURVE('',#26950,#26951,#10964,.T.); #30710=EDGE_CURVE('',#26807,#26951,#10965,.T.); #30711=EDGE_CURVE('',#26951,#26952,#10966,.T.); #30712=EDGE_CURVE('',#26808,#26952,#10967,.T.); #30713=EDGE_CURVE('',#26952,#26953,#10968,.T.); #30714=EDGE_CURVE('',#26953,#26441,#10969,.T.); #30715=EDGE_CURVE('',#26954,#26953,#10970,.T.); #30716=EDGE_CURVE('',#26442,#26954,#10971,.T.); #30717=EDGE_CURVE('',#26954,#26955,#10972,.T.); #30718=EDGE_CURVE('',#26743,#26955,#10973,.T.); #30719=EDGE_CURVE('',#26955,#26956,#10974,.T.); #30720=EDGE_CURVE('',#26744,#26956,#10975,.T.); #30721=EDGE_CURVE('',#26956,#26957,#10976,.T.); #30722=EDGE_CURVE('',#26957,#26449,#10977,.T.); #30723=EDGE_CURVE('',#26450,#26958,#10978,.T.); #30724=EDGE_CURVE('',#26958,#26957,#10979,.T.); #30725=EDGE_CURVE('',#26958,#26959,#10980,.T.); #30726=EDGE_CURVE('',#26745,#26959,#10981,.T.); #30727=EDGE_CURVE('',#26959,#26960,#10982,.T.); #30728=EDGE_CURVE('',#26746,#26960,#10983,.T.); #30729=EDGE_CURVE('',#26960,#26961,#10984,.T.); #30730=EDGE_CURVE('',#26961,#26457,#10985,.T.); #30731=EDGE_CURVE('',#26458,#26962,#10986,.T.); #30732=EDGE_CURVE('',#26962,#26961,#10987,.T.); #30733=EDGE_CURVE('',#26962,#26963,#10988,.T.); #30734=EDGE_CURVE('',#26747,#26963,#10989,.T.); #30735=EDGE_CURVE('',#26963,#26964,#10990,.T.); #30736=EDGE_CURVE('',#26748,#26964,#10991,.T.); #30737=EDGE_CURVE('',#26964,#26965,#10992,.T.); #30738=EDGE_CURVE('',#26965,#26465,#10993,.T.); #30739=EDGE_CURVE('',#26466,#26966,#10994,.T.); #30740=EDGE_CURVE('',#26966,#26965,#10995,.T.); #30741=EDGE_CURVE('',#26966,#26967,#10996,.T.); #30742=EDGE_CURVE('',#26749,#26967,#10997,.T.); #30743=EDGE_CURVE('',#26967,#26968,#10998,.T.); #30744=EDGE_CURVE('',#26750,#26968,#10999,.T.); #30745=EDGE_CURVE('',#26968,#26969,#11000,.T.); #30746=EDGE_CURVE('',#26969,#26473,#11001,.T.); #30747=EDGE_CURVE('',#26474,#26970,#11002,.T.); #30748=EDGE_CURVE('',#26970,#26969,#11003,.T.); #30749=EDGE_CURVE('',#26970,#26971,#11004,.T.); #30750=EDGE_CURVE('',#26751,#26971,#11005,.T.); #30751=EDGE_CURVE('',#26971,#26972,#11006,.T.); #30752=EDGE_CURVE('',#26752,#26972,#11007,.T.); #30753=EDGE_CURVE('',#26972,#26973,#11008,.T.); #30754=EDGE_CURVE('',#26973,#26481,#11009,.T.); #30755=EDGE_CURVE('',#26482,#26974,#11010,.T.); #30756=EDGE_CURVE('',#26974,#26973,#11011,.T.); #30757=EDGE_CURVE('',#26974,#26975,#11012,.T.); #30758=EDGE_CURVE('',#26753,#26975,#11013,.T.); #30759=EDGE_CURVE('',#26975,#26976,#11014,.T.); #30760=EDGE_CURVE('',#26754,#26976,#11015,.T.); #30761=EDGE_CURVE('',#26976,#26977,#11016,.T.); #30762=EDGE_CURVE('',#26977,#26489,#11017,.T.); #30763=EDGE_CURVE('',#26490,#26978,#11018,.T.); #30764=EDGE_CURVE('',#26978,#26977,#11019,.T.); #30765=EDGE_CURVE('',#26978,#26979,#11020,.T.); #30766=EDGE_CURVE('',#26755,#26979,#11021,.T.); #30767=EDGE_CURVE('',#26979,#26980,#11022,.T.); #30768=EDGE_CURVE('',#26756,#26980,#11023,.T.); #30769=EDGE_CURVE('',#26980,#26981,#11024,.T.); #30770=EDGE_CURVE('',#26981,#26497,#11025,.T.); #30771=EDGE_CURVE('',#26498,#26982,#11026,.T.); #30772=EDGE_CURVE('',#26982,#26981,#11027,.T.); #30773=EDGE_CURVE('',#26982,#26983,#11028,.T.); #30774=EDGE_CURVE('',#26757,#26983,#11029,.T.); #30775=EDGE_CURVE('',#26983,#26984,#11030,.T.); #30776=EDGE_CURVE('',#26758,#26984,#11031,.T.); #30777=EDGE_CURVE('',#26984,#26985,#11032,.T.); #30778=EDGE_CURVE('',#26985,#26581,#11033,.T.); #30779=EDGE_CURVE('',#26986,#26905,#11034,.T.); #30780=EDGE_CURVE('',#26987,#26986,#11035,.T.); #30781=EDGE_CURVE('',#26988,#26987,#11036,.T.); #30782=EDGE_CURVE('',#26908,#26988,#11037,.T.); #30783=EDGE_CURVE('',#26989,#26920,#11038,.T.); #30784=EDGE_CURVE('',#26990,#26989,#11039,.T.); #30785=EDGE_CURVE('',#26818,#26990,#11040,.T.); #30786=EDGE_CURVE('',#26991,#26829,#11041,.T.); #30787=EDGE_CURVE('',#26992,#26991,#11042,.T.); #30788=EDGE_CURVE('',#26993,#26992,#11043,.T.); #30789=EDGE_CURVE('',#26835,#26993,#11044,.T.); #30790=EDGE_CURVE('',#26582,#26994,#11045,.T.); #30791=EDGE_CURVE('',#26994,#26663,#11046,.T.); #30792=EDGE_CURVE('',#26995,#26985,#11047,.T.); #30793=EDGE_CURVE('',#26995,#26582,#11048,.T.); #30794=EDGE_CURVE('',#26810,#26996,#11049,.T.); #30795=EDGE_CURVE('',#26997,#26996,#11050,.T.); #30796=EDGE_CURVE('',#26777,#26997,#11051,.T.); #30797=EDGE_CURVE('',#26586,#26997,#11052,.T.); #30798=EDGE_CURVE('',#26588,#26586,#11053,.T.); #30799=EDGE_CURVE('',#26998,#26588,#11054,.T.); #30800=EDGE_CURVE('',#26778,#26998,#11055,.T.); #30801=EDGE_CURVE('',#26999,#26998,#11056,.T.); #30802=EDGE_CURVE('',#26999,#26591,#11057,.T.); #30803=EDGE_CURVE('',#27000,#26999,#11058,.T.); #30804=EDGE_CURVE('',#26592,#27000,#11059,.T.); #30805=EDGE_CURVE('',#27001,#27000,#11060,.T.); #30806=EDGE_CURVE('',#26779,#27001,#11061,.T.); #30807=EDGE_CURVE('',#26596,#27001,#11062,.T.); #30808=EDGE_CURVE('',#26598,#26596,#11063,.T.); #30809=EDGE_CURVE('',#27002,#26598,#11064,.T.); #30810=EDGE_CURVE('',#26780,#27002,#11065,.T.); #30811=EDGE_CURVE('',#27003,#27002,#11066,.T.); #30812=EDGE_CURVE('',#27003,#26601,#11067,.T.); #30813=EDGE_CURVE('',#27004,#27003,#11068,.T.); #30814=EDGE_CURVE('',#26602,#27004,#11069,.T.); #30815=EDGE_CURVE('',#27005,#27004,#11070,.T.); #30816=EDGE_CURVE('',#26781,#27005,#11071,.T.); #30817=EDGE_CURVE('',#26606,#27005,#11072,.T.); #30818=EDGE_CURVE('',#26608,#26606,#11073,.T.); #30819=EDGE_CURVE('',#27006,#26608,#11074,.T.); #30820=EDGE_CURVE('',#26782,#27006,#11075,.T.); #30821=EDGE_CURVE('',#27007,#27006,#11076,.T.); #30822=EDGE_CURVE('',#27007,#26611,#11077,.T.); #30823=EDGE_CURVE('',#27008,#27007,#11078,.T.); #30824=EDGE_CURVE('',#26612,#27008,#11079,.T.); #30825=EDGE_CURVE('',#27009,#27008,#11080,.T.); #30826=EDGE_CURVE('',#26783,#27009,#11081,.T.); #30827=EDGE_CURVE('',#26616,#27009,#11082,.T.); #30828=EDGE_CURVE('',#26618,#26616,#11083,.T.); #30829=EDGE_CURVE('',#27010,#26618,#11084,.T.); #30830=EDGE_CURVE('',#26784,#27010,#11085,.T.); #30831=EDGE_CURVE('',#27011,#27010,#11086,.T.); #30832=EDGE_CURVE('',#27011,#26621,#11087,.T.); #30833=EDGE_CURVE('',#27012,#27011,#11088,.T.); #30834=EDGE_CURVE('',#26622,#27012,#11089,.T.); #30835=EDGE_CURVE('',#27013,#27012,#11090,.T.); #30836=EDGE_CURVE('',#26785,#27013,#11091,.T.); #30837=EDGE_CURVE('',#26626,#27013,#11092,.T.); #30838=EDGE_CURVE('',#26628,#26626,#11093,.T.); #30839=EDGE_CURVE('',#27014,#26628,#11094,.T.); #30840=EDGE_CURVE('',#26786,#27014,#11095,.T.); #30841=EDGE_CURVE('',#27015,#27014,#11096,.T.); #30842=EDGE_CURVE('',#27015,#26631,#11097,.T.); #30843=EDGE_CURVE('',#27016,#27015,#11098,.T.); #30844=EDGE_CURVE('',#26632,#27016,#11099,.T.); #30845=EDGE_CURVE('',#27017,#27016,#11100,.T.); #30846=EDGE_CURVE('',#26787,#27017,#11101,.T.); #30847=EDGE_CURVE('',#26636,#27017,#11102,.T.); #30848=EDGE_CURVE('',#26638,#26636,#11103,.T.); #30849=EDGE_CURVE('',#27018,#26638,#11104,.T.); #30850=EDGE_CURVE('',#26788,#27018,#11105,.T.); #30851=EDGE_CURVE('',#27019,#27018,#11106,.T.); #30852=EDGE_CURVE('',#27019,#26641,#11107,.T.); #30853=EDGE_CURVE('',#27020,#27019,#11108,.T.); #30854=EDGE_CURVE('',#26642,#27020,#11109,.T.); #30855=EDGE_CURVE('',#27021,#27020,#11110,.T.); #30856=EDGE_CURVE('',#26789,#27021,#11111,.T.); #30857=EDGE_CURVE('',#26646,#27021,#11112,.T.); #30858=EDGE_CURVE('',#26648,#26646,#11113,.T.); #30859=EDGE_CURVE('',#27022,#26648,#11114,.T.); #30860=EDGE_CURVE('',#26790,#27022,#11115,.T.); #30861=EDGE_CURVE('',#27023,#27022,#11116,.T.); #30862=EDGE_CURVE('',#27023,#26651,#11117,.T.); #30863=EDGE_CURVE('',#27024,#27023,#11118,.T.); #30864=EDGE_CURVE('',#26652,#27024,#11119,.T.); #30865=EDGE_CURVE('',#27025,#27024,#11120,.T.); #30866=EDGE_CURVE('',#26791,#27025,#11121,.T.); #30867=EDGE_CURVE('',#26656,#27025,#11122,.T.); #30868=EDGE_CURVE('',#26658,#26656,#11123,.T.); #30869=EDGE_CURVE('',#27026,#26658,#11124,.T.); #30870=EDGE_CURVE('',#26792,#27026,#11125,.T.); #30871=EDGE_CURVE('',#27027,#27026,#11126,.T.); #30872=EDGE_CURVE('',#27027,#26661,#11127,.T.); #30873=EDGE_CURVE('',#27028,#27027,#11128,.T.); #30874=EDGE_CURVE('',#26816,#27028,#11129,.T.); #30875=EDGE_CURVE('',#26664,#27029,#11130,.T.); #30876=EDGE_CURVE('',#27030,#26994,#11131,.T.); #30877=EDGE_CURVE('',#27029,#27030,#11132,.T.); #30878=EDGE_CURVE('',#27031,#27029,#11133,.T.); #30879=EDGE_CURVE('',#26759,#27031,#11134,.T.); #30880=EDGE_CURVE('',#26670,#27031,#11135,.T.); #30881=EDGE_CURVE('',#26672,#26670,#11136,.T.); #30882=EDGE_CURVE('',#27032,#26672,#11137,.T.); #30883=EDGE_CURVE('',#26760,#27032,#11138,.T.); #30884=EDGE_CURVE('',#27033,#27032,#11139,.T.); #30885=EDGE_CURVE('',#27033,#26675,#11140,.T.); #30886=EDGE_CURVE('',#27034,#27033,#11141,.T.); #30887=EDGE_CURVE('',#26676,#27034,#11142,.T.); #30888=EDGE_CURVE('',#27035,#27034,#11143,.T.); #30889=EDGE_CURVE('',#26761,#27035,#11144,.T.); #30890=EDGE_CURVE('',#26680,#27035,#11145,.T.); #30891=EDGE_CURVE('',#26682,#26680,#11146,.T.); #30892=EDGE_CURVE('',#27036,#26682,#11147,.T.); #30893=EDGE_CURVE('',#26762,#27036,#11148,.T.); #30894=EDGE_CURVE('',#27037,#27036,#11149,.T.); #30895=EDGE_CURVE('',#27037,#26685,#11150,.T.); #30896=EDGE_CURVE('',#27038,#27037,#11151,.T.); #30897=EDGE_CURVE('',#26686,#27038,#11152,.T.); #30898=EDGE_CURVE('',#27039,#27038,#11153,.T.); #30899=EDGE_CURVE('',#26763,#27039,#11154,.T.); #30900=EDGE_CURVE('',#26690,#27039,#11155,.T.); #30901=EDGE_CURVE('',#26692,#26690,#11156,.T.); #30902=EDGE_CURVE('',#27040,#26692,#11157,.T.); #30903=EDGE_CURVE('',#26764,#27040,#11158,.T.); #30904=EDGE_CURVE('',#27041,#27040,#11159,.T.); #30905=EDGE_CURVE('',#27041,#26695,#11160,.T.); #30906=EDGE_CURVE('',#27042,#27041,#11161,.T.); #30907=EDGE_CURVE('',#26696,#27042,#11162,.T.); #30908=EDGE_CURVE('',#27043,#27042,#11163,.T.); #30909=EDGE_CURVE('',#26765,#27043,#11164,.T.); #30910=EDGE_CURVE('',#26700,#27043,#11165,.T.); #30911=EDGE_CURVE('',#26702,#26700,#11166,.T.); #30912=EDGE_CURVE('',#27044,#26702,#11167,.T.); #30913=EDGE_CURVE('',#26766,#27044,#11168,.T.); #30914=EDGE_CURVE('',#27045,#27044,#11169,.T.); #30915=EDGE_CURVE('',#27045,#26705,#11170,.T.); #30916=EDGE_CURVE('',#27046,#27045,#11171,.T.); #30917=EDGE_CURVE('',#26706,#27046,#11172,.T.); #30918=EDGE_CURVE('',#27047,#27046,#11173,.T.); #30919=EDGE_CURVE('',#26767,#27047,#11174,.T.); #30920=EDGE_CURVE('',#26710,#27047,#11175,.T.); #30921=EDGE_CURVE('',#26712,#26710,#11176,.T.); #30922=EDGE_CURVE('',#27048,#26712,#11177,.T.); #30923=EDGE_CURVE('',#26768,#27048,#11178,.T.); #30924=EDGE_CURVE('',#27049,#27048,#11179,.T.); #30925=EDGE_CURVE('',#27049,#26715,#11180,.T.); #30926=EDGE_CURVE('',#27050,#27049,#11181,.T.); #30927=EDGE_CURVE('',#26716,#27050,#11182,.T.); #30928=EDGE_CURVE('',#27051,#27050,#11183,.T.); #30929=EDGE_CURVE('',#26769,#27051,#11184,.T.); #30930=EDGE_CURVE('',#26720,#27051,#11185,.T.); #30931=EDGE_CURVE('',#26722,#26720,#11186,.T.); #30932=EDGE_CURVE('',#27052,#26722,#11187,.T.); #30933=EDGE_CURVE('',#26770,#27052,#11188,.T.); #30934=EDGE_CURVE('',#27053,#27052,#11189,.T.); #30935=EDGE_CURVE('',#27053,#26725,#11190,.T.); #30936=EDGE_CURVE('',#27054,#27053,#11191,.T.); #30937=EDGE_CURVE('',#26726,#27054,#11192,.T.); #30938=EDGE_CURVE('',#27055,#27054,#11193,.T.); #30939=EDGE_CURVE('',#26771,#27055,#11194,.T.); #30940=EDGE_CURVE('',#26730,#27055,#11195,.T.); #30941=EDGE_CURVE('',#26732,#26730,#11196,.T.); #30942=EDGE_CURVE('',#27056,#26732,#11197,.T.); #30943=EDGE_CURVE('',#26772,#27056,#11198,.T.); #30944=EDGE_CURVE('',#27057,#27056,#11199,.T.); #30945=EDGE_CURVE('',#27057,#26735,#11200,.T.); #30946=EDGE_CURVE('',#27058,#27057,#11201,.T.); #30947=EDGE_CURVE('',#26736,#27058,#11202,.T.); #30948=EDGE_CURVE('',#27059,#27058,#11203,.T.); #30949=EDGE_CURVE('',#26773,#27059,#11204,.T.); #30950=EDGE_CURVE('',#26740,#27059,#11205,.T.); #30951=EDGE_CURVE('',#26742,#26740,#11206,.T.); #30952=EDGE_CURVE('',#27060,#26742,#11207,.T.); #30953=EDGE_CURVE('',#26774,#27060,#11208,.T.); #30954=EDGE_CURVE('',#27061,#27060,#11209,.T.); #30955=EDGE_CURVE('',#27061,#26809,#11210,.T.); #30956=EDGE_CURVE('',#26996,#27061,#11211,.T.); #30957=EDGE_CURVE('',#26814,#27062,#11212,.T.); #30958=EDGE_CURVE('',#26813,#27063,#11213,.T.); #30959=EDGE_CURVE('',#27062,#27063,#8244,.T.); #30960=EDGE_CURVE('',#27064,#27062,#8245,.T.); #30961=EDGE_CURVE('',#26815,#27064,#11214,.T.); #30962=EDGE_CURVE('',#27065,#27064,#11215,.T.); #30963=EDGE_CURVE('',#26812,#27065,#11216,.T.); #30964=EDGE_CURVE('',#27066,#27065,#8246,.T.); #30965=EDGE_CURVE('',#26811,#27066,#11217,.T.); #30966=EDGE_CURVE('',#27063,#27066,#11218,.T.); #30967=EDGE_CURVE('',#27028,#27067,#11219,.T.); #30968=EDGE_CURVE('',#27067,#27068,#11220,.T.); #30969=EDGE_CURVE('',#26922,#27068,#11221,.T.); #30970=EDGE_CURVE('',#27069,#27070,#11222,.T.); #30971=EDGE_CURVE('',#26821,#27069,#11223,.T.); #30972=EDGE_CURVE('',#26990,#27070,#11224,.T.); #30973=EDGE_CURVE('',#26822,#27071,#11225,.T.); #30974=EDGE_CURVE('',#27071,#27069,#11226,.T.); #30975=EDGE_CURVE('',#27072,#27071,#11227,.T.); #30976=EDGE_CURVE('',#26825,#27072,#11228,.T.); #30977=EDGE_CURVE('',#27073,#27072,#11229,.T.); #30978=EDGE_CURVE('',#26827,#27073,#11230,.T.); #30979=EDGE_CURVE('',#27074,#27073,#11231,.T.); #30980=EDGE_CURVE('',#26991,#27074,#11232,.T.); #30981=EDGE_CURVE('',#27075,#27074,#11233,.T.); #30982=EDGE_CURVE('',#26992,#27075,#11234,.T.); #30983=EDGE_CURVE('',#27076,#27075,#11235,.T.); #30984=EDGE_CURVE('',#26993,#27076,#11236,.T.); #30985=EDGE_CURVE('',#27077,#27076,#11237,.T.); #30986=EDGE_CURVE('',#26986,#27077,#11238,.T.); #30987=EDGE_CURVE('',#27078,#27077,#11239,.T.); #30988=EDGE_CURVE('',#26987,#27078,#11240,.T.); #30989=EDGE_CURVE('',#27079,#27078,#11241,.T.); #30990=EDGE_CURVE('',#26988,#27079,#11242,.T.); #30991=EDGE_CURVE('',#27080,#27079,#11243,.T.); #30992=EDGE_CURVE('',#26910,#27080,#11244,.T.); #30993=EDGE_CURVE('',#27081,#27080,#11245,.T.); #30994=EDGE_CURVE('',#26915,#27081,#11246,.T.); #30995=EDGE_CURVE('',#26918,#27082,#11247,.T.); #30996=EDGE_CURVE('',#27082,#27081,#11248,.T.); #30997=EDGE_CURVE('',#26917,#27083,#11249,.T.); #30998=EDGE_CURVE('',#27083,#27082,#11250,.T.); #30999=EDGE_CURVE('',#27084,#27083,#11251,.T.); #31000=EDGE_CURVE('',#26989,#27084,#11252,.T.); #31001=EDGE_CURVE('',#27085,#27084,#11253,.T.); #31002=EDGE_CURVE('',#27085,#26995,#11254,.T.); #31003=EDGE_CURVE('',#27070,#27068,#11255,.T.); #31004=EDGE_CURVE('',#27085,#27086,#11256,.T.); #31005=EDGE_CURVE('',#27030,#27086,#11257,.T.); #31006=EDGE_CURVE('',#27086,#27067,#11258,.T.); #31007=EDGE_CURVE('',#27087,#27088,#11259,.T.); #31008=EDGE_CURVE('',#27089,#27088,#11260,.T.); #31009=EDGE_CURVE('',#27090,#27089,#11261,.T.); #31010=EDGE_CURVE('',#27090,#27087,#11262,.T.); #31011=EDGE_CURVE('',#27091,#27087,#8247,.T.); #31012=EDGE_CURVE('',#27092,#27090,#8248,.T.); #31013=EDGE_CURVE('',#27092,#27091,#11263,.T.); #31014=EDGE_CURVE('',#27093,#27091,#11264,.T.); #31015=EDGE_CURVE('',#27094,#27092,#11265,.T.); #31016=EDGE_CURVE('',#27094,#27093,#11266,.T.); #31017=EDGE_CURVE('',#27095,#27093,#8249,.T.); #31018=EDGE_CURVE('',#27088,#27095,#8250,.T.); #31019=EDGE_CURVE('',#27096,#27095,#11267,.T.); #31020=EDGE_CURVE('',#27089,#27096,#8251,.T.); #31021=EDGE_CURVE('',#27096,#27094,#8252,.T.); #31022=EDGE_CURVE('',#27097,#27098,#31950,.T.); #31023=EDGE_CURVE('',#27099,#27097,#31951,.T.); #31024=EDGE_CURVE('',#27100,#27099,#31952,.T.); #31025=EDGE_CURVE('',#27101,#27100,#31953,.T.); #31026=EDGE_CURVE('',#27102,#27101,#31954,.T.); #31027=EDGE_CURVE('',#27103,#27102,#31955,.T.); #31028=EDGE_CURVE('',#27104,#27103,#31956,.T.); #31029=EDGE_CURVE('',#27105,#27104,#31957,.T.); #31030=EDGE_CURVE('',#27098,#27105,#31958,.T.); #31031=EDGE_CURVE('',#27106,#27107,#31959,.T.); #31032=EDGE_CURVE('',#27108,#27106,#31960,.T.); #31033=EDGE_CURVE('',#27107,#27108,#31961,.T.); #31034=EDGE_CURVE('',#27109,#27110,#31962,.T.); #31035=EDGE_CURVE('',#27111,#27109,#31963,.T.); #31036=EDGE_CURVE('',#27112,#27111,#31964,.T.); #31037=EDGE_CURVE('',#27113,#27112,#31965,.T.); #31038=EDGE_CURVE('',#27114,#27113,#31966,.T.); #31039=EDGE_CURVE('',#27114,#27115,#31967,.T.); #31040=EDGE_CURVE('',#27115,#27116,#31968,.T.); #31041=EDGE_CURVE('',#27116,#27110,#31969,.T.); #31042=EDGE_CURVE('',#27117,#27118,#31970,.T.); #31043=EDGE_CURVE('',#27118,#27119,#31971,.T.); #31044=EDGE_CURVE('',#27119,#27120,#31972,.T.); #31045=EDGE_CURVE('',#27120,#27117,#31973,.T.); #31046=EDGE_CURVE('',#27121,#27122,#31974,.T.); #31047=EDGE_CURVE('',#27122,#27123,#31975,.T.); #31048=EDGE_CURVE('',#27123,#27124,#31976,.T.); #31049=EDGE_CURVE('',#27124,#27121,#31977,.T.); #31050=EDGE_CURVE('',#27125,#27126,#31978,.T.); #31051=EDGE_CURVE('',#27126,#27127,#31979,.T.); #31052=EDGE_CURVE('',#27127,#27128,#31980,.T.); #31053=EDGE_CURVE('',#27128,#27125,#31981,.T.); #31054=EDGE_CURVE('',#27129,#27130,#31982,.T.); #31055=EDGE_CURVE('',#27130,#27131,#31983,.T.); #31056=EDGE_CURVE('',#27131,#27132,#31984,.T.); #31057=EDGE_CURVE('',#27132,#27129,#31985,.T.); #31058=EDGE_CURVE('',#27133,#27134,#31986,.T.); #31059=EDGE_CURVE('',#27135,#27133,#31987,.T.); #31060=EDGE_CURVE('',#27136,#27135,#31988,.T.); #31061=EDGE_CURVE('',#27134,#27136,#31989,.T.); #31062=EDGE_CURVE('',#27137,#27138,#31990,.T.); #31063=EDGE_CURVE('',#27138,#27139,#31991,.T.); #31064=EDGE_CURVE('',#27139,#27140,#31992,.T.); #31065=EDGE_CURVE('',#27140,#27137,#31993,.T.); #31066=EDGE_CURVE('',#27141,#27142,#31994,.T.); #31067=EDGE_CURVE('',#27142,#27143,#31995,.T.); #31068=EDGE_CURVE('',#27143,#27144,#31996,.T.); #31069=EDGE_CURVE('',#27144,#27141,#31997,.T.); #31070=EDGE_CURVE('',#27145,#27146,#31998,.T.); #31071=EDGE_CURVE('',#27146,#27147,#31999,.T.); #31072=EDGE_CURVE('',#27147,#27148,#32000,.T.); #31073=EDGE_CURVE('',#27148,#27145,#32001,.T.); #31074=EDGE_CURVE('',#27149,#27150,#32002,.T.); #31075=EDGE_CURVE('',#27150,#27151,#32003,.T.); #31076=EDGE_CURVE('',#27151,#27152,#32004,.T.); #31077=EDGE_CURVE('',#27152,#27149,#32005,.T.); #31078=EDGE_CURVE('',#27153,#27154,#11268,.T.); #31079=EDGE_CURVE('',#27153,#27155,#11269,.T.); #31080=EDGE_CURVE('',#27155,#27156,#11270,.T.); #31081=EDGE_CURVE('',#27157,#27156,#11271,.T.); #31082=EDGE_CURVE('',#27157,#27158,#11272,.T.); #31083=EDGE_CURVE('',#27159,#27158,#11273,.T.); #31084=EDGE_CURVE('',#27159,#27160,#11274,.T.); #31085=EDGE_CURVE('',#27160,#27161,#8253,.T.); #31086=EDGE_CURVE('',#27161,#27162,#11275,.T.); #31087=EDGE_CURVE('',#27163,#27162,#11276,.T.); #31088=EDGE_CURVE('',#27163,#27164,#11277,.T.); #31089=EDGE_CURVE('',#27165,#27164,#11278,.T.); #31090=EDGE_CURVE('',#27165,#27166,#11279,.T.); #31091=EDGE_CURVE('',#27166,#27167,#8254,.T.); #31092=EDGE_CURVE('',#27167,#27168,#11280,.T.); #31093=EDGE_CURVE('',#27154,#27168,#11281,.T.); #31094=EDGE_CURVE('',#27169,#27169,#8255,.T.); #31095=EDGE_CURVE('',#27170,#27171,#32006,.T.); #31096=EDGE_CURVE('',#27171,#27172,#32007,.T.); #31097=EDGE_CURVE('',#27172,#27173,#32008,.T.); #31098=EDGE_CURVE('',#27173,#27170,#32009,.T.); #31099=EDGE_CURVE('',#27174,#27175,#32010,.T.); #31100=EDGE_CURVE('',#27175,#27176,#32011,.T.); #31101=EDGE_CURVE('',#27176,#27177,#32012,.T.); #31102=EDGE_CURVE('',#27177,#27174,#32013,.T.); #31103=EDGE_CURVE('',#27178,#27179,#32014,.T.); #31104=EDGE_CURVE('',#27179,#27180,#32015,.T.); #31105=EDGE_CURVE('',#27180,#27181,#32016,.T.); #31106=EDGE_CURVE('',#27181,#27178,#32017,.T.); #31107=EDGE_CURVE('',#27182,#27183,#32018,.T.); #31108=EDGE_CURVE('',#27183,#27184,#32019,.T.); #31109=EDGE_CURVE('',#27184,#27185,#32020,.T.); #31110=EDGE_CURVE('',#27185,#27182,#32021,.T.); #31111=EDGE_CURVE('',#27186,#27187,#32022,.T.); #31112=EDGE_CURVE('',#27187,#27188,#32023,.T.); #31113=EDGE_CURVE('',#27188,#27189,#32024,.T.); #31114=EDGE_CURVE('',#27189,#27186,#32025,.T.); #31115=EDGE_CURVE('',#27190,#27191,#32026,.T.); #31116=EDGE_CURVE('',#27191,#27192,#32027,.T.); #31117=EDGE_CURVE('',#27192,#27193,#32028,.T.); #31118=EDGE_CURVE('',#27193,#27190,#32029,.T.); #31119=EDGE_CURVE('',#27194,#27195,#32030,.T.); #31120=EDGE_CURVE('',#27195,#27196,#32031,.T.); #31121=EDGE_CURVE('',#27196,#27197,#32032,.T.); #31122=EDGE_CURVE('',#27197,#27194,#32033,.T.); #31123=EDGE_CURVE('',#27198,#27199,#32034,.T.); #31124=EDGE_CURVE('',#27199,#27200,#32035,.T.); #31125=EDGE_CURVE('',#27200,#27201,#32036,.T.); #31126=EDGE_CURVE('',#27201,#27198,#32037,.T.); #31127=EDGE_CURVE('',#27202,#27203,#32038,.T.); #31128=EDGE_CURVE('',#27203,#27204,#32039,.T.); #31129=EDGE_CURVE('',#27204,#27205,#32040,.T.); #31130=EDGE_CURVE('',#27205,#27202,#32041,.T.); #31131=EDGE_CURVE('',#27206,#27207,#32042,.T.); #31132=EDGE_CURVE('',#27208,#27206,#32043,.T.); #31133=EDGE_CURVE('',#27209,#27208,#32044,.T.); #31134=EDGE_CURVE('',#27210,#27209,#32045,.T.); #31135=EDGE_CURVE('',#27211,#27210,#32046,.T.); #31136=EDGE_CURVE('',#27212,#27211,#32047,.T.); #31137=EDGE_CURVE('',#27213,#27212,#32048,.T.); #31138=EDGE_CURVE('',#27214,#27213,#32049,.T.); #31139=EDGE_CURVE('',#27207,#27214,#32050,.T.); #31140=EDGE_CURVE('',#27215,#27216,#32051,.T.); #31141=EDGE_CURVE('',#27217,#27215,#32052,.T.); #31142=EDGE_CURVE('',#27218,#27217,#32053,.T.); #31143=EDGE_CURVE('',#27219,#27218,#32054,.T.); #31144=EDGE_CURVE('',#27220,#27219,#32055,.T.); #31145=EDGE_CURVE('',#27221,#27220,#32056,.T.); #31146=EDGE_CURVE('',#27216,#27221,#32057,.T.); #31147=EDGE_CURVE('',#27222,#27223,#32058,.T.); #31148=EDGE_CURVE('',#27224,#27222,#32059,.T.); #31149=EDGE_CURVE('',#27225,#27224,#32060,.T.); #31150=EDGE_CURVE('',#27226,#27225,#32061,.T.); #31151=EDGE_CURVE('',#27227,#27226,#32062,.T.); #31152=EDGE_CURVE('',#27228,#27227,#32063,.T.); #31153=EDGE_CURVE('',#27229,#27228,#32064,.T.); #31154=EDGE_CURVE('',#27223,#27229,#32065,.T.); #31155=EDGE_CURVE('',#27230,#27231,#32066,.T.); #31156=EDGE_CURVE('',#27232,#27230,#32067,.T.); #31157=EDGE_CURVE('',#27233,#27232,#32068,.T.); #31158=EDGE_CURVE('',#27234,#27233,#32069,.T.); #31159=EDGE_CURVE('',#27235,#27234,#32070,.T.); #31160=EDGE_CURVE('',#27236,#27235,#32071,.T.); #31161=EDGE_CURVE('',#27237,#27236,#32072,.T.); #31162=EDGE_CURVE('',#27238,#27237,#32073,.T.); #31163=EDGE_CURVE('',#27231,#27238,#32074,.T.); #31164=EDGE_CURVE('',#27239,#27240,#32075,.T.); #31165=EDGE_CURVE('',#27241,#27239,#32076,.T.); #31166=EDGE_CURVE('',#27242,#27241,#32077,.T.); #31167=EDGE_CURVE('',#27240,#27242,#32078,.T.); #31168=EDGE_CURVE('',#27243,#27244,#32079,.T.); #31169=EDGE_CURVE('',#27245,#27243,#32080,.T.); #31170=EDGE_CURVE('',#27246,#27245,#32081,.T.); #31171=EDGE_CURVE('',#27247,#27246,#32082,.T.); #31172=EDGE_CURVE('',#27244,#27247,#32083,.T.); #31173=EDGE_CURVE('',#27248,#27249,#32084,.T.); #31174=EDGE_CURVE('',#27250,#27248,#32085,.T.); #31175=EDGE_CURVE('',#27251,#27250,#32086,.T.); #31176=EDGE_CURVE('',#27252,#27251,#32087,.T.); #31177=EDGE_CURVE('',#27253,#27252,#32088,.T.); #31178=EDGE_CURVE('',#27254,#27253,#32089,.T.); #31179=EDGE_CURVE('',#27255,#27254,#32090,.T.); #31180=EDGE_CURVE('',#27256,#27255,#32091,.T.); #31181=EDGE_CURVE('',#27257,#27256,#32092,.T.); #31182=EDGE_CURVE('',#27258,#27257,#32093,.T.); #31183=EDGE_CURVE('',#27259,#27258,#32094,.T.); #31184=EDGE_CURVE('',#27249,#27259,#32095,.T.); #31185=EDGE_CURVE('',#27260,#27261,#32096,.T.); #31186=EDGE_CURVE('',#27262,#27260,#32097,.T.); #31187=EDGE_CURVE('',#27263,#27262,#32098,.T.); #31188=EDGE_CURVE('',#27264,#27263,#32099,.T.); #31189=EDGE_CURVE('',#27265,#27264,#32100,.T.); #31190=EDGE_CURVE('',#27266,#27265,#32101,.T.); #31191=EDGE_CURVE('',#27267,#27266,#32102,.T.); #31192=EDGE_CURVE('',#27268,#27267,#32103,.T.); #31193=EDGE_CURVE('',#27269,#27268,#32104,.T.); #31194=EDGE_CURVE('',#27270,#27269,#32105,.T.); #31195=EDGE_CURVE('',#27271,#27270,#32106,.T.); #31196=EDGE_CURVE('',#27261,#27271,#32107,.T.); #31197=EDGE_CURVE('',#27272,#27273,#32108,.T.); #31198=EDGE_CURVE('',#27273,#27272,#32109,.T.); #31199=EDGE_CURVE('',#27274,#27275,#32110,.T.); #31200=EDGE_CURVE('',#27275,#27276,#32111,.T.); #31201=EDGE_CURVE('',#27276,#27277,#32112,.T.); #31202=EDGE_CURVE('',#27277,#27274,#32113,.T.); #31203=EDGE_CURVE('',#27153,#27278,#8256,.T.); #31204=EDGE_CURVE('',#27154,#27279,#11282,.T.); #31205=EDGE_CURVE('',#27278,#27279,#11283,.T.); #31206=EDGE_CURVE('',#27168,#27280,#8257,.T.); #31207=EDGE_CURVE('',#27280,#27281,#11284,.T.); #31208=EDGE_CURVE('',#27281,#27282,#8258,.T.); #31209=EDGE_CURVE('',#27282,#27283,#11285,.T.); #31210=EDGE_CURVE('',#27284,#27283,#11286,.T.); #31211=EDGE_CURVE('',#27284,#27285,#11287,.T.); #31212=EDGE_CURVE('',#27285,#27286,#8259,.T.); #31213=EDGE_CURVE('',#27286,#27287,#11288,.T.); #31214=EDGE_CURVE('',#27287,#27288,#8260,.T.); #31215=EDGE_CURVE('',#27288,#27279,#11289,.T.); #31216=EDGE_CURVE('',#27289,#27290,#8261,.T.); #31217=EDGE_CURVE('',#27289,#27291,#8262,.T.); #31218=EDGE_CURVE('',#27292,#27291,#11290,.T.); #31219=EDGE_CURVE('',#27292,#27293,#11291,.T.); #31220=EDGE_CURVE('',#27293,#27294,#8263,.T.); #31221=EDGE_CURVE('',#27294,#27295,#11292,.T.); #31222=EDGE_CURVE('',#27296,#27295,#11293,.T.); #31223=EDGE_CURVE('',#27296,#27290,#8264,.T.); #31224=EDGE_CURVE('',#27297,#27298,#11294,.T.); #31225=EDGE_CURVE('',#27297,#27163,#11295,.T.); #31226=EDGE_CURVE('',#27162,#27299,#8265,.T.); #31227=EDGE_CURVE('',#27299,#27300,#11296,.T.); #31228=EDGE_CURVE('',#27300,#27301,#8266,.T.); #31229=EDGE_CURVE('',#27302,#27301,#11297,.T.); #31230=EDGE_CURVE('',#27296,#27302,#11298,.T.); #31231=EDGE_CURVE('',#27295,#27303,#8267,.T.); #31232=EDGE_CURVE('',#27303,#27304,#11299,.T.); #31233=EDGE_CURVE('',#27304,#27298,#8268,.T.); #31234=EDGE_CURVE('',#27158,#27305,#11300,.T.); #31235=EDGE_CURVE('',#27306,#27305,#11301,.T.); #31236=EDGE_CURVE('',#27306,#27307,#8269,.T.); #31237=EDGE_CURVE('',#27307,#27308,#11302,.T.); #31238=EDGE_CURVE('',#27308,#27292,#8270,.T.); #31239=EDGE_CURVE('',#27291,#27309,#11303,.T.); #31240=EDGE_CURVE('',#27309,#27310,#11304,.T.); #31241=EDGE_CURVE('',#27310,#27311,#8271,.T.); #31242=EDGE_CURVE('',#27311,#27312,#11305,.T.); #31243=EDGE_CURVE('',#27312,#27159,#8272,.T.); #31244=EDGE_CURVE('',#27289,#27313,#11306,.T.); #31245=EDGE_CURVE('',#27290,#27314,#11307,.T.); #31246=EDGE_CURVE('',#27313,#27314,#8273,.T.); #31247=EDGE_CURVE('',#27164,#27315,#11308,.T.); #31248=EDGE_CURVE('',#27315,#27316,#11309,.T.); #31249=EDGE_CURVE('',#27316,#27317,#8274,.T.); #31250=EDGE_CURVE('',#27317,#27318,#11310,.T.); #31251=EDGE_CURVE('',#27318,#27319,#8275,.T.); #31252=EDGE_CURVE('',#27319,#27320,#11311,.T.); #31253=EDGE_CURVE('',#27320,#27321,#11312,.T.); #31254=EDGE_CURVE('',#27322,#27321,#11313,.T.); #31255=EDGE_CURVE('',#27322,#27323,#8276,.T.); #31256=EDGE_CURVE('',#27323,#27324,#11314,.T.); #31257=EDGE_CURVE('',#27324,#27165,#8277,.T.); #31258=EDGE_CURVE('',#27325,#27326,#8278,.T.); #31259=EDGE_CURVE('',#27325,#27320,#8279,.T.); #31260=EDGE_CURVE('',#27319,#27327,#11315,.T.); #31261=EDGE_CURVE('',#27327,#27328,#8280,.T.); #31262=EDGE_CURVE('',#27328,#27285,#11316,.T.); #31263=EDGE_CURVE('',#27284,#27326,#8281,.T.); #31264=EDGE_CURVE('',#27156,#27329,#11317,.T.); #31265=EDGE_CURVE('',#27329,#27330,#11318,.T.); #31266=EDGE_CURVE('',#27330,#27157,#11319,.T.); #31267=EDGE_CURVE('',#27325,#27331,#11320,.T.); #31268=EDGE_CURVE('',#27326,#27332,#11321,.T.); #31269=EDGE_CURVE('',#27331,#27332,#8282,.T.); #31270=EDGE_CURVE('',#27332,#27283,#8283,.T.); #31271=EDGE_CURVE('',#27282,#27333,#11322,.T.); #31272=EDGE_CURVE('',#27333,#27334,#8284,.T.); #31273=EDGE_CURVE('',#27334,#27322,#11323,.T.); #31274=EDGE_CURVE('',#27321,#27331,#8285,.T.); #31275=EDGE_CURVE('',#27314,#27302,#8286,.T.); #31276=EDGE_CURVE('',#27301,#27335,#11324,.T.); #31277=EDGE_CURVE('',#27335,#27336,#8287,.T.); #31278=EDGE_CURVE('',#27336,#27310,#11325,.T.); #31279=EDGE_CURVE('',#27309,#27313,#8288,.T.); #31280=EDGE_CURVE('',#27337,#27337,#8289,.T.); #31281=EDGE_CURVE('',#27338,#27339,#11326,.T.); #31282=EDGE_CURVE('',#27338,#27278,#11327,.T.); #31283=EDGE_CURVE('',#27288,#27340,#11328,.T.); #31284=EDGE_CURVE('',#27340,#27341,#8290,.T.); #31285=EDGE_CURVE('',#27341,#27316,#11329,.T.); #31286=EDGE_CURVE('',#27315,#27297,#11330,.T.); #31287=EDGE_CURVE('',#27298,#27342,#11331,.T.); #31288=EDGE_CURVE('',#27342,#27343,#8291,.T.); #31289=EDGE_CURVE('',#27343,#27306,#11332,.T.); #31290=EDGE_CURVE('',#27305,#27330,#11333,.T.); #31291=EDGE_CURVE('',#27344,#27329,#11334,.T.); #31292=EDGE_CURVE('',#27339,#27344,#11335,.T.); #31293=EDGE_CURVE('',#27280,#27345,#11336,.T.); #31294=EDGE_CURVE('',#27346,#27345,#11337,.T.); #31295=EDGE_CURVE('',#27346,#27281,#11338,.T.); #31296=EDGE_CURVE('',#27345,#27347,#8292,.T.); #31297=EDGE_CURVE('',#27348,#27347,#11339,.T.); #31298=EDGE_CURVE('',#27348,#27346,#8293,.T.); #31299=EDGE_CURVE('',#27347,#27324,#11340,.T.); #31300=EDGE_CURVE('',#27323,#27348,#11341,.T.); #31301=EDGE_CURVE('',#27349,#27350,#11342,.T.); #31302=EDGE_CURVE('',#27349,#27312,#11343,.T.); #31303=EDGE_CURVE('',#27311,#27350,#11344,.T.); #31304=EDGE_CURVE('',#27351,#27352,#11345,.T.); #31305=EDGE_CURVE('',#27351,#27349,#8294,.T.); #31306=EDGE_CURVE('',#27350,#27352,#8295,.T.); #31307=EDGE_CURVE('',#27299,#27351,#11346,.T.); #31308=EDGE_CURVE('',#27352,#27300,#11347,.T.); #31309=EDGE_CURVE('',#27303,#27353,#11348,.T.); #31310=EDGE_CURVE('',#27354,#27353,#11349,.T.); #31311=EDGE_CURVE('',#27354,#27304,#11350,.T.); #31312=EDGE_CURVE('',#27353,#27355,#8296,.T.); #31313=EDGE_CURVE('',#27356,#27355,#11351,.T.); #31314=EDGE_CURVE('',#27356,#27354,#8297,.T.); #31315=EDGE_CURVE('',#27355,#27308,#11352,.T.); #31316=EDGE_CURVE('',#27307,#27356,#11353,.T.); #31317=EDGE_CURVE('',#27357,#27358,#11354,.T.); #31318=EDGE_CURVE('',#27357,#27318,#11355,.T.); #31319=EDGE_CURVE('',#27317,#27358,#11356,.T.); #31320=EDGE_CURVE('',#27359,#27360,#11357,.T.); #31321=EDGE_CURVE('',#27359,#27357,#8298,.T.); #31322=EDGE_CURVE('',#27358,#27360,#8299,.T.); #31323=EDGE_CURVE('',#27286,#27359,#11358,.T.); #31324=EDGE_CURVE('',#27360,#27287,#11359,.T.); #31325=EDGE_CURVE('',#27348,#27334,#8300,.T.); #31326=EDGE_CURVE('',#27346,#27333,#8301,.T.); #31327=EDGE_CURVE('',#27358,#27341,#8302,.T.); #31328=EDGE_CURVE('',#27360,#27340,#8303,.T.); #31329=EDGE_CURVE('',#27356,#27343,#8304,.T.); #31330=EDGE_CURVE('',#27354,#27342,#8305,.T.); #31331=EDGE_CURVE('',#27350,#27336,#8306,.T.); #31332=EDGE_CURVE('',#27352,#27335,#8307,.T.); #31333=EDGE_CURVE('',#27353,#27294,#8308,.T.); #31334=EDGE_CURVE('',#27355,#27293,#8309,.T.); #31335=EDGE_CURVE('',#27359,#27328,#8310,.T.); #31336=EDGE_CURVE('',#27357,#27327,#8311,.T.); #31337=EDGE_CURVE('',#27351,#27161,#8312,.T.); #31338=EDGE_CURVE('',#27349,#27160,#8313,.T.); #31339=EDGE_CURVE('',#27166,#27347,#8314,.T.); #31340=EDGE_CURVE('',#27167,#27345,#8315,.T.); #31341=EDGE_CURVE('',#27155,#27344,#8316,.T.); #31342=EDGE_CURVE('',#27361,#27339,#8317,.T.); #31343=EDGE_CURVE('',#27344,#27362,#8318,.T.); #31344=EDGE_CURVE('',#27362,#27363,#11360,.T.); #31345=EDGE_CURVE('',#27363,#27364,#11361,.T.); #31346=EDGE_CURVE('',#27364,#27361,#11362,.T.); #31347=EDGE_CURVE('',#27365,#27338,#8319,.T.); #31348=EDGE_CURVE('',#27366,#27365,#11363,.T.); #31349=EDGE_CURVE('',#27367,#27366,#11364,.T.); #31350=EDGE_CURVE('',#27368,#27367,#11365,.T.); #31351=EDGE_CURVE('',#27278,#27368,#8320,.T.); #31352=EDGE_CURVE('',#27363,#27367,#11366,.T.); #31353=EDGE_CURVE('',#27362,#27368,#11367,.T.); #31354=EDGE_CURVE('',#27364,#27366,#11368,.T.); #31355=EDGE_CURVE('',#27361,#27365,#11369,.T.); #31356=EDGE_CURVE('',#27369,#27171,#11370,.T.); #31357=EDGE_CURVE('',#27369,#27370,#32114,.T.); #31358=EDGE_CURVE('',#27370,#27172,#11371,.T.); #31359=EDGE_CURVE('',#27370,#27371,#32115,.T.); #31360=EDGE_CURVE('',#27371,#27173,#11372,.T.); #31361=EDGE_CURVE('',#27371,#27372,#32116,.T.); #31362=EDGE_CURVE('',#27372,#27170,#11373,.T.); #31363=EDGE_CURVE('',#27372,#27369,#32117,.T.); #31364=EDGE_CURVE('',#27373,#27150,#11374,.T.); #31365=EDGE_CURVE('',#27373,#27374,#32118,.T.); #31366=EDGE_CURVE('',#27374,#27151,#11375,.T.); #31367=EDGE_CURVE('',#27374,#27375,#32119,.T.); #31368=EDGE_CURVE('',#27375,#27152,#11376,.T.); #31369=EDGE_CURVE('',#27375,#27376,#32120,.T.); #31370=EDGE_CURVE('',#27376,#27149,#11377,.T.); #31371=EDGE_CURVE('',#27376,#27373,#32121,.T.); #31372=EDGE_CURVE('',#27377,#27175,#11378,.T.); #31373=EDGE_CURVE('',#27377,#27378,#32122,.T.); #31374=EDGE_CURVE('',#27378,#27176,#11379,.T.); #31375=EDGE_CURVE('',#27378,#27379,#32123,.T.); #31376=EDGE_CURVE('',#27379,#27177,#11380,.T.); #31377=EDGE_CURVE('',#27379,#27380,#32124,.T.); #31378=EDGE_CURVE('',#27380,#27174,#11381,.T.); #31379=EDGE_CURVE('',#27380,#27377,#32125,.T.); #31380=EDGE_CURVE('',#27381,#27146,#11382,.T.); #31381=EDGE_CURVE('',#27381,#27382,#32126,.T.); #31382=EDGE_CURVE('',#27382,#27147,#11383,.T.); #31383=EDGE_CURVE('',#27382,#27383,#32127,.T.); #31384=EDGE_CURVE('',#27383,#27148,#11384,.T.); #31385=EDGE_CURVE('',#27383,#27384,#32128,.T.); #31386=EDGE_CURVE('',#27384,#27145,#11385,.T.); #31387=EDGE_CURVE('',#27384,#27381,#32129,.T.); #31388=EDGE_CURVE('',#27385,#27179,#11386,.T.); #31389=EDGE_CURVE('',#27385,#27386,#32130,.T.); #31390=EDGE_CURVE('',#27386,#27180,#11387,.T.); #31391=EDGE_CURVE('',#27386,#27387,#32131,.T.); #31392=EDGE_CURVE('',#27387,#27181,#11388,.T.); #31393=EDGE_CURVE('',#27387,#27388,#32132,.T.); #31394=EDGE_CURVE('',#27388,#27178,#11389,.T.); #31395=EDGE_CURVE('',#27388,#27385,#32133,.T.); #31396=EDGE_CURVE('',#27389,#27142,#11390,.T.); #31397=EDGE_CURVE('',#27389,#27390,#32134,.T.); #31398=EDGE_CURVE('',#27390,#27143,#11391,.T.); #31399=EDGE_CURVE('',#27390,#27391,#32135,.T.); #31400=EDGE_CURVE('',#27391,#27144,#11392,.T.); #31401=EDGE_CURVE('',#27391,#27392,#32136,.T.); #31402=EDGE_CURVE('',#27392,#27141,#11393,.T.); #31403=EDGE_CURVE('',#27392,#27389,#32137,.T.); #31404=EDGE_CURVE('',#27393,#27183,#11394,.T.); #31405=EDGE_CURVE('',#27393,#27394,#32138,.T.); #31406=EDGE_CURVE('',#27394,#27184,#11395,.T.); #31407=EDGE_CURVE('',#27394,#27395,#32139,.T.); #31408=EDGE_CURVE('',#27395,#27185,#11396,.T.); #31409=EDGE_CURVE('',#27395,#27396,#32140,.T.); #31410=EDGE_CURVE('',#27396,#27182,#11397,.T.); #31411=EDGE_CURVE('',#27396,#27393,#32141,.T.); #31412=EDGE_CURVE('',#27397,#27138,#11398,.T.); #31413=EDGE_CURVE('',#27397,#27398,#32142,.T.); #31414=EDGE_CURVE('',#27398,#27139,#11399,.T.); #31415=EDGE_CURVE('',#27398,#27399,#32143,.T.); #31416=EDGE_CURVE('',#27399,#27140,#11400,.T.); #31417=EDGE_CURVE('',#27399,#27400,#32144,.T.); #31418=EDGE_CURVE('',#27400,#27137,#11401,.T.); #31419=EDGE_CURVE('',#27400,#27397,#32145,.T.); #31420=EDGE_CURVE('',#27401,#27187,#11402,.T.); #31421=EDGE_CURVE('',#27401,#27402,#32146,.T.); #31422=EDGE_CURVE('',#27402,#27188,#11403,.T.); #31423=EDGE_CURVE('',#27402,#27403,#32147,.T.); #31424=EDGE_CURVE('',#27403,#27189,#11404,.T.); #31425=EDGE_CURVE('',#27403,#27404,#32148,.T.); #31426=EDGE_CURVE('',#27404,#27186,#11405,.T.); #31427=EDGE_CURVE('',#27404,#27401,#32149,.T.); #31428=EDGE_CURVE('',#27405,#27134,#11406,.T.); #31429=EDGE_CURVE('',#27406,#27405,#32150,.T.); #31430=EDGE_CURVE('',#27406,#27133,#11407,.T.); #31431=EDGE_CURVE('',#27407,#27136,#11408,.T.); #31432=EDGE_CURVE('',#27405,#27407,#32151,.T.); #31433=EDGE_CURVE('',#27408,#27135,#11409,.T.); #31434=EDGE_CURVE('',#27407,#27408,#32152,.T.); #31435=EDGE_CURVE('',#27408,#27406,#32153,.T.); #31436=EDGE_CURVE('',#27409,#27130,#11410,.T.); #31437=EDGE_CURVE('',#27409,#27410,#32154,.T.); #31438=EDGE_CURVE('',#27410,#27131,#11411,.T.); #31439=EDGE_CURVE('',#27410,#27411,#32155,.T.); #31440=EDGE_CURVE('',#27411,#27132,#11412,.T.); #31441=EDGE_CURVE('',#27411,#27412,#32156,.T.); #31442=EDGE_CURVE('',#27412,#27129,#11413,.T.); #31443=EDGE_CURVE('',#27412,#27409,#32157,.T.); #31444=EDGE_CURVE('',#27413,#27191,#11414,.T.); #31445=EDGE_CURVE('',#27413,#27414,#32158,.T.); #31446=EDGE_CURVE('',#27414,#27192,#11415,.T.); #31447=EDGE_CURVE('',#27414,#27415,#32159,.T.); #31448=EDGE_CURVE('',#27415,#27193,#11416,.T.); #31449=EDGE_CURVE('',#27415,#27416,#32160,.T.); #31450=EDGE_CURVE('',#27416,#27190,#11417,.T.); #31451=EDGE_CURVE('',#27416,#27413,#32161,.T.); #31452=EDGE_CURVE('',#27417,#27126,#11418,.T.); #31453=EDGE_CURVE('',#27417,#27418,#32162,.T.); #31454=EDGE_CURVE('',#27418,#27127,#11419,.T.); #31455=EDGE_CURVE('',#27418,#27419,#32163,.T.); #31456=EDGE_CURVE('',#27419,#27128,#11420,.T.); #31457=EDGE_CURVE('',#27419,#27420,#32164,.T.); #31458=EDGE_CURVE('',#27420,#27125,#11421,.T.); #31459=EDGE_CURVE('',#27420,#27417,#32165,.T.); #31460=EDGE_CURVE('',#27421,#27195,#11422,.T.); #31461=EDGE_CURVE('',#27421,#27422,#32166,.T.); #31462=EDGE_CURVE('',#27422,#27196,#11423,.T.); #31463=EDGE_CURVE('',#27422,#27423,#32167,.T.); #31464=EDGE_CURVE('',#27423,#27197,#11424,.T.); #31465=EDGE_CURVE('',#27423,#27424,#32168,.T.); #31466=EDGE_CURVE('',#27424,#27194,#11425,.T.); #31467=EDGE_CURVE('',#27424,#27421,#32169,.T.); #31468=EDGE_CURVE('',#27425,#27114,#11426,.T.); #31469=EDGE_CURVE('',#27425,#27426,#32170,.T.); #31470=EDGE_CURVE('',#27426,#27115,#11427,.T.); #31471=EDGE_CURVE('',#27426,#27427,#32171,.T.); #31472=EDGE_CURVE('',#27427,#27116,#11428,.T.); #31473=EDGE_CURVE('',#27427,#27428,#32172,.T.); #31474=EDGE_CURVE('',#27428,#27110,#11429,.T.); #31475=EDGE_CURVE('',#27425,#27429,#32173,.T.); #31476=EDGE_CURVE('',#27429,#27430,#32174,.T.); #31477=EDGE_CURVE('',#27430,#27431,#32175,.T.); #31478=EDGE_CURVE('',#27431,#27432,#32176,.T.); #31479=EDGE_CURVE('',#27432,#27428,#32177,.T.); #31480=EDGE_CURVE('',#27433,#27199,#11430,.T.); #31481=EDGE_CURVE('',#27433,#27434,#32178,.T.); #31482=EDGE_CURVE('',#27434,#27200,#11431,.T.); #31483=EDGE_CURVE('',#27434,#27435,#32179,.T.); #31484=EDGE_CURVE('',#27435,#27201,#11432,.T.); #31485=EDGE_CURVE('',#27435,#27436,#32180,.T.); #31486=EDGE_CURVE('',#27436,#27198,#11433,.T.); #31487=EDGE_CURVE('',#27436,#27433,#32181,.T.); #31488=EDGE_CURVE('',#27437,#27122,#11434,.T.); #31489=EDGE_CURVE('',#27437,#27438,#32182,.T.); #31490=EDGE_CURVE('',#27438,#27123,#11435,.T.); #31491=EDGE_CURVE('',#27438,#27439,#32183,.T.); #31492=EDGE_CURVE('',#27439,#27124,#11436,.T.); #31493=EDGE_CURVE('',#27439,#27440,#32184,.T.); #31494=EDGE_CURVE('',#27440,#27121,#11437,.T.); #31495=EDGE_CURVE('',#27440,#27437,#32185,.T.); #31496=EDGE_CURVE('',#27441,#27203,#11438,.T.); #31497=EDGE_CURVE('',#27441,#27442,#32186,.T.); #31498=EDGE_CURVE('',#27442,#27204,#11439,.T.); #31499=EDGE_CURVE('',#27442,#27443,#32187,.T.); #31500=EDGE_CURVE('',#27443,#27205,#11440,.T.); #31501=EDGE_CURVE('',#27443,#27444,#32188,.T.); #31502=EDGE_CURVE('',#27444,#27202,#11441,.T.); #31503=EDGE_CURVE('',#27444,#27441,#32189,.T.); #31504=EDGE_CURVE('',#27445,#27118,#11442,.T.); #31505=EDGE_CURVE('',#27445,#27446,#32190,.T.); #31506=EDGE_CURVE('',#27446,#27119,#11443,.T.); #31507=EDGE_CURVE('',#27446,#27447,#32191,.T.); #31508=EDGE_CURVE('',#27447,#27120,#11444,.T.); #31509=EDGE_CURVE('',#27447,#27448,#32192,.T.); #31510=EDGE_CURVE('',#27448,#27117,#11445,.T.); #31511=EDGE_CURVE('',#27448,#27445,#32193,.T.); #31512=EDGE_CURVE('',#27449,#27209,#11446,.T.); #31513=EDGE_CURVE('',#27450,#27449,#32194,.T.); #31514=EDGE_CURVE('',#27450,#27210,#11447,.T.); #31515=EDGE_CURVE('',#27451,#27208,#11448,.T.); #31516=EDGE_CURVE('',#27449,#27451,#32195,.T.); #31517=EDGE_CURVE('',#27452,#27206,#11449,.T.); #31518=EDGE_CURVE('',#27451,#27452,#32196,.T.); #31519=EDGE_CURVE('',#27453,#27207,#11450,.T.); #31520=EDGE_CURVE('',#27452,#27453,#32197,.T.); #31521=EDGE_CURVE('',#27454,#27214,#11451,.T.); #31522=EDGE_CURVE('',#27453,#27454,#32198,.T.); #31523=EDGE_CURVE('',#27455,#27213,#11452,.T.); #31524=EDGE_CURVE('',#27454,#27455,#32200,.T.); #31525=EDGE_CURVE('',#27456,#27212,#11453,.T.); #31526=EDGE_CURVE('',#27455,#27456,#32201,.T.); #31527=EDGE_CURVE('',#27457,#27211,#11454,.T.); #31528=EDGE_CURVE('',#27456,#27457,#32202,.T.); #31529=EDGE_CURVE('',#27457,#27450,#32203,.T.); #31530=EDGE_CURVE('',#27458,#27459,#32205,.T.); #31531=EDGE_CURVE('',#27460,#27459,#11455,.T.); #31532=EDGE_CURVE('',#27461,#27460,#32206,.T.); #31533=EDGE_CURVE('',#27461,#27458,#11456,.T.); #31534=EDGE_CURVE('',#27459,#27462,#32207,.T.); #31535=EDGE_CURVE('',#27463,#27462,#11457,.T.); #31536=EDGE_CURVE('',#27460,#27463,#32208,.T.); #31537=EDGE_CURVE('',#27464,#27216,#11458,.T.); #31538=EDGE_CURVE('',#27465,#27464,#32210,.T.); #31539=EDGE_CURVE('',#27465,#27215,#11459,.T.); #31540=EDGE_CURVE('',#27466,#27221,#11460,.T.); #31541=EDGE_CURVE('',#27464,#27466,#32211,.T.); #31542=EDGE_CURVE('',#27467,#27220,#11461,.T.); #31543=EDGE_CURVE('',#27466,#27467,#32212,.T.); #31544=EDGE_CURVE('',#27468,#27219,#11462,.T.); #31545=EDGE_CURVE('',#27467,#27468,#32213,.T.); #31546=EDGE_CURVE('',#27469,#27218,#11463,.T.); #31547=EDGE_CURVE('',#27468,#27469,#32214,.T.); #31548=EDGE_CURVE('',#27470,#27217,#11464,.T.); #31549=EDGE_CURVE('',#27469,#27470,#32215,.T.); #31550=EDGE_CURVE('',#27470,#27465,#32217,.T.); #31551=EDGE_CURVE('',#27462,#27458,#32219,.T.); #31552=EDGE_CURVE('',#27463,#27461,#32220,.T.); #31553=EDGE_CURVE('',#27471,#27223,#11465,.T.); #31554=EDGE_CURVE('',#27472,#27471,#32221,.T.); #31555=EDGE_CURVE('',#27472,#27222,#11466,.T.); #31556=EDGE_CURVE('',#27473,#27229,#11467,.T.); #31557=EDGE_CURVE('',#27471,#27473,#32222,.T.); #31558=EDGE_CURVE('',#27474,#27228,#11468,.T.); #31559=EDGE_CURVE('',#27473,#27474,#32223,.T.); #31560=EDGE_CURVE('',#27475,#27227,#11469,.T.); #31561=EDGE_CURVE('',#27474,#27475,#32224,.T.); #31562=EDGE_CURVE('',#27476,#27226,#11470,.T.); #31563=EDGE_CURVE('',#27475,#27476,#32226,.T.); #31564=EDGE_CURVE('',#27477,#27225,#11471,.T.); #31565=EDGE_CURVE('',#27476,#27477,#32228,.T.); #31566=EDGE_CURVE('',#27478,#27224,#11472,.T.); #31567=EDGE_CURVE('',#27477,#27478,#32229,.T.); #31568=EDGE_CURVE('',#27478,#27472,#32230,.T.); #31569=EDGE_CURVE('',#27479,#27231,#11473,.T.); #31570=EDGE_CURVE('',#27480,#27479,#32232,.T.); #31571=EDGE_CURVE('',#27480,#27230,#11474,.T.); #31572=EDGE_CURVE('',#27481,#27238,#11475,.T.); #31573=EDGE_CURVE('',#27479,#27481,#32233,.T.); #31574=EDGE_CURVE('',#27482,#27237,#11476,.T.); #31575=EDGE_CURVE('',#27481,#27482,#32234,.T.); #31576=EDGE_CURVE('',#27483,#27236,#11477,.T.); #31577=EDGE_CURVE('',#27482,#27483,#32236,.T.); #31578=EDGE_CURVE('',#27484,#27235,#11478,.T.); #31579=EDGE_CURVE('',#27483,#27484,#32238,.T.); #31580=EDGE_CURVE('',#27485,#27234,#11479,.T.); #31581=EDGE_CURVE('',#27484,#27485,#32239,.T.); #31582=EDGE_CURVE('',#27486,#27233,#11480,.T.); #31583=EDGE_CURVE('',#27485,#27486,#32241,.T.); #31584=EDGE_CURVE('',#27487,#27232,#11481,.T.); #31585=EDGE_CURVE('',#27486,#27487,#32242,.T.); #31586=EDGE_CURVE('',#27487,#27480,#32244,.T.); #31587=EDGE_CURVE('',#27488,#27240,#11482,.T.); #31588=EDGE_CURVE('',#27489,#27488,#32245,.T.); #31589=EDGE_CURVE('',#27489,#27239,#11483,.T.); #31590=EDGE_CURVE('',#27490,#27242,#11484,.T.); #31591=EDGE_CURVE('',#27488,#27490,#32246,.T.); #31592=EDGE_CURVE('',#27491,#27241,#11485,.T.); #31593=EDGE_CURVE('',#27490,#27491,#32247,.T.); #31594=EDGE_CURVE('',#27491,#27489,#32248,.T.); #31595=EDGE_CURVE('',#27492,#27244,#11486,.T.); #31596=EDGE_CURVE('',#27493,#27492,#32249,.T.); #31597=EDGE_CURVE('',#27493,#27243,#11487,.T.); #31598=EDGE_CURVE('',#27494,#27247,#11488,.T.); #31599=EDGE_CURVE('',#27492,#27494,#32250,.T.); #31600=EDGE_CURVE('',#27495,#27246,#11489,.T.); #31601=EDGE_CURVE('',#27494,#27495,#32251,.T.); #31602=EDGE_CURVE('',#27496,#27245,#11490,.T.); #31603=EDGE_CURVE('',#27495,#27496,#32253,.T.); #31604=EDGE_CURVE('',#27496,#27493,#32254,.T.); #31605=EDGE_CURVE('',#27497,#27249,#11491,.T.); #31606=EDGE_CURVE('',#27498,#27497,#32256,.T.); #31607=EDGE_CURVE('',#27498,#27248,#11492,.T.); #31608=EDGE_CURVE('',#27499,#27259,#11493,.T.); #31609=EDGE_CURVE('',#27497,#27499,#32257,.T.); #31610=EDGE_CURVE('',#27500,#27258,#11494,.T.); #31611=EDGE_CURVE('',#27499,#27500,#32258,.T.); #31612=EDGE_CURVE('',#27501,#27257,#11495,.T.); #31613=EDGE_CURVE('',#27500,#27501,#32259,.T.); #31614=EDGE_CURVE('',#27502,#27256,#11496,.T.); #31615=EDGE_CURVE('',#27501,#27502,#32260,.T.); #31616=EDGE_CURVE('',#27503,#27255,#11497,.T.); #31617=EDGE_CURVE('',#27502,#27503,#32261,.T.); #31618=EDGE_CURVE('',#27504,#27254,#11498,.T.); #31619=EDGE_CURVE('',#27503,#27504,#32262,.T.); #31620=EDGE_CURVE('',#27505,#27253,#11499,.T.); #31621=EDGE_CURVE('',#27504,#27505,#32263,.T.); #31622=EDGE_CURVE('',#27506,#27252,#11500,.T.); #31623=EDGE_CURVE('',#27505,#27506,#32264,.T.); #31624=EDGE_CURVE('',#27507,#27251,#11501,.T.); #31625=EDGE_CURVE('',#27506,#27507,#32265,.T.); #31626=EDGE_CURVE('',#27508,#27250,#11502,.T.); #31627=EDGE_CURVE('',#27507,#27508,#32266,.T.); #31628=EDGE_CURVE('',#27508,#27498,#32267,.T.); #31629=EDGE_CURVE('',#27509,#27262,#11503,.T.); #31630=EDGE_CURVE('',#27510,#27509,#32268,.T.); #31631=EDGE_CURVE('',#27510,#27263,#11504,.T.); #31632=EDGE_CURVE('',#27511,#27260,#11505,.T.); #31633=EDGE_CURVE('',#27509,#27511,#32269,.T.); #31634=EDGE_CURVE('',#27512,#27261,#11506,.T.); #31635=EDGE_CURVE('',#27511,#27512,#32271,.T.); #31636=EDGE_CURVE('',#27513,#27271,#11507,.T.); #31637=EDGE_CURVE('',#27512,#27513,#32273,.T.); #31638=EDGE_CURVE('',#27514,#27270,#11508,.T.); #31639=EDGE_CURVE('',#27513,#27514,#32275,.T.); #31640=EDGE_CURVE('',#27515,#27269,#11509,.T.); #31641=EDGE_CURVE('',#27514,#27515,#32276,.T.); #31642=EDGE_CURVE('',#27516,#27268,#11510,.T.); #31643=EDGE_CURVE('',#27515,#27516,#32277,.T.); #31644=EDGE_CURVE('',#27517,#27267,#11511,.T.); #31645=EDGE_CURVE('',#27516,#27517,#32278,.T.); #31646=EDGE_CURVE('',#27518,#27266,#11512,.T.); #31647=EDGE_CURVE('',#27517,#27518,#32279,.T.); #31648=EDGE_CURVE('',#27519,#27265,#11513,.T.); #31649=EDGE_CURVE('',#27518,#27519,#32280,.T.); #31650=EDGE_CURVE('',#27520,#27264,#11514,.T.); #31651=EDGE_CURVE('',#27519,#27520,#32281,.T.); #31652=EDGE_CURVE('',#27520,#27510,#32282,.T.); #31653=EDGE_CURVE('',#27521,#27107,#11515,.T.); #31654=EDGE_CURVE('',#27522,#27521,#32284,.T.); #31655=EDGE_CURVE('',#27522,#27106,#11516,.T.); #31656=EDGE_CURVE('',#27523,#27108,#11517,.T.); #31657=EDGE_CURVE('',#27521,#27523,#32286,.T.); #31658=EDGE_CURVE('',#27524,#27272,#11518,.T.); #31659=EDGE_CURVE('',#27525,#27524,#32288,.T.); #31660=EDGE_CURVE('',#27525,#27273,#11519,.T.); #31661=EDGE_CURVE('',#27524,#27525,#32290,.T.); #31662=EDGE_CURVE('',#27523,#27522,#32292,.T.); #31663=EDGE_CURVE('',#27526,#27275,#11520,.T.); #31664=EDGE_CURVE('',#27526,#27527,#32294,.T.); #31665=EDGE_CURVE('',#27527,#27276,#11521,.T.); #31666=EDGE_CURVE('',#27527,#27528,#32295,.T.); #31667=EDGE_CURVE('',#27528,#27277,#11522,.T.); #31668=EDGE_CURVE('',#27528,#27529,#32296,.T.); #31669=EDGE_CURVE('',#27529,#27274,#11523,.T.); #31670=EDGE_CURVE('',#27529,#27526,#32297,.T.); #31671=EDGE_CURVE('',#27530,#27531,#32298,.T.); #31672=EDGE_CURVE('',#27532,#27531,#11524,.T.); #31673=EDGE_CURVE('',#27533,#27532,#32299,.T.); #31674=EDGE_CURVE('',#27533,#27530,#11525,.T.); #31675=EDGE_CURVE('',#27531,#27534,#32300,.T.); #31676=EDGE_CURVE('',#27535,#27534,#11526,.T.); #31677=EDGE_CURVE('',#27532,#27535,#32301,.T.); #31678=EDGE_CURVE('',#27534,#27536,#32302,.T.); #31679=EDGE_CURVE('',#27537,#27536,#11527,.T.); #31680=EDGE_CURVE('',#27535,#27537,#32303,.T.); #31681=EDGE_CURVE('',#27536,#27538,#32305,.T.); #31682=EDGE_CURVE('',#27539,#27538,#11528,.T.); #31683=EDGE_CURVE('',#27537,#27539,#32306,.T.); #31684=EDGE_CURVE('',#27540,#27098,#11529,.T.); #31685=EDGE_CURVE('',#27541,#27540,#32308,.T.); #31686=EDGE_CURVE('',#27541,#27097,#11530,.T.); #31687=EDGE_CURVE('',#27542,#27105,#11531,.T.); #31688=EDGE_CURVE('',#27540,#27542,#32309,.T.); #31689=EDGE_CURVE('',#27543,#27104,#11532,.T.); #31690=EDGE_CURVE('',#27542,#27543,#32310,.T.); #31691=EDGE_CURVE('',#27544,#27103,#11533,.T.); #31692=EDGE_CURVE('',#27543,#27544,#32311,.T.); #31693=EDGE_CURVE('',#27545,#27102,#11534,.T.); #31694=EDGE_CURVE('',#27544,#27545,#32312,.T.); #31695=EDGE_CURVE('',#27546,#27101,#11535,.T.); #31696=EDGE_CURVE('',#27545,#27546,#32313,.T.); #31697=EDGE_CURVE('',#27547,#27100,#11536,.T.); #31698=EDGE_CURVE('',#27546,#27547,#32315,.T.); #31699=EDGE_CURVE('',#27548,#27099,#11537,.T.); #31700=EDGE_CURVE('',#27547,#27548,#32317,.T.); #31701=EDGE_CURVE('',#27548,#27541,#32318,.T.); #31702=EDGE_CURVE('',#27538,#27530,#32319,.T.); #31703=EDGE_CURVE('',#27539,#27533,#32320,.T.); #31704=EDGE_CURVE('',#27432,#27109,#11538,.T.); #31705=EDGE_CURVE('',#27431,#27111,#11539,.T.); #31706=EDGE_CURVE('',#27430,#27112,#11540,.T.); #31707=EDGE_CURVE('',#27429,#27113,#11541,.T.); #31708=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41049,#41050,#41051,#41052),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31709=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41055,#41056,#41057,#41058),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31710=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41060,#41061,#41062,#41063),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31711=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41065,#41066,#41067,#41068),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31712=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41070,#41071,#41072,#41073),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31713=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41075,#41076,#41077,#41078),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31714=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41080,#41081,#41082,#41083),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31715=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41085,#41086,#41087,#41088),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31716=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41089,#41090,#41091,#41092),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31717=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41095,#41096,#41097,#41098),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31718=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41100,#41101,#41102,#41103),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31719=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41105,#41106,#41107,#41108),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31720=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41110,#41111,#41112,#41113),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31721=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41115,#41116,#41117,#41118),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31722=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41120,#41121,#41122,#41123),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31723=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41125,#41126,#41127,#41128),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31724=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41130,#41131,#41132,#41133),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31725=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41135,#41136,#41137,#41138),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31726=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41140,#41141,#41142,#41143),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31727=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41145,#41146,#41147,#41148),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31728=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41150,#41151,#41152,#41153),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31729=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41154,#41155,#41156,#41157),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31730=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41160,#41161,#41162,#41163),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31731=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41165,#41166,#41167,#41168),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31732=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41170,#41171,#41172,#41173),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31733=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41175,#41176,#41177,#41178),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31734=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41180,#41181,#41182,#41183),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31735=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41185,#41186,#41187,#41188),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31736=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41190,#41191,#41192,#41193),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31737=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41195,#41196,#41197,#41198),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31738=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41200,#41201,#41202,#41203),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31739=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41204,#41205,#41206,#41207),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31740=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41210,#41211,#41212,#41213),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31741=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41215,#41216,#41217,#41218),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31742=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41220,#41221,#41222,#41223),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31743=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41225,#41226,#41227,#41228),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31744=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41230,#41231,#41232,#41233),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31745=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41235,#41236,#41237,#41238),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31746=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41240,#41241,#41242,#41243),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31747=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41245,#41246,#41247,#41248),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31748=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41250,#41251,#41252,#41253),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31749=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41254,#41255,#41256,#41257,#41258, #41259,#41260,#41261,#41262,#41263,#41264,#41265,#41266,#41267,#41268,#41269, #41270,#41271,#41272,#41273,#41274,#41275,#41276,#41277),.UNSPECIFIED.,.F., .F.,(4,2,2,2,2,2,2,2,2,2,2,4),(0.,0.053946550689898,0.188165568806366,0.322119166327348, 0.41413592328705,0.506338448697669,0.598778812647896,0.688304390347438,0.77782996804698, 0.866976802853947,0.93331863340797,1.),.UNSPECIFIED.); #31750=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41280,#41281,#41282,#41283),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31751=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41285,#41286,#41287,#41288),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31752=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41290,#41291,#41292,#41293),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31753=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41294,#41295,#41296,#41297),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31754=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41300,#41301,#41302,#41303),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31755=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41305,#41306,#41307,#41308),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31756=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41310,#41311,#41312,#41313),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31757=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41315,#41316,#41317,#41318),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31758=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41320,#41321,#41322,#41323),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31759=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41388,#41389,#41390,#41391,#41392, #41393,#41394,#41395,#41396,#41397,#41398,#41399,#41400,#41401,#41402,#41403, #41404,#41405,#41406,#41407),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.110686713762458,0.221933553673361,0.334496924234096,0.447060294794836, 0.559623665355574,0.669506955664868,0.779701080551846,0.890160820444159, 1.),.UNSPECIFIED.); #31760=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41410,#41411,#41412,#41413),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31761=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41415,#41416,#41417,#41418,#41419, #41420,#41421,#41422,#41423,#41424,#41425,#41426,#41427,#41428,#41429,#41430, #41431,#41432),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0919138239876592, 0.183827647975316,0.312934507716118,0.470912207402876,0.623612255652435, 0.77631230390199,0.888156151950995,1.),.UNSPECIFIED.); #31762=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41433,#41434,#41435,#41436,#41437, #41438,#41439,#41440,#41441,#41442,#41443,#41444,#41445,#41446,#41447,#41448, #41449,#41450,#41451,#41452),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.0951999984833911,0.232790046042721,0.37019010979182,0.46170923352576, 0.553228357259702,0.644541447665141,0.785507544789719,0.926473641914296, 1.),.UNSPECIFIED.); #31763=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41455,#41456,#41457,#41458),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31764=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41460,#41461,#41462,#41463),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31765=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41465,#41466,#41467,#41468),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31766=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41470,#41471,#41472,#41473),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31767=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41474,#41475,#41476,#41477,#41478, #41479,#41480,#41481,#41482,#41483,#41484,#41485,#41486,#41487,#41488,#41489, #41490,#41491),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0844015786819085, 0.16880315736382,0.253204736045731,0.417532052115814,0.581000069975484,0.728846570770066, 0.877183446760557,1.),.UNSPECIFIED.); #31768=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41494,#41495,#41496,#41497),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31769=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41499,#41500,#41501,#41502),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31770=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41504,#41505,#41506,#41507),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31771=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41509,#41510,#41511,#41512),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31772=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41514,#41515,#41516,#41517),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31773=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41519,#41520,#41521,#41522,#41523, #41524,#41525,#41526,#41527,#41528,#41529,#41530,#41531,#41532,#41533,#41534, #41535,#41536),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0626990663083882, 0.226082764644848,0.38946646298131,0.502477171214037,0.615487879446765,0.728620579140686, 0.864294756593171,1.),.UNSPECIFIED.); #31774=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41538,#41539,#41540,#41541),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31775=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41542,#41543,#41544,#41545,#41546, #41547,#41548,#41549,#41550,#41551,#41552,#41553,#41554,#41555,#41556,#41557, #41558,#41559,#41560,#41561,#41562,#41563,#41564,#41565,#41566,#41567),.UNSPECIFIED., .T.,.F.,(1,3,2,2,2,2,2,2,2,2,2,2,2,3,1),(-0.0830592571938854,0.,0.082567702241779, 0.165617317142557,0.248406038475175,0.331166564930837,0.414916261025416, 0.498665957119997,0.58241565321458,0.665995815511399,0.74982948829252,0.833881485612231, 0.916940742806115,1.,1.08256770224178),.UNSPECIFIED.); #31776=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41569,#41570,#41571,#41572),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31777=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41575,#41576,#41577,#41578),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31778=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41580,#41581,#41582,#41583),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31779=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41585,#41586,#41587,#41588),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31780=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41590,#41591,#41592,#41593),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31781=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41595,#41596,#41597,#41598),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31782=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41600,#41601,#41602,#41603),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31783=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41605,#41606,#41607,#41608),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31784=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41610,#41611,#41612,#41613),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31785=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41615,#41616,#41617,#41618),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31786=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41619,#41620,#41621,#41622,#41623, #41624,#41625,#41626,#41627,#41628,#41629,#41630),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.228641031334716,0.460468697252493,0.691976256417857, 0.846178287876059,1.),.UNSPECIFIED.); #31787=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41633,#41634,#41635,#41636,#41637, #41638,#41639,#41640,#41641,#41642),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.171636842943116,0.448968308516048,0.725916645657029,1.),.UNSPECIFIED.); #31788=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41643,#41644,#41645,#41646),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31789=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41649,#41650,#41651,#41652),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31790=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41654,#41655,#41656,#41657),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31791=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41659,#41660,#41661,#41662),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31792=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41664,#41665,#41666,#41667),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31793=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41669,#41670,#41671,#41672),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31794=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41674,#41675,#41676,#41677),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31795=B_SPLINE_CURVE_WITH_KNOTS('',3,(#41679,#41680,#41681,#41682),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31796=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42076,#42077,#42078,#42079,#42080, #42081,#42082,#42083,#42084,#42085),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., 0.353770297401876,0.709026339908374,1.),.UNSPECIFIED.); #31797=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42087,#42088,#42089,#42090,#42091, #42092,#42093,#42094,#42095,#42096),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., 0.353789654671378,0.709041191860269,1.),.UNSPECIFIED.); #31798=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42098,#42099,#42100,#42101,#42102, #42103,#42104,#42105,#42106,#42107),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., 0.35378965467138,0.709041191860272,1.),.UNSPECIFIED.); #31799=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42109,#42110,#42111,#42112,#42113, #42114,#42115,#42116,#42117,#42118),.UNSPECIFIED.,.F.,.F.,(4,3,3,4),(0., 0.353770297401868,0.709026339908365,1.),.UNSPECIFIED.); #31800=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42352,#42353,#42354,#42355,#42356, #42357,#42358,#42359,#42360,#42361),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.273480662983437,0.516574585635369,0.759668508287298,1.),.UNSPECIFIED.); #31801=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42366,#42367,#42368,#42369,#42370, #42371,#42372,#42373,#42374,#42375),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.273480662983437,0.516574585635369,0.759668508287298,1.),.UNSPECIFIED.); #31802=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42378,#42379,#42380,#42381,#42382, #42383,#42384,#42385,#42386,#42387),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.273480662983437,0.516574585635369,0.759668508287298,1.),.UNSPECIFIED.); #31803=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42390,#42391,#42392,#42393),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31804=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42396,#42397,#42398,#42399),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31805=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42400,#42401,#42402,#42403,#42404, #42405,#42406,#42407,#42408,#42409,#42410,#42411,#42412,#42413,#42414,#42415, #42416,#42417),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0919138239876592, 0.183827647975316,0.312934507716118,0.470912207402876,0.623612255652435, 0.77631230390199,0.888156151950995,1.),.UNSPECIFIED.); #31806=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42420,#42421,#42422,#42423,#42424, #42425,#42426,#42427,#42428,#42429,#42430,#42431,#42432,#42433,#42434,#42435, #42436,#42437),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0919138239876592, 0.183827647975316,0.312934507716118,0.470912207402876,0.623612255652435, 0.77631230390199,0.888156151950995,1.),.UNSPECIFIED.); #31807=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42438,#42439,#42440,#42441,#42442, #42443,#42444,#42445,#42446,#42447,#42448,#42449,#42450,#42451,#42452,#42453, #42454,#42455,#42456,#42457),.UNSPECIFIED.,.T.,.F.,(1,3,2,2,2,2,2,2,2,2, 3,1),(-0.12135756921034,0.,0.122737999439898,0.253723216233468,0.384708433027033, 0.46566067001533,0.546612907003625,0.627837312354204,0.753405852517803,0.87864243078966, 1.,1.1227379994399),.UNSPECIFIED.); #31808=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42459,#42460,#42461,#42462,#42463, #42464,#42465,#42466,#42467,#42468,#42469,#42470,#42471,#42472,#42473,#42474, #42475,#42476,#42477,#42478),.UNSPECIFIED.,.T.,.F.,(1,3,2,2,2,2,2,2,2,2, 3,1),(-0.12135756921034,0.,0.122737999439898,0.253723216233468,0.384708433027033, 0.46566067001533,0.546612907003625,0.627837312354204,0.753405852517803,0.87864243078966, 1.,1.1227379994399),.UNSPECIFIED.); #31809=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42480,#42481,#42482,#42483,#42484, #42485,#42486,#42487,#42488,#42489,#42490,#42491,#42492,#42493,#42494,#42495, #42496,#42497,#42498,#42499),.UNSPECIFIED.,.T.,.F.,(1,3,2,2,2,2,2,2,2,2, 3,1),(-0.12135756921034,0.,0.122737999439898,0.253723216233468,0.384708433027033, 0.46566067001533,0.546612907003625,0.627837312354204,0.753405852517803,0.87864243078966, 1.,1.1227379994399),.UNSPECIFIED.); #31810=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42500,#42501,#42502,#42503,#42504, #42505,#42506,#42507,#42508,#42509,#42510,#42511,#42512,#42513,#42514,#42515, #42516,#42517,#42518,#42519),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.110686713762458,0.221933553673361,0.334496924234096,0.447060294794836, 0.559623665355574,0.669506955664868,0.779701080551846,0.890160820444159, 1.),.UNSPECIFIED.); #31811=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42520,#42521,#42522,#42523,#42524, #42525,#42526,#42527,#42528,#42529,#42530,#42531,#42532,#42533,#42534,#42535, #42536,#42537,#42538,#42539),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.110686713762458,0.221933553673361,0.334496924234096,0.447060294794836, 0.559623665355574,0.669506955664868,0.779701080551846,0.890160820444159, 1.),.UNSPECIFIED.); #31812=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42540,#42541,#42542,#42543,#42544, #42545,#42546,#42547,#42548,#42549,#42550,#42551),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.262364656224623,0.430381431146793,0.597346670661955, 0.763756902713102,1.),.UNSPECIFIED.); #31813=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42552,#42553,#42554,#42555,#42556, #42557,#42558,#42559,#42560,#42561,#42562,#42563),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.262364656224623,0.430381431146793,0.597346670661955, 0.763756902713102,1.),.UNSPECIFIED.); #31814=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42564,#42565,#42566,#42567,#42568, #42569,#42570,#42571,#42572,#42573,#42574,#42575),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.262364656224623,0.430381431146793,0.597346670661955, 0.763756902713102,1.),.UNSPECIFIED.); #31815=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42581,#42582,#42583,#42584),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31816=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42588,#42589,#42590,#42591),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31817=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42595,#42596,#42597,#42598),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31818=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42602,#42603,#42604,#42605),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31819=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42609,#42610,#42611,#42612),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31820=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42616,#42617,#42618,#42619),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31821=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42622,#42623,#42624,#42625),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31822=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42630,#42631,#42632,#42633),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31823=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42637,#42638,#42639,#42640,#42641, #42642,#42643,#42644,#42645,#42646,#42647,#42648,#42649,#42650,#42651,#42652, #42653,#42654,#42655,#42656,#42657,#42658),.UNSPECIFIED.,.F.,.F.,(4,2,2, 2,2,2,2,2,2,2,4),(0.,0.133963125431846,0.219787550759846,0.305881258820605, 0.391974966881368,0.478660384275881,0.565751784647119,0.652966644089176, 0.782400161605096,0.911653329320084,1.),.UNSPECIFIED.); #31824=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42660,#42661,#42662,#42663,#42664, #42665,#42666,#42667,#42668,#42669,#42670,#42671,#42672,#42673,#42674,#42675, #42676,#42677,#42678,#42679,#42680,#42681),.UNSPECIFIED.,.F.,.F.,(4,2,2, 2,2,2,2,2,2,2,4),(0.,0.133963125431846,0.219787550759846,0.305881258820605, 0.391974966881368,0.478660384275881,0.565751784647119,0.652966644089176, 0.782400161605096,0.911653329320084,1.),.UNSPECIFIED.); #31825=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42684,#42685,#42686,#42687,#42688, #42689,#42690,#42691,#42692,#42693,#42694,#42695,#42696,#42697,#42698,#42699, #42700,#42701,#42702,#42703,#42704,#42705),.UNSPECIFIED.,.F.,.F.,(4,2,2, 2,2,2,2,2,2,2,4),(0.,0.133963125431846,0.219787550759846,0.305881258820605, 0.391974966881368,0.478660384275881,0.565751784647119,0.652966644089176, 0.782400161605096,0.911653329320084,1.),.UNSPECIFIED.); #31826=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42706,#42707,#42708,#42709),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31827=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42711,#42712,#42713,#42714),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31828=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42720,#42721,#42722,#42723),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31829=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42726,#42727,#42728,#42729),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31830=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42730,#42731,#42732,#42733),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31831=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42737,#42738,#42739,#42740),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31832=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42744,#42745,#42746,#42747),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31833=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42751,#42752,#42753,#42754,#42755, #42756,#42757,#42758,#42759,#42760,#42761,#42762,#42763,#42764,#42765,#42766, #42767,#42768,#42769,#42770),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.0951999984833911,0.232790046042721,0.37019010979182,0.46170923352576, 0.553228357259702,0.644541447665141,0.785507544789719,0.926473641914296, 1.),.UNSPECIFIED.); #31834=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42771,#42772,#42773,#42774,#42775, #42776,#42777,#42778,#42779,#42780,#42781,#42782,#42783,#42784,#42785,#42786, #42787,#42788,#42789,#42790),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.0951999984833911,0.232790046042721,0.37019010979182,0.46170923352576, 0.553228357259702,0.644541447665141,0.785507544789719,0.926473641914296, 1.),.UNSPECIFIED.); #31835=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42791,#42792,#42793,#42794),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31836=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42795,#42796,#42797,#42798),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31837=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42804,#42805,#42806,#42807),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31838=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42811,#42812,#42813,#42814),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31839=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42818,#42819,#42820,#42821),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31840=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42825,#42826,#42827,#42828,#42829, #42830,#42831,#42832,#42833,#42834,#42835,#42836,#42837,#42838,#42839,#42840, #42841,#42842,#42843,#42844,#42845,#42846,#42847,#42848),.UNSPECIFIED.,.F., .F.,(4,2,2,2,2,2,2,2,2,2,2,4),(0.,0.053946550689898,0.188165568806366,0.322119166327348, 0.41413592328705,0.506338448697669,0.598778812647896,0.688304390347438,0.77782996804698, 0.866976802853947,0.93331863340797,1.),.UNSPECIFIED.); #31841=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42849,#42850,#42851,#42852,#42853, #42854,#42855,#42856,#42857,#42858,#42859,#42860,#42861,#42862,#42863,#42864, #42865,#42866,#42867,#42868,#42869,#42870,#42871,#42872),.UNSPECIFIED.,.F., .F.,(4,2,2,2,2,2,2,2,2,2,2,4),(0.,0.053946550689898,0.188165568806366,0.322119166327348, 0.41413592328705,0.506338448697669,0.598778812647896,0.688304390347438,0.77782996804698, 0.866976802853947,0.93331863340797,1.),.UNSPECIFIED.); #31842=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42873,#42874,#42875,#42876,#42877, #42878,#42879,#42880,#42881,#42882,#42883,#42884,#42885,#42886,#42887,#42888, #42889,#42890),.UNSPECIFIED.,.T.,.F.,(1,3,2,2,2,2,2,2,2,3,1),(-0.125461766013852, 0.,0.125461766013852,0.250373261124135,0.375632325889867,0.500344407840028, 0.624710437906162,0.749624335946155,0.874538233986148,1.,1.12546176601385), .UNSPECIFIED.); #31843=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42892,#42893,#42894,#42895,#42896, #42897,#42898,#42899,#42900,#42901,#42902,#42903,#42904,#42905,#42906,#42907, #42908,#42909),.UNSPECIFIED.,.T.,.F.,(1,3,2,2,2,2,2,2,2,3,1),(-0.125461766013852, 0.,0.125461766013852,0.250373261124135,0.375632325889867,0.500344407840028, 0.624710437906162,0.749624335946155,0.874538233986148,1.,1.12546176601385), .UNSPECIFIED.); #31844=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42911,#42912,#42913,#42914,#42915, #42916,#42917,#42918,#42919,#42920,#42921,#42922,#42923,#42924,#42925,#42926, #42927,#42928),.UNSPECIFIED.,.T.,.F.,(1,3,2,2,2,2,2,2,2,3,1),(-0.125461766013852, 0.,0.125461766013852,0.250373261124135,0.375632325889867,0.500344407840028, 0.624710437906162,0.749624335946155,0.874538233986148,1.,1.12546176601385), .UNSPECIFIED.); #31845=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42933,#42934,#42935,#42936),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31846=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42940,#42941,#42942,#42943),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31847=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42947,#42948,#42949,#42950),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31848=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42954,#42955,#42956,#42957),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31849=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42961,#42962,#42963,#42964,#42965, #42966,#42967,#42968,#42969,#42970,#42971,#42972,#42973,#42974,#42975,#42976, #42977,#42978),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0626990663083882, 0.226082764644848,0.38946646298131,0.502477171214037,0.615487879446765,0.728620579140686, 0.864294756593171,1.),.UNSPECIFIED.); #31850=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42981,#42982,#42983,#42984,#42985, #42986,#42987,#42988,#42989,#42990,#42991,#42992,#42993,#42994,#42995,#42996, #42997,#42998),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0626990663083882, 0.226082764644848,0.38946646298131,0.502477171214037,0.615487879446765,0.728620579140686, 0.864294756593171,1.),.UNSPECIFIED.); #31851=B_SPLINE_CURVE_WITH_KNOTS('',3,(#42999,#43000,#43001,#43002),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31852=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43006,#43007,#43008,#43009,#43010, #43011,#43012,#43013,#43014,#43015,#43016,#43017,#43018,#43019,#43020,#43021, #43022,#43023),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0844015786819085, 0.16880315736382,0.253204736045731,0.417532052115814,0.581000069975484,0.728846570770066, 0.877183446760557,1.),.UNSPECIFIED.); #31853=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43026,#43027,#43028,#43029,#43030, #43031,#43032,#43033,#43034,#43035,#43036,#43037,#43038,#43039,#43040,#43041, #43042,#43043),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.0844015786819085, 0.16880315736382,0.253204736045731,0.417532052115814,0.581000069975484,0.728846570770066, 0.877183446760557,1.),.UNSPECIFIED.); #31854=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43044,#43045,#43046,#43047),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31855=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43050,#43051,#43052,#43053),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31856=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43058,#43059,#43060,#43061),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31857=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43065,#43066,#43067,#43068),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31858=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43070,#43071,#43072,#43073),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31859=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43079,#43080,#43081,#43082),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31860=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43086,#43087,#43088,#43089),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31861=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43093,#43094,#43095,#43096),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31862=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43100,#43101,#43102,#43103),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31863=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43107,#43108,#43109,#43110),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31864=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43114,#43115,#43116,#43117),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31865=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43121,#43122,#43123,#43124),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31866=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43128,#43129,#43130,#43131),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31867=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43135,#43136,#43137,#43138),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31868=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43142,#43143,#43144,#43145),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31869=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43147,#43148,#43149,#43150),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31870=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43151,#43152,#43153,#43154),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31871=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43158,#43159,#43160,#43161,#43162, #43163,#43164,#43165,#43166,#43167,#43168,#43169,#43170,#43171),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.165380326975185,0.330388175736462,0.496060524236346, 0.662950309555802,0.831780673774308,1.),.UNSPECIFIED.); #31872=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43176,#43177,#43178,#43179,#43180, #43181,#43182,#43183,#43184,#43185,#43186,#43187,#43188,#43189),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.165380326975185,0.330388175736462,0.496060524236346, 0.662950309555802,0.831780673774308,1.),.UNSPECIFIED.); #31873=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43192,#43193,#43194,#43195,#43196, #43197,#43198,#43199,#43200,#43201,#43202,#43203,#43204,#43205),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.165380326975185,0.330388175736462,0.496060524236346, 0.662950309555802,0.831780673774308,1.),.UNSPECIFIED.); #31874=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43206,#43207,#43208,#43209,#43210, #43211,#43212,#43213,#43214,#43215,#43216,#43217,#43218,#43219,#43220,#43221, #43222,#43223,#43224,#43225,#43226,#43227,#43228,#43229,#43230,#43231),.UNSPECIFIED., .T.,.F.,(1,3,2,2,2,2,2,2,2,2,2,2,2,3,1),(-0.0830592571938854,0.,0.082567702241779, 0.165617317142557,0.248406038475175,0.331166564930837,0.414916261025416, 0.498665957119997,0.58241565321458,0.665995815511399,0.74982948829252,0.833881485612231, 0.916940742806115,1.,1.08256770224178),.UNSPECIFIED.); #31875=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43233,#43234,#43235,#43236,#43237, #43238,#43239,#43240,#43241,#43242,#43243,#43244,#43245,#43246,#43247,#43248, #43249,#43250,#43251,#43252,#43253,#43254,#43255,#43256,#43257,#43258),.UNSPECIFIED., .T.,.F.,(1,3,2,2,2,2,2,2,2,2,2,2,2,3,1),(-0.0830592571938854,0.,0.082567702241779, 0.165617317142557,0.248406038475175,0.331166564930837,0.414916261025416, 0.498665957119997,0.58241565321458,0.665995815511399,0.74982948829252,0.833881485612231, 0.916940742806115,1.,1.08256770224178),.UNSPECIFIED.); #31876=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43259,#43260,#43261,#43262,#43263, #43264,#43265,#43266,#43267,#43268,#43269,#43270,#43271,#43272),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.165028813192734,0.330477547029724,0.495926280866714, 0.662008373297908,0.831004186648958,1.),.UNSPECIFIED.); #31877=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43273,#43274,#43275,#43276,#43277, #43278,#43279,#43280,#43281,#43282,#43283,#43284,#43285,#43286),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.165028813192734,0.330477547029724,0.495926280866714, 0.662008373297908,0.831004186648958,1.),.UNSPECIFIED.); #31878=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43287,#43288,#43289,#43290,#43291, #43292,#43293,#43294,#43295,#43296,#43297,#43298,#43299,#43300),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.165028813192734,0.330477547029724,0.495926280866714, 0.662008373297908,0.831004186648958,1.),.UNSPECIFIED.); #31879=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43305,#43306,#43307,#43308),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31880=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43312,#43313,#43314,#43315),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31881=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43319,#43320,#43321,#43322),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31882=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43326,#43327,#43328,#43329),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31883=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43333,#43334,#43335,#43336),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31884=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43340,#43341,#43342,#43343),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31885=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43347,#43348,#43349,#43350),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31886=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43354,#43355,#43356,#43357),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31887=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43361,#43362,#43363,#43364),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31888=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43368,#43369,#43370,#43371),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31889=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43374,#43375,#43376,#43377),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31890=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43382,#43383,#43384,#43385),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31891=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43389,#43390,#43391,#43392),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31892=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43394,#43395,#43396,#43397),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31893=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43403,#43404,#43405,#43406),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31894=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43410,#43411,#43412,#43413),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31895=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43417,#43418,#43419,#43420),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31896=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43424,#43425,#43426,#43427),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31897=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43431,#43432,#43433,#43434),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31898=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43438,#43439,#43440,#43441),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31899=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43445,#43446,#43447,#43448),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31900=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43452,#43453,#43454,#43455),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31901=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43459,#43460,#43461,#43462),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31902=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43466,#43467,#43468,#43469),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31903=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43471,#43472,#43473,#43474),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31904=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43475,#43476,#43477,#43478),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31905=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43484,#43485,#43486,#43487),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31906=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43491,#43492,#43493,#43494),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31907=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43498,#43499,#43500,#43501),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31908=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43505,#43506,#43507,#43508),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31909=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43512,#43513,#43514,#43515),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31910=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43519,#43520,#43521,#43522),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31911=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43526,#43527,#43528,#43529),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31912=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43533,#43534,#43535,#43536),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31913=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43540,#43541,#43542,#43543),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31914=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43547,#43548,#43549,#43550),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31915=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43554,#43555,#43556,#43557),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31916=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43561,#43562,#43563,#43564),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31917=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43568,#43569,#43570,#43571),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31918=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43574,#43575,#43576,#43577,#43578, #43579,#43580,#43581,#43582,#43583,#43584,#43585),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.185346119262211,0.367550778875909,0.549260956394194, 0.730712314973967,1.),.UNSPECIFIED.); #31919=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43590,#43591,#43592,#43593,#43594, #43595,#43596,#43597,#43598,#43599,#43600,#43601),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.185346119262211,0.367550778875909,0.549260956394194, 0.730712314973967,1.),.UNSPECIFIED.); #31920=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43604,#43605,#43606,#43607,#43608, #43609,#43610,#43611,#43612,#43613,#43614,#43615),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.185346119262211,0.367550778875909,0.549260956394194, 0.730712314973967,1.),.UNSPECIFIED.); #31921=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43618,#43619,#43620,#43621,#43622, #43623,#43624,#43625,#43626,#43627),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.171636842943116,0.448968308516048,0.725916645657029,1.),.UNSPECIFIED.); #31922=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43630,#43631,#43632,#43633,#43634, #43635,#43636,#43637,#43638,#43639),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.171636842943116,0.448968308516048,0.725916645657029,1.),.UNSPECIFIED.); #31923=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43640,#43641,#43642,#43643,#43644, #43645,#43646,#43647,#43648,#43649,#43650,#43651),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.228641031334716,0.460468697252493,0.691976256417857, 0.846178287876059,1.),.UNSPECIFIED.); #31924=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43652,#43653,#43654,#43655,#43656, #43657,#43658,#43659,#43660,#43661,#43662,#43663),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.228641031334716,0.460468697252493,0.691976256417857, 0.846178287876059,1.),.UNSPECIFIED.); #31925=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43664,#43665,#43666,#43667,#43668, #43669,#43670,#43671,#43672,#43673,#43674,#43675,#43676,#43677),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.230053572599874,0.383759695831439,0.537591222905935, 0.691641284645004,0.845691346384074,1.),.UNSPECIFIED.); #31926=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43678,#43679,#43680,#43681,#43682, #43683,#43684,#43685,#43686,#43687,#43688,#43689,#43690,#43691),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.230053572599874,0.383759695831439,0.537591222905935, 0.691641284645004,0.845691346384074,1.),.UNSPECIFIED.); #31927=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43692,#43693,#43694,#43695,#43696, #43697,#43698,#43699,#43700,#43701,#43702,#43703,#43704,#43705),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.230053572599874,0.383759695831439,0.537591222905935, 0.691641284645004,0.845691346384074,1.),.UNSPECIFIED.); #31928=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43710,#43711,#43712,#43713),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31929=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43717,#43718,#43719,#43720),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31930=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43724,#43725,#43726,#43727),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31931=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43731,#43732,#43733,#43734),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31932=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43738,#43739,#43740,#43741),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31933=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43745,#43746,#43747,#43748),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31934=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43752,#43753,#43754,#43755),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31935=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43759,#43760,#43761,#43762),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31936=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43765,#43766,#43767,#43768),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31937=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43773,#43774,#43775,#43776),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31938=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43780,#43781,#43782,#43783),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31939=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43785,#43786,#43787,#43788),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31940=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43794,#43795,#43796,#43797),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31941=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43801,#43802,#43803,#43804),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31942=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43808,#43809,#43810,#43811),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31943=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43815,#43816,#43817,#43818),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31944=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43822,#43823,#43824,#43825),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31945=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43829,#43830,#43831,#43832),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31946=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43836,#43837,#43838,#43839),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31947=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43843,#43844,#43845,#43846),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31948=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43848,#43849,#43850,#43851),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31949=B_SPLINE_CURVE_WITH_KNOTS('',3,(#43852,#43853,#43854,#43855),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31950=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49472,#49473,#49474,#49475),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31951=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49478,#49479,#49480,#49481),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31952=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49483,#49484,#49485,#49486),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31953=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49488,#49489,#49490,#49491,#49492, #49493,#49494,#49495),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.228337029198749, 0.723712278985866,1.),.UNSPECIFIED.); #31954=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49497,#49498,#49499,#49500),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31955=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49502,#49503,#49504,#49505),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31956=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49507,#49508,#49509,#49510),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31957=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49512,#49513,#49514,#49515),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31958=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49517,#49518,#49519,#49520),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31959=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49521,#49522,#49523,#49524,#49525, #49526,#49527,#49528),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.330740623396244, 0.666309577061066,1.),.UNSPECIFIED.); #31960=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49531,#49532,#49533,#49534,#49535, #49536,#49537,#49538,#49539,#49540),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.250929368029745,0.50185873605948,0.752788104089208,1.),.UNSPECIFIED.); #31961=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49542,#49543,#49544,#49545),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31962=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49547,#49548,#49549,#49550),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31963=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49553,#49554,#49555,#49556),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31964=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49558,#49559,#49560,#49561),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31965=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49563,#49564,#49565,#49566),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31966=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49568,#49569,#49570,#49571),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31967=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49573,#49574,#49575,#49576),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31968=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49578,#49579,#49580,#49581),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31969=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49583,#49584,#49585,#49586),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31970=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49587,#49588,#49589,#49590),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31971=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49593,#49594,#49595,#49596),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31972=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49598,#49599,#49600,#49601),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31973=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49603,#49604,#49605,#49606),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31974=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49607,#49608,#49609,#49610),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31975=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49613,#49614,#49615,#49616),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31976=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49618,#49619,#49620,#49621),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31977=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49623,#49624,#49625,#49626),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31978=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49627,#49628,#49629,#49630),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31979=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49633,#49634,#49635,#49636),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31980=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49638,#49639,#49640,#49641),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31981=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49643,#49644,#49645,#49646),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31982=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49647,#49648,#49649,#49650),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31983=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49653,#49654,#49655,#49656),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31984=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49658,#49659,#49660,#49661),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31985=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49663,#49664,#49665,#49666),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31986=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49667,#49668,#49669,#49670),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31987=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49673,#49674,#49675,#49676),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31988=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49678,#49679,#49680,#49681),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31989=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49683,#49684,#49685,#49686),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31990=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49687,#49688,#49689,#49690),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31991=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49693,#49694,#49695,#49696),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31992=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49698,#49699,#49700,#49701),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31993=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49703,#49704,#49705,#49706),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31994=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49707,#49708,#49709,#49710),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31995=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49713,#49714,#49715,#49716),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31996=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49718,#49719,#49720,#49721),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31997=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49723,#49724,#49725,#49726),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31998=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49727,#49728,#49729,#49730),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #31999=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49733,#49734,#49735,#49736),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32000=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49738,#49739,#49740,#49741),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32001=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49743,#49744,#49745,#49746),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32002=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49747,#49748,#49749,#49750),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32003=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49753,#49754,#49755,#49756),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32004=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49758,#49759,#49760,#49761),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32005=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49763,#49764,#49765,#49766),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32006=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49801,#49802,#49803,#49804),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32007=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49807,#49808,#49809,#49810),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32008=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49812,#49813,#49814,#49815),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32009=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49817,#49818,#49819,#49820),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32010=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49821,#49822,#49823,#49824),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32011=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49827,#49828,#49829,#49830),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32012=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49832,#49833,#49834,#49835),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32013=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49837,#49838,#49839,#49840),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32014=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49841,#49842,#49843,#49844),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32015=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49847,#49848,#49849,#49850),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32016=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49852,#49853,#49854,#49855),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32017=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49857,#49858,#49859,#49860),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32018=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49861,#49862,#49863,#49864),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32019=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49867,#49868,#49869,#49870),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32020=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49872,#49873,#49874,#49875),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32021=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49877,#49878,#49879,#49880),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32022=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49881,#49882,#49883,#49884),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32023=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49887,#49888,#49889,#49890),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32024=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49892,#49893,#49894,#49895),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32025=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49897,#49898,#49899,#49900),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32026=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49901,#49902,#49903,#49904),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32027=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49907,#49908,#49909,#49910),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32028=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49912,#49913,#49914,#49915),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32029=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49917,#49918,#49919,#49920),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32030=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49921,#49922,#49923,#49924),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32031=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49927,#49928,#49929,#49930),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32032=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49932,#49933,#49934,#49935),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32033=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49937,#49938,#49939,#49940),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32034=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49941,#49942,#49943,#49944),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32035=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49947,#49948,#49949,#49950),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32036=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49952,#49953,#49954,#49955),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32037=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49957,#49958,#49959,#49960),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32038=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49961,#49962,#49963,#49964),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32039=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49967,#49968,#49969,#49970),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32040=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49972,#49973,#49974,#49975),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32041=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49977,#49978,#49979,#49980),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32042=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49981,#49982,#49983,#49984),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32043=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49987,#49988,#49989,#49990),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32044=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49992,#49993,#49994,#49995),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32045=B_SPLINE_CURVE_WITH_KNOTS('',3,(#49997,#49998,#49999,#50000),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32046=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50002,#50003,#50004,#50005,#50006, #50007,#50008,#50009,#50010,#50011),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.226483845790519,0.451355629340311,0.762207800717971,1.),.UNSPECIFIED.); #32047=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50013,#50014,#50015,#50016),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32048=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50018,#50019,#50020,#50021),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32049=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50023,#50024,#50025,#50026),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32050=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50028,#50029,#50030,#50031,#50032, #50033,#50034,#50035,#50036,#50037,#50038,#50039,#50040,#50041),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.086214893427803,0.191015018968826,0.298963646135546, 0.389369242348685,0.455207235168856,1.),.UNSPECIFIED.); #32051=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50042,#50043,#50044,#50045),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32052=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50048,#50049,#50050,#50051,#50052, #50053,#50054,#50055,#50056,#50057,#50058,#50059,#50060,#50061),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.0877407096385981,0.189161242218114,0.306143655457979, 0.412504723519711,0.49585661998106,1.),.UNSPECIFIED.); #32053=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50063,#50064,#50065,#50066,#50067, #50068),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.873289103067189,1.),.UNSPECIFIED.); #32054=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50070,#50071,#50072,#50073),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32055=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50075,#50076,#50077,#50078),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32056=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50080,#50081,#50082,#50083),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32057=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50085,#50086,#50087,#50088),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32058=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50089,#50090,#50091,#50092),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32059=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50095,#50096,#50097,#50098,#50099, #50100,#50101,#50102,#50103,#50104,#50105,#50106),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.143504871028522,0.282898785822139,0.473982845311258, 0.654933807042643,1.),.UNSPECIFIED.); #32060=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50108,#50109,#50110,#50111),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32061=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50113,#50114,#50115,#50116),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32062=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50118,#50119,#50120,#50121),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32063=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50123,#50124,#50125,#50126,#50127, #50128,#50129,#50130,#50131,#50132,#50133,#50134),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.0582124390709955,0.267017927043043,0.491269958208833, 0.734008715958187,1.),.UNSPECIFIED.); #32064=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50136,#50137,#50138,#50139),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32065=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50141,#50142,#50143,#50144),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32066=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50145,#50146,#50147,#50148),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32067=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50151,#50152,#50153,#50154),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32068=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50156,#50157,#50158,#50159,#50160, #50161,#50162,#50163,#50164,#50165),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.406860947524301,0.524392105689311,0.640137389702972,1.),.UNSPECIFIED.); #32069=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50167,#50168,#50169,#50170),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32070=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50172,#50173,#50174,#50175,#50176, #50177,#50178,#50179,#50180,#50181,#50182,#50183,#50184,#50185,#50186,#50187), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(0.,0.18984716990946,0.267739610334053, 0.351157801585258,0.60278976549369,0.680009701048211,0.757242162952546,1.), .UNSPECIFIED.); #32071=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50189,#50190,#50191,#50192),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32072=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50194,#50195,#50196,#50197,#50198, #50199),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.18432919954904,1.),.UNSPECIFIED.); #32073=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50201,#50202,#50203,#50204,#50205, #50206),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.679487179487179,1.),.UNSPECIFIED.); #32074=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50208,#50209,#50210,#50211),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32075=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50212,#50213,#50214,#50215),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32076=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50218,#50219,#50220,#50221),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32077=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50223,#50224,#50225,#50226),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32078=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50228,#50229,#50230,#50231),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32079=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50232,#50233,#50234,#50235),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32080=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50238,#50239,#50240,#50241,#50242, #50243,#50244,#50245,#50246,#50247,#50248,#50249,#50250,#50251,#50252,#50253, #50254,#50255),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.211834680674471, 0.400422106358911,0.601906641377313,0.811696094505793,0.887237630488991, 0.937784403566777,0.966637282619762,1.),.UNSPECIFIED.); #32081=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50257,#50258,#50259,#50260),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32082=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50262,#50263,#50264,#50265,#50266, #50267,#50268,#50269,#50270,#50271,#50272,#50273,#50274,#50275,#50276,#50277, #50278,#50279,#50280,#50281),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.190152635042834,0.372742381191922,0.565693699225731,0.77120397878837, 0.833228585319292,0.873025064019723,0.916194125660869,0.94702189960033,1.), .UNSPECIFIED.); #32083=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50283,#50284,#50285,#50286),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32084=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50287,#50288,#50289,#50290),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32085=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50293,#50294,#50295,#50296),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32086=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50298,#50299,#50300,#50301),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32087=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50303,#50304,#50305,#50306),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32088=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50308,#50309,#50310,#50311),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32089=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50313,#50314,#50315,#50316),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32090=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50318,#50319,#50320,#50321),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32091=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50323,#50324,#50325,#50326),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32092=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50328,#50329,#50330,#50331),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32093=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50333,#50334,#50335,#50336),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32094=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50338,#50339,#50340,#50341),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32095=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50343,#50344,#50345,#50346),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32096=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50347,#50348,#50349,#50350),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32097=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50353,#50354,#50355,#50356,#50357, #50358,#50359,#50360,#50361,#50362,#50363,#50364,#50365,#50366),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.442008550632254,0.537906237418419,0.643803730069919, 0.74795948764492,0.835073251192552,1.),.UNSPECIFIED.); #32098=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50368,#50369,#50370,#50371),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32099=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50373,#50374,#50375,#50376),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32100=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50378,#50379,#50380,#50381),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32101=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50383,#50384,#50385,#50386),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32102=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50388,#50389,#50390,#50391),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32103=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50393,#50394,#50395,#50396),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32104=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50398,#50399,#50400,#50401),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32105=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50403,#50404,#50405,#50406),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32106=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50408,#50409,#50410,#50411),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32107=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50413,#50414,#50415,#50416,#50417, #50418,#50419,#50420,#50421,#50422,#50423,#50424),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.101233828828751,0.203094462000297,0.277174922488693, 0.362707187699513,1.),.UNSPECIFIED.); #32108=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50425,#50426,#50427,#50428,#50429, #50430),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.504587155963306,1.),.UNSPECIFIED.); #32109=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50433,#50434,#50435,#50436,#50437, #50438,#50439,#50440,#50441,#50442,#50443,#50444,#50445,#50446),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.159736382824385,0.327664375024371,0.49485781272617, 0.666154156874641,0.836694603053226,1.),.UNSPECIFIED.); #32110=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50447,#50448,#50449,#50450),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32111=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50453,#50454,#50455,#50456),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32112=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50458,#50459,#50460,#50461),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32113=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50463,#50464,#50465,#50466),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32114=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50778,#50779,#50780,#50781),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32115=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50785,#50786,#50787,#50788),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32116=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50792,#50793,#50794,#50795),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32117=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50799,#50800,#50801,#50802),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32118=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50807,#50808,#50809,#50810),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32119=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50814,#50815,#50816,#50817),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32120=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50821,#50822,#50823,#50824),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32121=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50828,#50829,#50830,#50831),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32122=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50836,#50837,#50838,#50839),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32123=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50843,#50844,#50845,#50846),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32124=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50850,#50851,#50852,#50853),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32125=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50857,#50858,#50859,#50860),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32126=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50865,#50866,#50867,#50868),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32127=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50872,#50873,#50874,#50875),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32128=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50879,#50880,#50881,#50882),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32129=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50886,#50887,#50888,#50889),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32130=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50894,#50895,#50896,#50897),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32131=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50901,#50902,#50903,#50904),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32132=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50908,#50909,#50910,#50911),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32133=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50915,#50916,#50917,#50918),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32134=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50923,#50924,#50925,#50926),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32135=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50930,#50931,#50932,#50933),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32136=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50937,#50938,#50939,#50940),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32137=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50944,#50945,#50946,#50947),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32138=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50952,#50953,#50954,#50955),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32139=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50959,#50960,#50961,#50962),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32140=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50966,#50967,#50968,#50969),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32141=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50973,#50974,#50975,#50976),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32142=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50981,#50982,#50983,#50984),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32143=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50988,#50989,#50990,#50991),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32144=B_SPLINE_CURVE_WITH_KNOTS('',3,(#50995,#50996,#50997,#50998),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32145=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51002,#51003,#51004,#51005),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32146=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51010,#51011,#51012,#51013),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32147=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51017,#51018,#51019,#51020),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32148=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51024,#51025,#51026,#51027),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32149=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51031,#51032,#51033,#51034),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32150=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51039,#51040,#51041,#51042),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32151=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51048,#51049,#51050,#51051),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32152=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51055,#51056,#51057,#51058),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32153=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51060,#51061,#51062,#51063),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32154=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51068,#51069,#51070,#51071),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32155=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51075,#51076,#51077,#51078),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32156=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51082,#51083,#51084,#51085),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32157=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51089,#51090,#51091,#51092),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32158=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51097,#51098,#51099,#51100),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32159=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51104,#51105,#51106,#51107),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32160=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51111,#51112,#51113,#51114),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32161=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51118,#51119,#51120,#51121),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32162=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51126,#51127,#51128,#51129),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32163=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51133,#51134,#51135,#51136),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32164=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51140,#51141,#51142,#51143),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32165=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51147,#51148,#51149,#51150),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32166=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51155,#51156,#51157,#51158),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32167=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51162,#51163,#51164,#51165),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32168=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51169,#51170,#51171,#51172),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32169=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51176,#51177,#51178,#51179),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32170=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51184,#51185,#51186,#51187),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32171=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51191,#51192,#51193,#51194),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32172=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51198,#51199,#51200,#51201),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32173=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51205,#51206,#51207,#51208),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32174=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51210,#51211,#51212,#51213),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32175=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51215,#51216,#51217,#51218),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32176=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51220,#51221,#51222,#51223),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32177=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51225,#51226,#51227,#51228),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32178=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51232,#51233,#51234,#51235),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32179=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51239,#51240,#51241,#51242),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32180=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51246,#51247,#51248,#51249),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32181=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51253,#51254,#51255,#51256),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32182=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51261,#51262,#51263,#51264),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32183=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51268,#51269,#51270,#51271),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32184=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51275,#51276,#51277,#51278),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32185=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51282,#51283,#51284,#51285),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32186=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51290,#51291,#51292,#51293),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32187=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51297,#51298,#51299,#51300),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32188=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51304,#51305,#51306,#51307),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32189=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51311,#51312,#51313,#51314),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32190=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51319,#51320,#51321,#51322),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32191=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51326,#51327,#51328,#51329),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32192=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51333,#51334,#51335,#51336),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32193=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51340,#51341,#51342,#51343),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32194=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51348,#51349,#51350,#51351),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32195=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51357,#51358,#51359,#51360),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32196=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51364,#51365,#51366,#51367),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32197=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51371,#51372,#51373,#51374),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32198=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51378,#51379,#51380,#51381,#51382, #51383,#51384,#51385,#51386,#51387,#51388,#51389,#51390,#51391),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.086214893427803,0.191015018968826,0.298963646135546, 0.389369242348685,0.455207235168856,1.),.UNSPECIFIED.); #32199=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51392,#51393,#51394,#51395,#51396, #51397,#51398,#51399,#51400,#51401,#51402,#51403,#51404,#51405),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.086214893427803,0.191015018968826,0.298963646135546, 0.389369242348685,0.455207235168856,1.),.UNSPECIFIED.); #32200=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51408,#51409,#51410,#51411),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32201=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51415,#51416,#51417,#51418),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32202=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51422,#51423,#51424,#51425),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32203=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51427,#51428,#51429,#51430,#51431, #51432,#51433,#51434,#51435,#51436),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.226483845790519,0.451355629340311,0.762207800717971,1.),.UNSPECIFIED.); #32204=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51437,#51438,#51439,#51440,#51441, #51442,#51443,#51444,#51445,#51446),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.226483845790519,0.451355629340311,0.762207800717971,1.),.UNSPECIFIED.); #32205=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51448,#51449,#51450,#51451),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32206=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51456,#51457,#51458,#51459),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32207=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51463,#51464,#51465,#51466),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32208=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51470,#51471,#51472,#51473),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32209=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51474,#51475,#51476,#51477),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32210=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51480,#51481,#51482,#51483),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32211=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51489,#51490,#51491,#51492),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32212=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51496,#51497,#51498,#51499),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32213=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51503,#51504,#51505,#51506),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32214=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51510,#51511,#51512,#51513),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32215=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51517,#51518,#51519,#51520,#51521, #51522),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.873289103067189,1.),.UNSPECIFIED.); #32216=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51523,#51524,#51525,#51526,#51527, #51528),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.873289103067189,1.),.UNSPECIFIED.); #32217=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51529,#51530,#51531,#51532,#51533, #51534,#51535,#51536,#51537,#51538,#51539,#51540,#51541,#51542),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.0877407096385981,0.189161242218114,0.306143655457979, 0.412504723519711,0.49585661998106,1.),.UNSPECIFIED.); #32218=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51543,#51544,#51545,#51546,#51547, #51548,#51549,#51550,#51551,#51552,#51553,#51554,#51555,#51556),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.0877407096385981,0.189161242218114,0.306143655457979, 0.412504723519711,0.49585661998106,1.),.UNSPECIFIED.); #32219=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51557,#51558,#51559,#51560),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32220=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51561,#51562,#51563,#51564),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32221=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51570,#51571,#51572,#51573),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32222=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51579,#51580,#51581,#51582),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32223=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51586,#51587,#51588,#51589),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32224=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51593,#51594,#51595,#51596,#51597, #51598,#51599,#51600,#51601,#51602,#51603,#51604),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.0582124390709955,0.267017927043043,0.491269958208833, 0.734008715958187,1.),.UNSPECIFIED.); #32225=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51605,#51606,#51607,#51608,#51609, #51610,#51611,#51612,#51613,#51614,#51615,#51616),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.0582124390709955,0.267017927043043,0.491269958208833, 0.734008715958187,1.),.UNSPECIFIED.); #32226=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51619,#51620,#51621,#51622),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32227=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51623,#51624,#51625,#51626),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32228=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51629,#51630,#51631,#51632),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32229=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51636,#51637,#51638,#51639),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32230=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51641,#51642,#51643,#51644,#51645, #51646,#51647,#51648,#51649,#51650,#51651,#51652),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.143504871028522,0.282898785822139,0.473982845311258, 0.654933807042643,1.),.UNSPECIFIED.); #32231=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51653,#51654,#51655,#51656,#51657, #51658,#51659,#51660,#51661,#51662,#51663,#51664),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.143504871028522,0.282898785822139,0.473982845311258, 0.654933807042643,1.),.UNSPECIFIED.); #32232=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51668,#51669,#51670,#51671),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32233=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51677,#51678,#51679,#51680),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32234=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51684,#51685,#51686,#51687,#51688, #51689),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.679487179487179,1.),.UNSPECIFIED.); #32235=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51690,#51691,#51692,#51693,#51694, #51695),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.679487179487179,1.),.UNSPECIFIED.); #32236=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51698,#51699,#51700,#51701,#51702, #51703),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.18432919954904,1.),.UNSPECIFIED.); #32237=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51704,#51705,#51706,#51707,#51708, #51709),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.18432919954904,1.),.UNSPECIFIED.); #32238=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51712,#51713,#51714,#51715),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32239=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51719,#51720,#51721,#51722,#51723, #51724,#51725,#51726,#51727,#51728,#51729,#51730,#51731,#51732,#51733,#51734), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(0.,0.18984716990946,0.267739610334053, 0.351157801585258,0.60278976549369,0.680009701048211,0.757242162952546,1.), .UNSPECIFIED.); #32240=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51735,#51736,#51737,#51738,#51739, #51740,#51741,#51742,#51743,#51744,#51745,#51746,#51747,#51748,#51749,#51750), .UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,4),(0.,0.18984716990946,0.267739610334053, 0.351157801585258,0.60278976549369,0.680009701048211,0.757242162952546,1.), .UNSPECIFIED.); #32241=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51753,#51754,#51755,#51756),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32242=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51760,#51761,#51762,#51763,#51764, #51765,#51766,#51767,#51768,#51769),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.406860947524301,0.524392105689311,0.640137389702972,1.),.UNSPECIFIED.); #32243=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51770,#51771,#51772,#51773,#51774, #51775,#51776,#51777,#51778,#51779),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.406860947524301,0.524392105689311,0.640137389702972,1.),.UNSPECIFIED.); #32244=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51780,#51781,#51782,#51783),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32245=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51788,#51789,#51790,#51791),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32246=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51797,#51798,#51799,#51800),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32247=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51804,#51805,#51806,#51807),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32248=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51809,#51810,#51811,#51812),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32249=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51817,#51818,#51819,#51820),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32250=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51826,#51827,#51828,#51829),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32251=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51833,#51834,#51835,#51836,#51837, #51838,#51839,#51840,#51841,#51842,#51843,#51844,#51845,#51846,#51847,#51848, #51849,#51850,#51851,#51852),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.190152635042834,0.372742381191922,0.565693699225731,0.77120397878837, 0.833228585319292,0.873025064019723,0.916194125660869,0.94702189960033,1.), .UNSPECIFIED.); #32252=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51853,#51854,#51855,#51856,#51857, #51858,#51859,#51860,#51861,#51862,#51863,#51864,#51865,#51866,#51867,#51868, #51869,#51870,#51871,#51872),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,2,4), (0.,0.190152635042834,0.372742381191922,0.565693699225731,0.77120397878837, 0.833228585319292,0.873025064019723,0.916194125660869,0.94702189960033,1.), .UNSPECIFIED.); #32253=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51875,#51876,#51877,#51878),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32254=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51880,#51881,#51882,#51883,#51884, #51885,#51886,#51887,#51888,#51889,#51890,#51891,#51892,#51893,#51894,#51895, #51896,#51897),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.211834680674471, 0.400422106358911,0.601906641377313,0.811696094505793,0.887237630488991, 0.937784403566777,0.966637282619762,1.),.UNSPECIFIED.); #32255=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51898,#51899,#51900,#51901,#51902, #51903,#51904,#51905,#51906,#51907,#51908,#51909,#51910,#51911,#51912,#51913, #51914,#51915),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,2,2,2,2,4),(0.,0.211834680674471, 0.400422106358911,0.601906641377313,0.811696094505793,0.887237630488991, 0.937784403566777,0.966637282619762,1.),.UNSPECIFIED.); #32256=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51919,#51920,#51921,#51922),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32257=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51928,#51929,#51930,#51931),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32258=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51935,#51936,#51937,#51938),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32259=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51942,#51943,#51944,#51945),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32260=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51949,#51950,#51951,#51952),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32261=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51956,#51957,#51958,#51959),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32262=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51963,#51964,#51965,#51966),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32263=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51970,#51971,#51972,#51973),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32264=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51977,#51978,#51979,#51980),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32265=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51984,#51985,#51986,#51987),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32266=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51991,#51992,#51993,#51994),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32267=B_SPLINE_CURVE_WITH_KNOTS('',3,(#51996,#51997,#51998,#51999),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32268=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52004,#52005,#52006,#52007),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32269=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52013,#52014,#52015,#52016,#52017, #52018,#52019,#52020,#52021,#52022,#52023,#52024,#52025,#52026),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.442008550632254,0.537906237418419,0.643803730069919, 0.74795948764492,0.835073251192552,1.),.UNSPECIFIED.); #32270=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52027,#52028,#52029,#52030,#52031, #52032,#52033,#52034,#52035,#52036,#52037,#52038,#52039,#52040),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.442008550632254,0.537906237418419,0.643803730069919, 0.74795948764492,0.835073251192552,1.),.UNSPECIFIED.); #32271=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52043,#52044,#52045,#52046),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32272=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52047,#52048,#52049,#52050),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32273=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52053,#52054,#52055,#52056,#52057, #52058,#52059,#52060,#52061,#52062,#52063,#52064),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.101233828828751,0.203094462000297,0.277174922488693, 0.362707187699513,1.),.UNSPECIFIED.); #32274=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52065,#52066,#52067,#52068,#52069, #52070,#52071,#52072,#52073,#52074,#52075,#52076),.UNSPECIFIED.,.F.,.F., (4,2,2,2,2,4),(0.,0.101233828828751,0.203094462000297,0.277174922488693, 0.362707187699513,1.),.UNSPECIFIED.); #32275=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52079,#52080,#52081,#52082),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32276=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52086,#52087,#52088,#52089),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32277=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52093,#52094,#52095,#52096),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32278=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52100,#52101,#52102,#52103),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32279=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52107,#52108,#52109,#52110),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32280=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52114,#52115,#52116,#52117),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32281=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52121,#52122,#52123,#52124),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32282=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52126,#52127,#52128,#52129),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32283=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52130,#52131,#52132,#52133),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32284=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52137,#52138,#52139,#52140,#52141, #52142,#52143,#52144),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.330740623396244, 0.666309577061066,1.),.UNSPECIFIED.); #32285=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52147,#52148,#52149,#52150,#52151, #52152,#52153,#52154),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.330740623396244, 0.666309577061066,1.),.UNSPECIFIED.); #32286=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52157,#52158,#52159,#52160),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32287=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52161,#52162,#52163,#52164),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32288=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52167,#52168,#52169,#52170,#52171, #52172,#52173,#52174,#52175,#52176,#52177,#52178,#52179,#52180),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.159736382824385,0.327664375024371,0.49485781272617, 0.666154156874641,0.836694603053226,1.),.UNSPECIFIED.); #32289=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52183,#52184,#52185,#52186,#52187, #52188,#52189,#52190,#52191,#52192,#52193,#52194,#52195,#52196),.UNSPECIFIED., .F.,.F.,(4,2,2,2,2,2,4),(0.,0.159736382824385,0.327664375024371,0.49485781272617, 0.666154156874641,0.836694603053226,1.),.UNSPECIFIED.); #32290=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52197,#52198,#52199,#52200,#52201, #52202),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.504587155963306,1.),.UNSPECIFIED.); #32291=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52203,#52204,#52205,#52206,#52207, #52208),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.504587155963306,1.),.UNSPECIFIED.); #32292=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52209,#52210,#52211,#52212,#52213, #52214,#52215,#52216,#52217,#52218),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.250929368029745,0.50185873605948,0.752788104089208,1.),.UNSPECIFIED.); #32293=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52219,#52220,#52221,#52222,#52223, #52224,#52225,#52226,#52227,#52228),.UNSPECIFIED.,.F.,.F.,(4,2,2,2,4),(0., 0.250929368029745,0.50185873605948,0.752788104089208,1.),.UNSPECIFIED.); #32294=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52232,#52233,#52234,#52235),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32295=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52239,#52240,#52241,#52242),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32296=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52246,#52247,#52248,#52249),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32297=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52253,#52254,#52255,#52256),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32298=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52259,#52260,#52261,#52262),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32299=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52267,#52268,#52269,#52270),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32300=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52274,#52275,#52276,#52277),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32301=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52281,#52282,#52283,#52284),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32302=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52286,#52287,#52288,#52289,#52290, #52291),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.485714285714245,1.),.UNSPECIFIED.); #32303=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52295,#52296,#52297,#52298,#52299, #52300),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.485714285714245,1.),.UNSPECIFIED.); #32304=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52301,#52302,#52303,#52304,#52305, #52306),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.485714285714245,1.),.UNSPECIFIED.); #32305=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52307,#52308,#52309,#52310,#52311, #52312),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.425287356321859,1.),.UNSPECIFIED.); #32306=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52316,#52317,#52318,#52319,#52320, #52321),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.425287356321859,1.),.UNSPECIFIED.); #32307=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52322,#52323,#52324,#52325,#52326, #52327),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.,0.425287356321859,1.),.UNSPECIFIED.); #32308=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52330,#52331,#52332,#52333),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32309=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52339,#52340,#52341,#52342),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32310=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52346,#52347,#52348,#52349),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32311=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52353,#52354,#52355,#52356),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32312=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52360,#52361,#52362,#52363),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32313=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52367,#52368,#52369,#52370),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32314=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52371,#52372,#52373,#52374),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32315=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52377,#52378,#52379,#52380,#52381, #52382,#52383,#52384),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.228337029198749, 0.723712278985866,1.),.UNSPECIFIED.); #32316=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52385,#52386,#52387,#52388,#52389, #52390,#52391,#52392),.UNSPECIFIED.,.F.,.F.,(4,2,2,4),(0.,0.228337029198749, 0.723712278985866,1.),.UNSPECIFIED.); #32317=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52395,#52396,#52397,#52398),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32318=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52400,#52401,#52402,#52403),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32319=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52405,#52406,#52407,#52408),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32320=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52409,#52410,#52411,#52412),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32321=B_SPLINE_CURVE_WITH_KNOTS('',3,(#52413,#52414,#52415,#52416),.UNSPECIFIED., .F.,.F.,(4,4),(0.,1.),.UNSPECIFIED.); #32322=AXIS2_PLACEMENT_3D('',#41048,#34141,#34142); #32323=AXIS2_PLACEMENT_3D('',#41335,#34148,#34149); #32324=AXIS2_PLACEMENT_3D('',#41339,#34151,#34152); #32325=AXIS2_PLACEMENT_3D('',#41683,#34177,#34178); #32326=AXIS2_PLACEMENT_3D('',#41687,#34180,#34181); #32327=AXIS2_PLACEMENT_3D('',#41689,#34182,#34183); #32328=AXIS2_PLACEMENT_3D('',#41691,#34184,#34185); #32329=AXIS2_PLACEMENT_3D('',#41693,#34186,#34187); #32330=AXIS2_PLACEMENT_3D('',#41697,#34189,#34190); #32331=AXIS2_PLACEMENT_3D('',#41699,#34191,#34192); #32332=AXIS2_PLACEMENT_3D('',#41701,#34193,#34194); #32333=AXIS2_PLACEMENT_3D('',#41703,#34195,#34196); #32334=AXIS2_PLACEMENT_3D('',#41704,#34197,#34198); #32335=AXIS2_PLACEMENT_3D('',#41710,#34201,#34202); #32336=AXIS2_PLACEMENT_3D('',#41712,#34203,#34204); #32337=AXIS2_PLACEMENT_3D('',#41716,#34206,#34207); #32338=AXIS2_PLACEMENT_3D('',#41718,#34208,#34209); #32339=AXIS2_PLACEMENT_3D('',#41719,#34210,#34211); #32340=AXIS2_PLACEMENT_3D('',#41720,#34212,#34213); #32341=AXIS2_PLACEMENT_3D('',#41725,#34215,#34216); #32342=AXIS2_PLACEMENT_3D('',#41729,#34218,#34219); #32343=AXIS2_PLACEMENT_3D('',#41733,#34221,#34222); #32344=AXIS2_PLACEMENT_3D('',#41734,#34223,#34224); #32345=AXIS2_PLACEMENT_3D('',#41735,#34225,#34226); #32346=AXIS2_PLACEMENT_3D('',#41740,#34229,#34230); #32347=AXIS2_PLACEMENT_3D('',#41741,#34231,#34232); #32348=AXIS2_PLACEMENT_3D('',#41744,#34233,#34234); #32349=AXIS2_PLACEMENT_3D('',#41748,#34237,#34238); #32350=AXIS2_PLACEMENT_3D('',#41749,#34239,#34240); #32351=AXIS2_PLACEMENT_3D('',#41754,#34243,#34244); #32352=AXIS2_PLACEMENT_3D('',#41757,#34246,#34247); #32353=AXIS2_PLACEMENT_3D('',#41761,#34249,#34250); #32354=AXIS2_PLACEMENT_3D('',#41763,#34251,#34252); #32355=AXIS2_PLACEMENT_3D('',#41765,#34253,#34254); #32356=AXIS2_PLACEMENT_3D('',#41767,#34255,#34256); #32357=AXIS2_PLACEMENT_3D('',#41769,#34257,#34258); #32358=AXIS2_PLACEMENT_3D('',#41773,#34260,#34261); #32359=AXIS2_PLACEMENT_3D('',#41775,#34262,#34263); #32360=AXIS2_PLACEMENT_3D('',#41779,#34265,#34266); #32361=AXIS2_PLACEMENT_3D('',#41784,#34269,#34270); #32362=AXIS2_PLACEMENT_3D('',#41786,#34271,#34272); #32363=AXIS2_PLACEMENT_3D('',#41790,#34274,#34275); #32364=AXIS2_PLACEMENT_3D('',#41792,#34276,#34277); #32365=AXIS2_PLACEMENT_3D('',#41793,#34278,#34279); #32366=AXIS2_PLACEMENT_3D('',#41794,#34280,#34281); #32367=AXIS2_PLACEMENT_3D('',#41798,#34283,#34284); #32368=AXIS2_PLACEMENT_3D('',#41799,#34285,#34286); #32369=AXIS2_PLACEMENT_3D('',#41802,#34288,#34289); #32370=AXIS2_PLACEMENT_3D('',#41803,#34290,#34291); #32371=AXIS2_PLACEMENT_3D('',#41804,#34292,#34293); #32372=AXIS2_PLACEMENT_3D('',#41806,#34295,#34296); #32373=AXIS2_PLACEMENT_3D('',#41807,#34297,#34298); #32374=AXIS2_PLACEMENT_3D('',#41809,#34300,#34301); #32375=AXIS2_PLACEMENT_3D('',#41810,#34302,#34303); #32376=AXIS2_PLACEMENT_3D('',#41813,#34305,#34306); #32377=AXIS2_PLACEMENT_3D('',#41816,#34308,#34309); #32378=AXIS2_PLACEMENT_3D('',#41817,#34310,#34311); #32379=AXIS2_PLACEMENT_3D('',#41826,#34316,#34317); #32380=AXIS2_PLACEMENT_3D('',#41832,#34321,#34322); #32381=AXIS2_PLACEMENT_3D('',#41833,#34323,#34324); #32382=AXIS2_PLACEMENT_3D('',#41837,#34326,#34327); #32383=AXIS2_PLACEMENT_3D('',#41842,#34330,#34331); #32384=AXIS2_PLACEMENT_3D('',#41843,#34332,#34333); #32385=AXIS2_PLACEMENT_3D('',#41845,#34334,#34335); #32386=AXIS2_PLACEMENT_3D('',#41847,#34336,#34337); #32387=AXIS2_PLACEMENT_3D('',#41850,#34339,#34340); #32388=AXIS2_PLACEMENT_3D('',#41854,#34342,#34343); #32389=AXIS2_PLACEMENT_3D('',#41857,#34345,#34346); #32390=AXIS2_PLACEMENT_3D('',#41858,#34347,#34348); #32391=AXIS2_PLACEMENT_3D('',#41861,#34349,#34350); #32392=AXIS2_PLACEMENT_3D('',#41871,#34356,#34357); #32393=AXIS2_PLACEMENT_3D('',#41872,#34358,#34359); #32394=AXIS2_PLACEMENT_3D('',#41874,#34360,#34361); #32395=AXIS2_PLACEMENT_3D('',#41886,#34367,#34368); #32396=AXIS2_PLACEMENT_3D('',#41891,#34371,#34372); #32397=AXIS2_PLACEMENT_3D('',#41895,#34374,#34375); #32398=AXIS2_PLACEMENT_3D('',#41904,#34380,#34381); #32399=AXIS2_PLACEMENT_3D('',#41908,#34383,#34384); #32400=AXIS2_PLACEMENT_3D('',#41909,#34385,#34386); #32401=AXIS2_PLACEMENT_3D('',#41918,#34391,#34392); #32402=AXIS2_PLACEMENT_3D('',#41922,#34394,#34395); #32403=AXIS2_PLACEMENT_3D('',#41923,#34396,#34397); #32404=AXIS2_PLACEMENT_3D('',#41931,#34402,#34403); #32405=AXIS2_PLACEMENT_3D('',#41935,#34405,#34406); #32406=AXIS2_PLACEMENT_3D('',#41940,#34409,#34410); #32407=AXIS2_PLACEMENT_3D('',#41945,#34413,#34414); #32408=AXIS2_PLACEMENT_3D('',#41949,#34416,#34417); #32409=AXIS2_PLACEMENT_3D('',#41951,#34419,#34420); #32410=AXIS2_PLACEMENT_3D('',#41954,#34422,#34423); #32411=AXIS2_PLACEMENT_3D('',#41956,#34424,#34425); #32412=AXIS2_PLACEMENT_3D('',#41958,#34426,#34427); #32413=AXIS2_PLACEMENT_3D('',#41961,#34430,#34431); #32414=AXIS2_PLACEMENT_3D('',#41963,#34432,#34433); #32415=AXIS2_PLACEMENT_3D('',#41964,#34434,#34435); #32416=AXIS2_PLACEMENT_3D('',#41966,#34436,#34437); #32417=AXIS2_PLACEMENT_3D('',#41967,#34438,#34439); #32418=AXIS2_PLACEMENT_3D('',#41969,#34440,#34441); #32419=AXIS2_PLACEMENT_3D('',#41970,#34442,#34443); #32420=AXIS2_PLACEMENT_3D('',#41975,#34445,#34446); #32421=AXIS2_PLACEMENT_3D('',#41978,#34448,#34449); #32422=AXIS2_PLACEMENT_3D('',#41979,#34450,#34451); #32423=AXIS2_PLACEMENT_3D('',#41987,#34455,#34456); #32424=AXIS2_PLACEMENT_3D('',#41990,#34458,#34459); #32425=AXIS2_PLACEMENT_3D('',#42001,#34465,#34466); #32426=AXIS2_PLACEMENT_3D('',#42005,#34468,#34469); #32427=AXIS2_PLACEMENT_3D('',#42007,#34471,#34472); #32428=AXIS2_PLACEMENT_3D('',#42008,#34473,#34474); #32429=AXIS2_PLACEMENT_3D('',#42013,#34476,#34477); #32430=AXIS2_PLACEMENT_3D('',#42016,#34479,#34480); #32431=AXIS2_PLACEMENT_3D('',#42017,#34481,#34482); #32432=AXIS2_PLACEMENT_3D('',#42025,#34486,#34487); #32433=AXIS2_PLACEMENT_3D('',#42028,#34489,#34490); #32434=AXIS2_PLACEMENT_3D('',#42034,#34493,#34494); #32435=AXIS2_PLACEMENT_3D('',#42038,#34496,#34497); #32436=AXIS2_PLACEMENT_3D('',#42040,#34499,#34500); #32437=AXIS2_PLACEMENT_3D('',#42041,#34501,#34502); #32438=AXIS2_PLACEMENT_3D('',#42042,#34503,#34504); #32439=AXIS2_PLACEMENT_3D('',#42043,#34505,#34506); #32440=AXIS2_PLACEMENT_3D('',#42044,#34507,#34508); #32441=AXIS2_PLACEMENT_3D('',#42045,#34509,#34510); #32442=AXIS2_PLACEMENT_3D('',#42046,#34511,#34512); #32443=AXIS2_PLACEMENT_3D('',#42047,#34513,#34514); #32444=AXIS2_PLACEMENT_3D('',#42051,#34517,#34518); #32445=AXIS2_PLACEMENT_3D('',#42052,#34519,#34520); #32446=AXIS2_PLACEMENT_3D('',#42055,#34522,#34523); #32447=AXIS2_PLACEMENT_3D('',#42059,#34526,#34527); #32448=AXIS2_PLACEMENT_3D('',#42060,#34528,#34529); #32449=AXIS2_PLACEMENT_3D('',#42063,#34531,#34532); #32450=AXIS2_PLACEMENT_3D('',#42066,#34535,#34536); #32451=AXIS2_PLACEMENT_3D('',#42069,#34539,#34540); #32452=AXIS2_PLACEMENT_3D('',#42072,#34543,#34544); #32453=AXIS2_PLACEMENT_3D('',#42075,#34547,#34548); #32454=AXIS2_PLACEMENT_3D('',#42086,#34549,#34550); #32455=AXIS2_PLACEMENT_3D('',#42097,#34551,#34552); #32456=AXIS2_PLACEMENT_3D('',#42108,#34553,#34554); #32457=AXIS2_PLACEMENT_3D('',#42119,#34555,#34556); #32458=AXIS2_PLACEMENT_3D('',#42120,#34557,#34558); #32459=AXIS2_PLACEMENT_3D('',#42121,#34559,#34560); #32460=AXIS2_PLACEMENT_3D('',#42122,#34561,#34562); #32461=AXIS2_PLACEMENT_3D('',#42123,#34563,#34564); #32462=AXIS2_PLACEMENT_3D('',#42124,#34565,#34566); #32463=AXIS2_PLACEMENT_3D('',#42125,#34567,#34568); #32464=AXIS2_PLACEMENT_3D('',#42126,#34569,#34570); #32465=AXIS2_PLACEMENT_3D('',#42127,#34571,#34572); #32466=AXIS2_PLACEMENT_3D('',#42128,#34573,#34574); #32467=AXIS2_PLACEMENT_3D('',#42129,#34575,#34576); #32468=AXIS2_PLACEMENT_3D('',#42130,#34577,#34578); #32469=AXIS2_PLACEMENT_3D('',#42131,#34579,#34580); #32470=AXIS2_PLACEMENT_3D('',#42132,#34581,#34582); #32471=AXIS2_PLACEMENT_3D('',#42133,#34583,#34584); #32472=AXIS2_PLACEMENT_3D('',#42137,#34587,#34588); #32473=AXIS2_PLACEMENT_3D('',#42138,#34589,#34590); #32474=AXIS2_PLACEMENT_3D('',#42145,#34594,#34595); #32475=AXIS2_PLACEMENT_3D('',#42147,#34596,#34597); #32476=AXIS2_PLACEMENT_3D('',#42149,#34598,#34599); #32477=AXIS2_PLACEMENT_3D('',#42152,#34601,#34602); #32478=AXIS2_PLACEMENT_3D('',#42154,#34603,#34604); #32479=AXIS2_PLACEMENT_3D('',#42156,#34605,#34606); #32480=AXIS2_PLACEMENT_3D('',#42172,#34616,#34617); #32481=AXIS2_PLACEMENT_3D('',#42174,#34619,#34620); #32482=AXIS2_PLACEMENT_3D('',#42175,#34621,#34622); #32483=AXIS2_PLACEMENT_3D('',#42177,#34623,#34624); #32484=AXIS2_PLACEMENT_3D('',#42178,#34625,#34626); #32485=AXIS2_PLACEMENT_3D('',#42180,#34628,#34629); #32486=AXIS2_PLACEMENT_3D('',#42181,#34630,#34631); #32487=AXIS2_PLACEMENT_3D('',#42184,#34633,#34634); #32488=AXIS2_PLACEMENT_3D('',#42188,#34636,#34637); #32489=AXIS2_PLACEMENT_3D('',#42192,#34639,#34640); #32490=AXIS2_PLACEMENT_3D('',#42193,#34641,#34642); #32491=AXIS2_PLACEMENT_3D('',#42197,#34644,#34645); #32492=AXIS2_PLACEMENT_3D('',#42198,#34646,#34647); #32493=AXIS2_PLACEMENT_3D('',#42200,#34648,#34649); #32494=AXIS2_PLACEMENT_3D('',#42205,#34652,#34653); #32495=AXIS2_PLACEMENT_3D('',#42209,#34655,#34656); #32496=AXIS2_PLACEMENT_3D('',#42211,#34657,#34658); #32497=AXIS2_PLACEMENT_3D('',#42217,#34662,#34663); #32498=AXIS2_PLACEMENT_3D('',#42220,#34666,#34667); #32499=AXIS2_PLACEMENT_3D('',#42222,#34669,#34670); #32500=AXIS2_PLACEMENT_3D('',#42226,#34673,#34674); #32501=AXIS2_PLACEMENT_3D('',#42232,#34678,#34679); #32502=AXIS2_PLACEMENT_3D('',#42233,#34680,#34681); #32503=AXIS2_PLACEMENT_3D('',#42234,#34682,#34683); #32504=AXIS2_PLACEMENT_3D('',#42235,#34684,#34685); #32505=AXIS2_PLACEMENT_3D('',#42238,#34687,#34688); #32506=AXIS2_PLACEMENT_3D('',#42239,#34689,#34690); #32507=AXIS2_PLACEMENT_3D('',#42240,#34691,#34692); #32508=AXIS2_PLACEMENT_3D('',#42242,#34694,#34695); #32509=AXIS2_PLACEMENT_3D('',#42248,#34699,#34700); #32510=AXIS2_PLACEMENT_3D('',#42249,#34701,#34702); #32511=AXIS2_PLACEMENT_3D('',#42250,#34703,#34704); #32512=AXIS2_PLACEMENT_3D('',#42252,#34705,#34706); #32513=AXIS2_PLACEMENT_3D('',#42254,#34707,#34708); #32514=AXIS2_PLACEMENT_3D('',#42256,#34709,#34710); #32515=AXIS2_PLACEMENT_3D('',#42257,#34711,#34712); #32516=AXIS2_PLACEMENT_3D('',#42258,#34713,#34714); #32517=AXIS2_PLACEMENT_3D('',#42261,#34717,#34718); #32518=AXIS2_PLACEMENT_3D('',#42262,#34719,#34720); #32519=AXIS2_PLACEMENT_3D('',#42264,#34722,#34723); #32520=AXIS2_PLACEMENT_3D('',#42265,#34724,#34725); #32521=AXIS2_PLACEMENT_3D('',#42266,#34726,#34727); #32522=AXIS2_PLACEMENT_3D('',#42267,#34728,#34729); #32523=AXIS2_PLACEMENT_3D('',#42270,#34731,#34732); #32524=AXIS2_PLACEMENT_3D('',#42271,#34733,#34734); #32525=AXIS2_PLACEMENT_3D('',#42274,#34736,#34737); #32526=AXIS2_PLACEMENT_3D('',#42275,#34738,#34739); #32527=AXIS2_PLACEMENT_3D('',#42276,#34740,#34741); #32528=AXIS2_PLACEMENT_3D('',#42277,#34742,#34743); #32529=AXIS2_PLACEMENT_3D('',#42280,#34746,#34747); #32530=AXIS2_PLACEMENT_3D('',#42283,#34750,#34751); #32531=AXIS2_PLACEMENT_3D('',#42286,#34754,#34755); #32532=AXIS2_PLACEMENT_3D('',#42289,#34758,#34759); #32533=AXIS2_PLACEMENT_3D('',#42290,#34760,#34761); #32534=AXIS2_PLACEMENT_3D('',#42291,#34762,#34763); #32535=AXIS2_PLACEMENT_3D('',#42292,#34764,#34765); #32536=AXIS2_PLACEMENT_3D('',#42293,#34766,#34767); #32537=AXIS2_PLACEMENT_3D('',#42294,#34768,#34769); #32538=AXIS2_PLACEMENT_3D('',#42295,#34770,#34771); #32539=AXIS2_PLACEMENT_3D('',#42296,#34772,#34773); #32540=AXIS2_PLACEMENT_3D('',#42297,#34774,#34775); #32541=AXIS2_PLACEMENT_3D('',#42303,#34779,#34780); #32542=AXIS2_PLACEMENT_3D('',#42304,#34781,#34782); #32543=AXIS2_PLACEMENT_3D('',#42305,#34783,#34784); #32544=AXIS2_PLACEMENT_3D('',#42306,#34785,#34786); #32545=AXIS2_PLACEMENT_3D('',#42310,#34788,#34789); #32546=AXIS2_PLACEMENT_3D('',#42311,#34790,#34791); #32547=AXIS2_PLACEMENT_3D('',#42314,#34794,#34795); #32548=AXIS2_PLACEMENT_3D('',#42315,#34796,#34797); #32549=AXIS2_PLACEMENT_3D('',#42316,#34798,#34799); #32550=AXIS2_PLACEMENT_3D('',#42317,#34800,#34801); #32551=AXIS2_PLACEMENT_3D('',#42318,#34802,#34803); #32552=AXIS2_PLACEMENT_3D('',#42321,#34806,#34807); #32553=AXIS2_PLACEMENT_3D('',#42324,#34810,#34811); #32554=AXIS2_PLACEMENT_3D('',#42325,#34812,#34813); #32555=AXIS2_PLACEMENT_3D('',#42326,#34814,#34815); #32556=AXIS2_PLACEMENT_3D('',#42327,#34816,#34817); #32557=AXIS2_PLACEMENT_3D('',#42328,#34818,#34819); #32558=AXIS2_PLACEMENT_3D('',#42330,#34821,#34822); #32559=AXIS2_PLACEMENT_3D('',#42332,#34824,#34825); #32560=AXIS2_PLACEMENT_3D('',#42334,#34827,#34828); #32561=AXIS2_PLACEMENT_3D('',#42340,#34832,#34833); #32562=AXIS2_PLACEMENT_3D('',#42344,#34836,#34837); #32563=AXIS2_PLACEMENT_3D('',#42348,#34840,#34841); #32564=AXIS2_PLACEMENT_3D('',#42350,#34843,#34844); #32565=AXIS2_PLACEMENT_3D('',#42351,#34845,#34846); #32566=AXIS2_PLACEMENT_3D('',#42576,#34858,#34859); #32567=AXIS2_PLACEMENT_3D('',#42577,#34860,#34861); #32568=AXIS2_PLACEMENT_3D('',#42578,#34862,#34863); #32569=AXIS2_PLACEMENT_3D('',#42587,#34866,#34867); #32570=AXIS2_PLACEMENT_3D('',#42594,#34869,#34870); #32571=AXIS2_PLACEMENT_3D('',#42601,#34872,#34873); #32572=AXIS2_PLACEMENT_3D('',#42608,#34875,#34876); #32573=AXIS2_PLACEMENT_3D('',#42615,#34878,#34879); #32574=AXIS2_PLACEMENT_3D('',#42620,#34880,#34881); #32575=AXIS2_PLACEMENT_3D('',#42621,#34882,#34883); #32576=AXIS2_PLACEMENT_3D('',#42636,#34886,#34887); #32577=AXIS2_PLACEMENT_3D('',#42717,#34891,#34892); #32578=AXIS2_PLACEMENT_3D('',#42736,#34897,#34898); #32579=AXIS2_PLACEMENT_3D('',#42743,#34900,#34901); #32580=AXIS2_PLACEMENT_3D('',#42750,#34903,#34904); #32581=AXIS2_PLACEMENT_3D('',#42799,#34906,#34907); #32582=AXIS2_PLACEMENT_3D('',#42800,#34908,#34909); #32583=AXIS2_PLACEMENT_3D('',#42801,#34910,#34911); #32584=AXIS2_PLACEMENT_3D('',#42810,#34914,#34915); #32585=AXIS2_PLACEMENT_3D('',#42817,#34917,#34918); #32586=AXIS2_PLACEMENT_3D('',#42824,#34920,#34921); #32587=AXIS2_PLACEMENT_3D('',#42929,#34924,#34925); #32588=AXIS2_PLACEMENT_3D('',#42930,#34926,#34927); #32589=AXIS2_PLACEMENT_3D('',#42939,#34930,#34931); #32590=AXIS2_PLACEMENT_3D('',#42946,#34933,#34934); #32591=AXIS2_PLACEMENT_3D('',#42953,#34936,#34937); #32592=AXIS2_PLACEMENT_3D('',#42960,#34939,#34940); #32593=AXIS2_PLACEMENT_3D('',#43005,#34944,#34945); #32594=AXIS2_PLACEMENT_3D('',#43048,#34948,#34949); #32595=AXIS2_PLACEMENT_3D('',#43049,#34950,#34951); #32596=AXIS2_PLACEMENT_3D('',#43064,#34954,#34955); #32597=AXIS2_PLACEMENT_3D('',#43076,#34957,#34958); #32598=AXIS2_PLACEMENT_3D('',#43085,#34961,#34962); #32599=AXIS2_PLACEMENT_3D('',#43092,#34964,#34965); #32600=AXIS2_PLACEMENT_3D('',#43099,#34967,#34968); #32601=AXIS2_PLACEMENT_3D('',#43106,#34970,#34971); #32602=AXIS2_PLACEMENT_3D('',#43113,#34973,#34974); #32603=AXIS2_PLACEMENT_3D('',#43120,#34976,#34977); #32604=AXIS2_PLACEMENT_3D('',#43127,#34979,#34980); #32605=AXIS2_PLACEMENT_3D('',#43134,#34982,#34983); #32606=AXIS2_PLACEMENT_3D('',#43141,#34985,#34986); #32607=AXIS2_PLACEMENT_3D('',#43146,#34987,#34988); #32608=AXIS2_PLACEMENT_3D('',#43155,#34989,#34990); #32609=AXIS2_PLACEMENT_3D('',#43156,#34991,#34992); #32610=AXIS2_PLACEMENT_3D('',#43157,#34993,#34994); #32611=AXIS2_PLACEMENT_3D('',#43301,#35000,#35001); #32612=AXIS2_PLACEMENT_3D('',#43302,#35002,#35003); #32613=AXIS2_PLACEMENT_3D('',#43311,#35006,#35007); #32614=AXIS2_PLACEMENT_3D('',#43318,#35009,#35010); #32615=AXIS2_PLACEMENT_3D('',#43325,#35012,#35013); #32616=AXIS2_PLACEMENT_3D('',#43332,#35015,#35016); #32617=AXIS2_PLACEMENT_3D('',#43339,#35018,#35019); #32618=AXIS2_PLACEMENT_3D('',#43346,#35021,#35022); #32619=AXIS2_PLACEMENT_3D('',#43353,#35024,#35025); #32620=AXIS2_PLACEMENT_3D('',#43360,#35027,#35028); #32621=AXIS2_PLACEMENT_3D('',#43367,#35030,#35031); #32622=AXIS2_PLACEMENT_3D('',#43372,#35032,#35033); #32623=AXIS2_PLACEMENT_3D('',#43373,#35034,#35035); #32624=AXIS2_PLACEMENT_3D('',#43388,#35038,#35039); #32625=AXIS2_PLACEMENT_3D('',#43400,#35041,#35042); #32626=AXIS2_PLACEMENT_3D('',#43409,#35045,#35046); #32627=AXIS2_PLACEMENT_3D('',#43416,#35048,#35049); #32628=AXIS2_PLACEMENT_3D('',#43423,#35051,#35052); #32629=AXIS2_PLACEMENT_3D('',#43430,#35054,#35055); #32630=AXIS2_PLACEMENT_3D('',#43437,#35057,#35058); #32631=AXIS2_PLACEMENT_3D('',#43444,#35060,#35061); #32632=AXIS2_PLACEMENT_3D('',#43451,#35063,#35064); #32633=AXIS2_PLACEMENT_3D('',#43458,#35066,#35067); #32634=AXIS2_PLACEMENT_3D('',#43465,#35069,#35070); #32635=AXIS2_PLACEMENT_3D('',#43470,#35071,#35072); #32636=AXIS2_PLACEMENT_3D('',#43479,#35073,#35074); #32637=AXIS2_PLACEMENT_3D('',#43480,#35075,#35076); #32638=AXIS2_PLACEMENT_3D('',#43481,#35077,#35078); #32639=AXIS2_PLACEMENT_3D('',#43490,#35081,#35082); #32640=AXIS2_PLACEMENT_3D('',#43497,#35084,#35085); #32641=AXIS2_PLACEMENT_3D('',#43504,#35087,#35088); #32642=AXIS2_PLACEMENT_3D('',#43511,#35090,#35091); #32643=AXIS2_PLACEMENT_3D('',#43518,#35093,#35094); #32644=AXIS2_PLACEMENT_3D('',#43525,#35096,#35097); #32645=AXIS2_PLACEMENT_3D('',#43532,#35099,#35100); #32646=AXIS2_PLACEMENT_3D('',#43539,#35102,#35103); #32647=AXIS2_PLACEMENT_3D('',#43546,#35105,#35106); #32648=AXIS2_PLACEMENT_3D('',#43553,#35108,#35109); #32649=AXIS2_PLACEMENT_3D('',#43560,#35111,#35112); #32650=AXIS2_PLACEMENT_3D('',#43567,#35114,#35115); #32651=AXIS2_PLACEMENT_3D('',#43572,#35116,#35117); #32652=AXIS2_PLACEMENT_3D('',#43573,#35118,#35119); #32653=AXIS2_PLACEMENT_3D('',#43706,#35128,#35129); #32654=AXIS2_PLACEMENT_3D('',#43707,#35130,#35131); #32655=AXIS2_PLACEMENT_3D('',#43716,#35134,#35135); #32656=AXIS2_PLACEMENT_3D('',#43723,#35137,#35138); #32657=AXIS2_PLACEMENT_3D('',#43730,#35140,#35141); #32658=AXIS2_PLACEMENT_3D('',#43737,#35143,#35144); #32659=AXIS2_PLACEMENT_3D('',#43744,#35146,#35147); #32660=AXIS2_PLACEMENT_3D('',#43751,#35149,#35150); #32661=AXIS2_PLACEMENT_3D('',#43758,#35152,#35153); #32662=AXIS2_PLACEMENT_3D('',#43763,#35154,#35155); #32663=AXIS2_PLACEMENT_3D('',#43764,#35156,#35157); #32664=AXIS2_PLACEMENT_3D('',#43779,#35160,#35161); #32665=AXIS2_PLACEMENT_3D('',#43791,#35163,#35164); #32666=AXIS2_PLACEMENT_3D('',#43800,#35167,#35168); #32667=AXIS2_PLACEMENT_3D('',#43807,#35170,#35171); #32668=AXIS2_PLACEMENT_3D('',#43814,#35173,#35174); #32669=AXIS2_PLACEMENT_3D('',#43821,#35176,#35177); #32670=AXIS2_PLACEMENT_3D('',#43828,#35179,#35180); #32671=AXIS2_PLACEMENT_3D('',#43835,#35182,#35183); #32672=AXIS2_PLACEMENT_3D('',#43842,#35185,#35186); #32673=AXIS2_PLACEMENT_3D('',#43847,#35187,#35188); #32674=AXIS2_PLACEMENT_3D('',#43856,#35189,#35190); #32675=AXIS2_PLACEMENT_3D('',#43857,#35191,#35192); #32676=AXIS2_PLACEMENT_3D('',#43858,#35193,#35194); #32677=AXIS2_PLACEMENT_3D('',#43865,#35198,#35199); #32678=AXIS2_PLACEMENT_3D('',#43874,#35204,#35205); #32679=AXIS2_PLACEMENT_3D('',#43883,#35210,#35211); #32680=AXIS2_PLACEMENT_3D('',#43889,#35215,#35216); #32681=AXIS2_PLACEMENT_3D('',#43893,#35219,#35220); #32682=AXIS2_PLACEMENT_3D('',#43895,#35222,#35223); #32683=AXIS2_PLACEMENT_3D('',#43901,#35227,#35228); #32684=AXIS2_PLACEMENT_3D('',#43907,#35232,#35233); #32685=AXIS2_PLACEMENT_3D('',#43909,#35235,#35236); #32686=AXIS2_PLACEMENT_3D('',#43918,#35241,#35242); #32687=AXIS2_PLACEMENT_3D('',#43924,#35246,#35247); #32688=AXIS2_PLACEMENT_3D('',#43930,#35251,#35252); #32689=AXIS2_PLACEMENT_3D('',#43936,#35256,#35257); #32690=AXIS2_PLACEMENT_3D('',#43942,#35261,#35262); #32691=AXIS2_PLACEMENT_3D('',#43948,#35266,#35267); #32692=AXIS2_PLACEMENT_3D('',#43954,#35271,#35272); #32693=AXIS2_PLACEMENT_3D('',#43960,#35276,#35277); #32694=AXIS2_PLACEMENT_3D('',#43966,#35281,#35282); #32695=AXIS2_PLACEMENT_3D('',#43972,#35286,#35287); #32696=AXIS2_PLACEMENT_3D('',#43981,#35292,#35293); #32697=AXIS2_PLACEMENT_3D('',#43987,#35297,#35298); #32698=AXIS2_PLACEMENT_3D('',#43993,#35302,#35303); #32699=AXIS2_PLACEMENT_3D('',#43999,#35307,#35308); #32700=AXIS2_PLACEMENT_3D('',#44005,#35312,#35313); #32701=AXIS2_PLACEMENT_3D('',#44011,#35317,#35318); #32702=AXIS2_PLACEMENT_3D('',#44017,#35322,#35323); #32703=AXIS2_PLACEMENT_3D('',#44023,#35327,#35328); #32704=AXIS2_PLACEMENT_3D('',#44029,#35332,#35333); #32705=AXIS2_PLACEMENT_3D('',#44035,#35337,#35338); #32706=AXIS2_PLACEMENT_3D('',#44044,#35343,#35344); #32707=AXIS2_PLACEMENT_3D('',#44050,#35348,#35349); #32708=AXIS2_PLACEMENT_3D('',#44056,#35353,#35354); #32709=AXIS2_PLACEMENT_3D('',#44062,#35358,#35359); #32710=AXIS2_PLACEMENT_3D('',#44068,#35363,#35364); #32711=AXIS2_PLACEMENT_3D('',#44074,#35368,#35369); #32712=AXIS2_PLACEMENT_3D('',#44080,#35373,#35374); #32713=AXIS2_PLACEMENT_3D('',#44086,#35378,#35379); #32714=AXIS2_PLACEMENT_3D('',#44092,#35383,#35384); #32715=AXIS2_PLACEMENT_3D('',#44098,#35388,#35389); #32716=AXIS2_PLACEMENT_3D('',#44107,#35394,#35395); #32717=AXIS2_PLACEMENT_3D('',#44113,#35399,#35400); #32718=AXIS2_PLACEMENT_3D('',#44119,#35404,#35405); #32719=AXIS2_PLACEMENT_3D('',#44125,#35409,#35410); #32720=AXIS2_PLACEMENT_3D('',#44131,#35414,#35415); #32721=AXIS2_PLACEMENT_3D('',#44137,#35419,#35420); #32722=AXIS2_PLACEMENT_3D('',#44143,#35424,#35425); #32723=AXIS2_PLACEMENT_3D('',#44149,#35429,#35430); #32724=AXIS2_PLACEMENT_3D('',#44155,#35434,#35435); #32725=AXIS2_PLACEMENT_3D('',#44161,#35439,#35440); #32726=AXIS2_PLACEMENT_3D('',#44170,#35445,#35446); #32727=AXIS2_PLACEMENT_3D('',#44176,#35450,#35451); #32728=AXIS2_PLACEMENT_3D('',#44182,#35455,#35456); #32729=AXIS2_PLACEMENT_3D('',#44188,#35460,#35461); #32730=AXIS2_PLACEMENT_3D('',#44194,#35465,#35466); #32731=AXIS2_PLACEMENT_3D('',#44200,#35470,#35471); #32732=AXIS2_PLACEMENT_3D('',#44206,#35475,#35476); #32733=AXIS2_PLACEMENT_3D('',#44212,#35480,#35481); #32734=AXIS2_PLACEMENT_3D('',#44218,#35485,#35486); #32735=AXIS2_PLACEMENT_3D('',#44224,#35490,#35491); #32736=AXIS2_PLACEMENT_3D('',#44233,#35496,#35497); #32737=AXIS2_PLACEMENT_3D('',#44239,#35501,#35502); #32738=AXIS2_PLACEMENT_3D('',#44245,#35506,#35507); #32739=AXIS2_PLACEMENT_3D('',#44251,#35511,#35512); #32740=AXIS2_PLACEMENT_3D('',#44257,#35516,#35517); #32741=AXIS2_PLACEMENT_3D('',#44263,#35521,#35522); #32742=AXIS2_PLACEMENT_3D('',#44269,#35526,#35527); #32743=AXIS2_PLACEMENT_3D('',#44275,#35531,#35532); #32744=AXIS2_PLACEMENT_3D('',#44281,#35536,#35537); #32745=AXIS2_PLACEMENT_3D('',#44287,#35541,#35542); #32746=AXIS2_PLACEMENT_3D('',#44296,#35547,#35548); #32747=AXIS2_PLACEMENT_3D('',#44302,#35552,#35553); #32748=AXIS2_PLACEMENT_3D('',#44308,#35557,#35558); #32749=AXIS2_PLACEMENT_3D('',#44314,#35562,#35563); #32750=AXIS2_PLACEMENT_3D('',#44320,#35567,#35568); #32751=AXIS2_PLACEMENT_3D('',#44326,#35572,#35573); #32752=AXIS2_PLACEMENT_3D('',#44332,#35577,#35578); #32753=AXIS2_PLACEMENT_3D('',#44338,#35582,#35583); #32754=AXIS2_PLACEMENT_3D('',#44344,#35587,#35588); #32755=AXIS2_PLACEMENT_3D('',#44350,#35592,#35593); #32756=AXIS2_PLACEMENT_3D('',#44359,#35598,#35599); #32757=AXIS2_PLACEMENT_3D('',#44365,#35603,#35604); #32758=AXIS2_PLACEMENT_3D('',#44371,#35608,#35609); #32759=AXIS2_PLACEMENT_3D('',#44377,#35613,#35614); #32760=AXIS2_PLACEMENT_3D('',#44383,#35618,#35619); #32761=AXIS2_PLACEMENT_3D('',#44389,#35623,#35624); #32762=AXIS2_PLACEMENT_3D('',#44395,#35628,#35629); #32763=AXIS2_PLACEMENT_3D('',#44401,#35633,#35634); #32764=AXIS2_PLACEMENT_3D('',#44407,#35638,#35639); #32765=AXIS2_PLACEMENT_3D('',#44413,#35643,#35644); #32766=AXIS2_PLACEMENT_3D('',#44422,#35649,#35650); #32767=AXIS2_PLACEMENT_3D('',#44428,#35654,#35655); #32768=AXIS2_PLACEMENT_3D('',#44434,#35659,#35660); #32769=AXIS2_PLACEMENT_3D('',#44440,#35664,#35665); #32770=AXIS2_PLACEMENT_3D('',#44446,#35669,#35670); #32771=AXIS2_PLACEMENT_3D('',#44452,#35674,#35675); #32772=AXIS2_PLACEMENT_3D('',#44458,#35679,#35680); #32773=AXIS2_PLACEMENT_3D('',#44464,#35684,#35685); #32774=AXIS2_PLACEMENT_3D('',#44470,#35689,#35690); #32775=AXIS2_PLACEMENT_3D('',#44476,#35694,#35695); #32776=AXIS2_PLACEMENT_3D('',#44485,#35700,#35701); #32777=AXIS2_PLACEMENT_3D('',#44491,#35705,#35706); #32778=AXIS2_PLACEMENT_3D('',#44497,#35710,#35711); #32779=AXIS2_PLACEMENT_3D('',#44503,#35715,#35716); #32780=AXIS2_PLACEMENT_3D('',#44509,#35720,#35721); #32781=AXIS2_PLACEMENT_3D('',#44515,#35725,#35726); #32782=AXIS2_PLACEMENT_3D('',#44521,#35730,#35731); #32783=AXIS2_PLACEMENT_3D('',#44527,#35735,#35736); #32784=AXIS2_PLACEMENT_3D('',#44533,#35740,#35741); #32785=AXIS2_PLACEMENT_3D('',#44539,#35745,#35746); #32786=AXIS2_PLACEMENT_3D('',#44548,#35751,#35752); #32787=AXIS2_PLACEMENT_3D('',#44554,#35756,#35757); #32788=AXIS2_PLACEMENT_3D('',#44560,#35761,#35762); #32789=AXIS2_PLACEMENT_3D('',#44566,#35766,#35767); #32790=AXIS2_PLACEMENT_3D('',#44572,#35771,#35772); #32791=AXIS2_PLACEMENT_3D('',#44578,#35776,#35777); #32792=AXIS2_PLACEMENT_3D('',#44584,#35781,#35782); #32793=AXIS2_PLACEMENT_3D('',#44590,#35786,#35787); #32794=AXIS2_PLACEMENT_3D('',#44596,#35791,#35792); #32795=AXIS2_PLACEMENT_3D('',#44602,#35796,#35797); #32796=AXIS2_PLACEMENT_3D('',#44611,#35802,#35803); #32797=AXIS2_PLACEMENT_3D('',#44617,#35807,#35808); #32798=AXIS2_PLACEMENT_3D('',#44623,#35812,#35813); #32799=AXIS2_PLACEMENT_3D('',#44629,#35817,#35818); #32800=AXIS2_PLACEMENT_3D('',#44635,#35822,#35823); #32801=AXIS2_PLACEMENT_3D('',#44641,#35827,#35828); #32802=AXIS2_PLACEMENT_3D('',#44647,#35832,#35833); #32803=AXIS2_PLACEMENT_3D('',#44653,#35837,#35838); #32804=AXIS2_PLACEMENT_3D('',#44659,#35842,#35843); #32805=AXIS2_PLACEMENT_3D('',#44665,#35847,#35848); #32806=AXIS2_PLACEMENT_3D('',#44674,#35853,#35854); #32807=AXIS2_PLACEMENT_3D('',#44680,#35858,#35859); #32808=AXIS2_PLACEMENT_3D('',#44686,#35863,#35864); #32809=AXIS2_PLACEMENT_3D('',#44692,#35868,#35869); #32810=AXIS2_PLACEMENT_3D('',#44698,#35873,#35874); #32811=AXIS2_PLACEMENT_3D('',#44704,#35878,#35879); #32812=AXIS2_PLACEMENT_3D('',#44710,#35883,#35884); #32813=AXIS2_PLACEMENT_3D('',#44716,#35888,#35889); #32814=AXIS2_PLACEMENT_3D('',#44722,#35893,#35894); #32815=AXIS2_PLACEMENT_3D('',#44728,#35898,#35899); #32816=AXIS2_PLACEMENT_3D('',#44737,#35904,#35905); #32817=AXIS2_PLACEMENT_3D('',#44743,#35909,#35910); #32818=AXIS2_PLACEMENT_3D('',#44749,#35914,#35915); #32819=AXIS2_PLACEMENT_3D('',#44755,#35919,#35920); #32820=AXIS2_PLACEMENT_3D('',#44761,#35924,#35925); #32821=AXIS2_PLACEMENT_3D('',#44767,#35929,#35930); #32822=AXIS2_PLACEMENT_3D('',#44773,#35934,#35935); #32823=AXIS2_PLACEMENT_3D('',#44779,#35939,#35940); #32824=AXIS2_PLACEMENT_3D('',#44785,#35944,#35945); #32825=AXIS2_PLACEMENT_3D('',#44791,#35949,#35950); #32826=AXIS2_PLACEMENT_3D('',#44800,#35955,#35956); #32827=AXIS2_PLACEMENT_3D('',#44806,#35960,#35961); #32828=AXIS2_PLACEMENT_3D('',#44812,#35965,#35966); #32829=AXIS2_PLACEMENT_3D('',#44818,#35970,#35971); #32830=AXIS2_PLACEMENT_3D('',#44824,#35975,#35976); #32831=AXIS2_PLACEMENT_3D('',#44830,#35980,#35981); #32832=AXIS2_PLACEMENT_3D('',#44836,#35985,#35986); #32833=AXIS2_PLACEMENT_3D('',#44842,#35990,#35991); #32834=AXIS2_PLACEMENT_3D('',#44848,#35995,#35996); #32835=AXIS2_PLACEMENT_3D('',#44854,#36000,#36001); #32836=AXIS2_PLACEMENT_3D('',#44863,#36006,#36007); #32837=AXIS2_PLACEMENT_3D('',#44869,#36011,#36012); #32838=AXIS2_PLACEMENT_3D('',#44875,#36016,#36017); #32839=AXIS2_PLACEMENT_3D('',#44881,#36021,#36022); #32840=AXIS2_PLACEMENT_3D('',#44887,#36026,#36027); #32841=AXIS2_PLACEMENT_3D('',#44893,#36031,#36032); #32842=AXIS2_PLACEMENT_3D('',#44899,#36036,#36037); #32843=AXIS2_PLACEMENT_3D('',#44905,#36041,#36042); #32844=AXIS2_PLACEMENT_3D('',#44911,#36046,#36047); #32845=AXIS2_PLACEMENT_3D('',#44917,#36051,#36052); #32846=AXIS2_PLACEMENT_3D('',#44923,#36056,#36057); #32847=AXIS2_PLACEMENT_3D('',#44932,#36062,#36063); #32848=AXIS2_PLACEMENT_3D('',#44938,#36067,#36068); #32849=AXIS2_PLACEMENT_3D('',#44944,#36072,#36073); #32850=AXIS2_PLACEMENT_3D('',#45076,#36140,#36141); #32851=AXIS2_PLACEMENT_3D('',#45080,#36144,#36145); #32852=AXIS2_PLACEMENT_3D('',#45091,#36152,#36153); #32853=AXIS2_PLACEMENT_3D('',#45098,#36158,#36159); #32854=AXIS2_PLACEMENT_3D('',#45107,#36164,#36165); #32855=AXIS2_PLACEMENT_3D('',#45113,#36169,#36170); #32856=AXIS2_PLACEMENT_3D('',#45122,#36175,#36176); #32857=AXIS2_PLACEMENT_3D('',#45128,#36180,#36181); #32858=AXIS2_PLACEMENT_3D('',#45137,#36186,#36187); #32859=AXIS2_PLACEMENT_3D('',#45143,#36191,#36192); #32860=AXIS2_PLACEMENT_3D('',#45152,#36197,#36198); #32861=AXIS2_PLACEMENT_3D('',#45158,#36202,#36203); #32862=AXIS2_PLACEMENT_3D('',#45167,#36208,#36209); #32863=AXIS2_PLACEMENT_3D('',#45173,#36213,#36214); #32864=AXIS2_PLACEMENT_3D('',#45182,#36219,#36220); #32865=AXIS2_PLACEMENT_3D('',#45188,#36224,#36225); #32866=AXIS2_PLACEMENT_3D('',#45197,#36230,#36231); #32867=AXIS2_PLACEMENT_3D('',#45203,#36235,#36236); #32868=AXIS2_PLACEMENT_3D('',#45212,#36241,#36242); #32869=AXIS2_PLACEMENT_3D('',#45218,#36246,#36247); #32870=AXIS2_PLACEMENT_3D('',#45227,#36252,#36253); #32871=AXIS2_PLACEMENT_3D('',#45233,#36257,#36258); #32872=AXIS2_PLACEMENT_3D('',#45242,#36263,#36264); #32873=AXIS2_PLACEMENT_3D('',#45248,#36268,#36269); #32874=AXIS2_PLACEMENT_3D('',#45257,#36274,#36275); #32875=AXIS2_PLACEMENT_3D('',#45263,#36279,#36280); #32876=AXIS2_PLACEMENT_3D('',#45272,#36285,#36286); #32877=AXIS2_PLACEMENT_3D('',#45278,#36290,#36291); #32878=AXIS2_PLACEMENT_3D('',#45287,#36296,#36297); #32879=AXIS2_PLACEMENT_3D('',#45293,#36301,#36302); #32880=AXIS2_PLACEMENT_3D('',#45302,#36307,#36308); #32881=AXIS2_PLACEMENT_3D('',#45308,#36312,#36313); #32882=AXIS2_PLACEMENT_3D('',#45317,#36318,#36319); #32883=AXIS2_PLACEMENT_3D('',#45323,#36323,#36324); #32884=AXIS2_PLACEMENT_3D('',#45332,#36329,#36330); #32885=AXIS2_PLACEMENT_3D('',#45338,#36334,#36335); #32886=AXIS2_PLACEMENT_3D('',#45344,#36339,#36340); #32887=AXIS2_PLACEMENT_3D('',#45348,#36343,#36344); #32888=AXIS2_PLACEMENT_3D('',#45352,#36347,#36348); #32889=AXIS2_PLACEMENT_3D('',#45362,#36354,#36355); #32890=AXIS2_PLACEMENT_3D('',#45370,#36360,#36361); #32891=AXIS2_PLACEMENT_3D('',#45376,#36365,#36366); #32892=AXIS2_PLACEMENT_3D('',#45380,#36369,#36370); #32893=AXIS2_PLACEMENT_3D('',#45384,#36373,#36374); #32894=AXIS2_PLACEMENT_3D('',#45393,#36380,#36381); #32895=AXIS2_PLACEMENT_3D('',#45401,#36386,#36387); #32896=AXIS2_PLACEMENT_3D('',#45407,#36391,#36392); #32897=AXIS2_PLACEMENT_3D('',#45411,#36395,#36396); #32898=AXIS2_PLACEMENT_3D('',#45415,#36399,#36400); #32899=AXIS2_PLACEMENT_3D('',#45424,#36406,#36407); #32900=AXIS2_PLACEMENT_3D('',#45432,#36412,#36413); #32901=AXIS2_PLACEMENT_3D('',#45438,#36417,#36418); #32902=AXIS2_PLACEMENT_3D('',#45442,#36421,#36422); #32903=AXIS2_PLACEMENT_3D('',#45446,#36425,#36426); #32904=AXIS2_PLACEMENT_3D('',#45455,#36432,#36433); #32905=AXIS2_PLACEMENT_3D('',#45463,#36438,#36439); #32906=AXIS2_PLACEMENT_3D('',#45469,#36443,#36444); #32907=AXIS2_PLACEMENT_3D('',#45473,#36447,#36448); #32908=AXIS2_PLACEMENT_3D('',#45477,#36451,#36452); #32909=AXIS2_PLACEMENT_3D('',#45486,#36458,#36459); #32910=AXIS2_PLACEMENT_3D('',#45494,#36464,#36465); #32911=AXIS2_PLACEMENT_3D('',#45500,#36469,#36470); #32912=AXIS2_PLACEMENT_3D('',#45504,#36473,#36474); #32913=AXIS2_PLACEMENT_3D('',#45508,#36477,#36478); #32914=AXIS2_PLACEMENT_3D('',#45517,#36484,#36485); #32915=AXIS2_PLACEMENT_3D('',#45525,#36490,#36491); #32916=AXIS2_PLACEMENT_3D('',#45531,#36495,#36496); #32917=AXIS2_PLACEMENT_3D('',#45535,#36499,#36500); #32918=AXIS2_PLACEMENT_3D('',#45539,#36503,#36504); #32919=AXIS2_PLACEMENT_3D('',#45548,#36510,#36511); #32920=AXIS2_PLACEMENT_3D('',#45556,#36516,#36517); #32921=AXIS2_PLACEMENT_3D('',#45562,#36521,#36522); #32922=AXIS2_PLACEMENT_3D('',#45566,#36525,#36526); #32923=AXIS2_PLACEMENT_3D('',#45570,#36529,#36530); #32924=AXIS2_PLACEMENT_3D('',#45579,#36536,#36537); #32925=AXIS2_PLACEMENT_3D('',#45585,#36541,#36542); #32926=AXIS2_PLACEMENT_3D('',#45589,#36545,#36546); #32927=AXIS2_PLACEMENT_3D('',#45593,#36549,#36550); #32928=AXIS2_PLACEMENT_3D('',#45603,#36556,#36557); #32929=AXIS2_PLACEMENT_3D('',#45611,#36562,#36563); #32930=AXIS2_PLACEMENT_3D('',#45617,#36567,#36568); #32931=AXIS2_PLACEMENT_3D('',#45621,#36571,#36572); #32932=AXIS2_PLACEMENT_3D('',#45625,#36575,#36576); #32933=AXIS2_PLACEMENT_3D('',#45634,#36582,#36583); #32934=AXIS2_PLACEMENT_3D('',#45642,#36588,#36589); #32935=AXIS2_PLACEMENT_3D('',#45648,#36593,#36594); #32936=AXIS2_PLACEMENT_3D('',#45652,#36597,#36598); #32937=AXIS2_PLACEMENT_3D('',#45656,#36601,#36602); #32938=AXIS2_PLACEMENT_3D('',#45665,#36608,#36609); #32939=AXIS2_PLACEMENT_3D('',#45673,#36614,#36615); #32940=AXIS2_PLACEMENT_3D('',#45679,#36619,#36620); #32941=AXIS2_PLACEMENT_3D('',#45683,#36623,#36624); #32942=AXIS2_PLACEMENT_3D('',#45687,#36627,#36628); #32943=AXIS2_PLACEMENT_3D('',#45696,#36634,#36635); #32944=AXIS2_PLACEMENT_3D('',#45704,#36640,#36641); #32945=AXIS2_PLACEMENT_3D('',#45710,#36645,#36646); #32946=AXIS2_PLACEMENT_3D('',#45714,#36649,#36650); #32947=AXIS2_PLACEMENT_3D('',#45718,#36653,#36654); #32948=AXIS2_PLACEMENT_3D('',#45727,#36660,#36661); #32949=AXIS2_PLACEMENT_3D('',#45735,#36666,#36667); #32950=AXIS2_PLACEMENT_3D('',#45741,#36671,#36672); #32951=AXIS2_PLACEMENT_3D('',#45745,#36675,#36676); #32952=AXIS2_PLACEMENT_3D('',#45749,#36679,#36680); #32953=AXIS2_PLACEMENT_3D('',#45758,#36686,#36687); #32954=AXIS2_PLACEMENT_3D('',#45766,#36692,#36693); #32955=AXIS2_PLACEMENT_3D('',#45772,#36697,#36698); #32956=AXIS2_PLACEMENT_3D('',#45776,#36701,#36702); #32957=AXIS2_PLACEMENT_3D('',#45780,#36705,#36706); #32958=AXIS2_PLACEMENT_3D('',#45789,#36712,#36713); #32959=AXIS2_PLACEMENT_3D('',#45797,#36718,#36719); #32960=AXIS2_PLACEMENT_3D('',#45803,#36723,#36724); #32961=AXIS2_PLACEMENT_3D('',#45807,#36727,#36728); #32962=AXIS2_PLACEMENT_3D('',#45811,#36731,#36732); #32963=AXIS2_PLACEMENT_3D('',#45820,#36738,#36739); #32964=AXIS2_PLACEMENT_3D('',#45827,#36744,#36745); #32965=AXIS2_PLACEMENT_3D('',#45836,#36750,#36751); #32966=AXIS2_PLACEMENT_3D('',#45837,#36752,#36753); #32967=AXIS2_PLACEMENT_3D('',#45841,#36755,#36756); #32968=AXIS2_PLACEMENT_3D('',#45842,#36757,#36758); #32969=AXIS2_PLACEMENT_3D('',#45848,#36762,#36763); #32970=AXIS2_PLACEMENT_3D('',#45857,#36768,#36769); #32971=AXIS2_PLACEMENT_3D('',#45858,#36770,#36771); #32972=AXIS2_PLACEMENT_3D('',#45862,#36773,#36774); #32973=AXIS2_PLACEMENT_3D('',#45863,#36775,#36776); #32974=AXIS2_PLACEMENT_3D('',#45869,#36780,#36781); #32975=AXIS2_PLACEMENT_3D('',#45878,#36786,#36787); #32976=AXIS2_PLACEMENT_3D('',#45879,#36788,#36789); #32977=AXIS2_PLACEMENT_3D('',#45883,#36791,#36792); #32978=AXIS2_PLACEMENT_3D('',#45884,#36793,#36794); #32979=AXIS2_PLACEMENT_3D('',#45890,#36798,#36799); #32980=AXIS2_PLACEMENT_3D('',#45899,#36804,#36805); #32981=AXIS2_PLACEMENT_3D('',#45900,#36806,#36807); #32982=AXIS2_PLACEMENT_3D('',#45904,#36809,#36810); #32983=AXIS2_PLACEMENT_3D('',#45905,#36811,#36812); #32984=AXIS2_PLACEMENT_3D('',#45911,#36816,#36817); #32985=AXIS2_PLACEMENT_3D('',#45920,#36822,#36823); #32986=AXIS2_PLACEMENT_3D('',#45921,#36824,#36825); #32987=AXIS2_PLACEMENT_3D('',#45925,#36827,#36828); #32988=AXIS2_PLACEMENT_3D('',#45926,#36829,#36830); #32989=AXIS2_PLACEMENT_3D('',#45932,#36834,#36835); #32990=AXIS2_PLACEMENT_3D('',#45941,#36840,#36841); #32991=AXIS2_PLACEMENT_3D('',#45942,#36842,#36843); #32992=AXIS2_PLACEMENT_3D('',#45946,#36845,#36846); #32993=AXIS2_PLACEMENT_3D('',#45947,#36847,#36848); #32994=AXIS2_PLACEMENT_3D('',#45953,#36852,#36853); #32995=AXIS2_PLACEMENT_3D('',#45962,#36858,#36859); #32996=AXIS2_PLACEMENT_3D('',#45963,#36860,#36861); #32997=AXIS2_PLACEMENT_3D('',#45967,#36863,#36864); #32998=AXIS2_PLACEMENT_3D('',#45968,#36865,#36866); #32999=AXIS2_PLACEMENT_3D('',#45974,#36870,#36871); #33000=AXIS2_PLACEMENT_3D('',#45983,#36876,#36877); #33001=AXIS2_PLACEMENT_3D('',#45984,#36878,#36879); #33002=AXIS2_PLACEMENT_3D('',#45988,#36881,#36882); #33003=AXIS2_PLACEMENT_3D('',#45989,#36883,#36884); #33004=AXIS2_PLACEMENT_3D('',#45995,#36888,#36889); #33005=AXIS2_PLACEMENT_3D('',#46004,#36894,#36895); #33006=AXIS2_PLACEMENT_3D('',#46005,#36896,#36897); #33007=AXIS2_PLACEMENT_3D('',#46009,#36899,#36900); #33008=AXIS2_PLACEMENT_3D('',#46010,#36901,#36902); #33009=AXIS2_PLACEMENT_3D('',#46016,#36906,#36907); #33010=AXIS2_PLACEMENT_3D('',#46025,#36912,#36913); #33011=AXIS2_PLACEMENT_3D('',#46026,#36914,#36915); #33012=AXIS2_PLACEMENT_3D('',#46030,#36917,#36918); #33013=AXIS2_PLACEMENT_3D('',#46031,#36919,#36920); #33014=AXIS2_PLACEMENT_3D('',#46037,#36924,#36925); #33015=AXIS2_PLACEMENT_3D('',#46046,#36930,#36931); #33016=AXIS2_PLACEMENT_3D('',#46047,#36932,#36933); #33017=AXIS2_PLACEMENT_3D('',#46051,#36935,#36936); #33018=AXIS2_PLACEMENT_3D('',#46052,#36937,#36938); #33019=AXIS2_PLACEMENT_3D('',#46058,#36942,#36943); #33020=AXIS2_PLACEMENT_3D('',#46067,#36948,#36949); #33021=AXIS2_PLACEMENT_3D('',#46068,#36950,#36951); #33022=AXIS2_PLACEMENT_3D('',#46072,#36953,#36954); #33023=AXIS2_PLACEMENT_3D('',#46073,#36955,#36956); #33024=AXIS2_PLACEMENT_3D('',#46079,#36960,#36961); #33025=AXIS2_PLACEMENT_3D('',#46088,#36966,#36967); #33026=AXIS2_PLACEMENT_3D('',#46089,#36968,#36969); #33027=AXIS2_PLACEMENT_3D('',#46093,#36971,#36972); #33028=AXIS2_PLACEMENT_3D('',#46094,#36973,#36974); #33029=AXIS2_PLACEMENT_3D('',#46100,#36978,#36979); #33030=AXIS2_PLACEMENT_3D('',#46109,#36984,#36985); #33031=AXIS2_PLACEMENT_3D('',#46110,#36986,#36987); #33032=AXIS2_PLACEMENT_3D('',#46114,#36989,#36990); #33033=AXIS2_PLACEMENT_3D('',#46115,#36991,#36992); #33034=AXIS2_PLACEMENT_3D('',#46121,#36996,#36997); #33035=AXIS2_PLACEMENT_3D('',#46130,#37002,#37003); #33036=AXIS2_PLACEMENT_3D('',#46131,#37004,#37005); #33037=AXIS2_PLACEMENT_3D('',#46135,#37007,#37008); #33038=AXIS2_PLACEMENT_3D('',#46136,#37009,#37010); #33039=AXIS2_PLACEMENT_3D('',#46142,#37014,#37015); #33040=AXIS2_PLACEMENT_3D('',#46151,#37020,#37021); #33041=AXIS2_PLACEMENT_3D('',#46152,#37022,#37023); #33042=AXIS2_PLACEMENT_3D('',#46156,#37025,#37026); #33043=AXIS2_PLACEMENT_3D('',#46157,#37027,#37028); #33044=AXIS2_PLACEMENT_3D('',#46163,#37032,#37033); #33045=AXIS2_PLACEMENT_3D('',#46169,#37037,#37038); #33046=AXIS2_PLACEMENT_3D('',#46175,#37042,#37043); #33047=AXIS2_PLACEMENT_3D('',#46181,#37047,#37048); #33048=AXIS2_PLACEMENT_3D('',#46187,#37052,#37053); #33049=AXIS2_PLACEMENT_3D('',#46193,#37057,#37058); #33050=AXIS2_PLACEMENT_3D('',#46199,#37062,#37063); #33051=AXIS2_PLACEMENT_3D('',#46205,#37067,#37068); #33052=AXIS2_PLACEMENT_3D('',#46211,#37072,#37073); #33053=AXIS2_PLACEMENT_3D('',#46217,#37077,#37078); #33054=AXIS2_PLACEMENT_3D('',#46223,#37082,#37083); #33055=AXIS2_PLACEMENT_3D('',#46229,#37087,#37088); #33056=AXIS2_PLACEMENT_3D('',#46235,#37092,#37093); #33057=AXIS2_PLACEMENT_3D('',#46241,#37097,#37098); #33058=AXIS2_PLACEMENT_3D('',#46247,#37102,#37103); #33059=AXIS2_PLACEMENT_3D('',#46253,#37107,#37108); #33060=AXIS2_PLACEMENT_3D('',#46259,#37112,#37113); #33061=AXIS2_PLACEMENT_3D('',#46265,#37117,#37118); #33062=AXIS2_PLACEMENT_3D('',#46271,#37122,#37123); #33063=AXIS2_PLACEMENT_3D('',#46277,#37127,#37128); #33064=AXIS2_PLACEMENT_3D('',#46283,#37132,#37133); #33065=AXIS2_PLACEMENT_3D('',#46289,#37137,#37138); #33066=AXIS2_PLACEMENT_3D('',#46295,#37142,#37143); #33067=AXIS2_PLACEMENT_3D('',#46301,#37147,#37148); #33068=AXIS2_PLACEMENT_3D('',#46307,#37152,#37153); #33069=AXIS2_PLACEMENT_3D('',#46313,#37157,#37158); #33070=AXIS2_PLACEMENT_3D('',#46319,#37162,#37163); #33071=AXIS2_PLACEMENT_3D('',#46325,#37167,#37168); #33072=AXIS2_PLACEMENT_3D('',#46331,#37172,#37173); #33073=AXIS2_PLACEMENT_3D('',#46337,#37177,#37178); #33074=AXIS2_PLACEMENT_3D('',#46343,#37182,#37183); #33075=AXIS2_PLACEMENT_3D('',#46349,#37187,#37188); #33076=AXIS2_PLACEMENT_3D('',#46355,#37192,#37193); #33077=AXIS2_PLACEMENT_3D('',#46364,#37198,#37199); #33078=AXIS2_PLACEMENT_3D('',#46370,#37203,#37204); #33079=AXIS2_PLACEMENT_3D('',#46376,#37208,#37209); #33080=AXIS2_PLACEMENT_3D('',#46382,#37213,#37214); #33081=AXIS2_PLACEMENT_3D('',#46388,#37218,#37219); #33082=AXIS2_PLACEMENT_3D('',#46394,#37223,#37224); #33083=AXIS2_PLACEMENT_3D('',#46400,#37228,#37229); #33084=AXIS2_PLACEMENT_3D('',#46406,#37233,#37234); #33085=AXIS2_PLACEMENT_3D('',#46414,#37239,#37240); #33086=AXIS2_PLACEMENT_3D('',#46420,#37244,#37245); #33087=AXIS2_PLACEMENT_3D('',#46423,#37247,#37248); #33088=AXIS2_PLACEMENT_3D('',#46425,#37249,#37250); #33089=AXIS2_PLACEMENT_3D('',#46428,#37252,#37253); #33090=AXIS2_PLACEMENT_3D('',#46436,#37258,#37259); #33091=AXIS2_PLACEMENT_3D('',#46442,#37263,#37264); #33092=AXIS2_PLACEMENT_3D('',#46448,#37268,#37269); #33093=AXIS2_PLACEMENT_3D('',#46449,#37270,#37271); #33094=AXIS2_PLACEMENT_3D('',#46452,#37273,#37274); #33095=AXIS2_PLACEMENT_3D('',#46456,#37277,#37278); #33096=AXIS2_PLACEMENT_3D('',#46457,#37279,#37280); #33097=AXIS2_PLACEMENT_3D('',#46460,#37282,#37283); #33098=AXIS2_PLACEMENT_3D('',#46461,#37284,#37285); #33099=AXIS2_PLACEMENT_3D('',#46466,#37287,#37288); #33100=AXIS2_PLACEMENT_3D('',#46469,#37290,#37291); #33101=AXIS2_PLACEMENT_3D('',#46470,#37292,#37293); #33102=AXIS2_PLACEMENT_3D('',#46474,#37295,#37296); #33103=AXIS2_PLACEMENT_3D('',#46475,#37297,#37298); #33104=AXIS2_PLACEMENT_3D('',#46480,#37302,#37303); #33105=AXIS2_PLACEMENT_3D('',#46481,#37304,#37305); #33106=AXIS2_PLACEMENT_3D('',#46484,#37307,#37308); #33107=AXIS2_PLACEMENT_3D('',#46488,#37311,#37312); #33108=AXIS2_PLACEMENT_3D('',#46489,#37313,#37314); #33109=AXIS2_PLACEMENT_3D('',#46492,#37316,#37317); #33110=AXIS2_PLACEMENT_3D('',#46493,#37318,#37319); #33111=AXIS2_PLACEMENT_3D('',#46498,#37321,#37322); #33112=AXIS2_PLACEMENT_3D('',#46501,#37324,#37325); #33113=AXIS2_PLACEMENT_3D('',#46502,#37326,#37327); #33114=AXIS2_PLACEMENT_3D('',#46506,#37329,#37330); #33115=AXIS2_PLACEMENT_3D('',#46507,#37331,#37332); #33116=AXIS2_PLACEMENT_3D('',#46512,#37336,#37337); #33117=AXIS2_PLACEMENT_3D('',#46513,#37338,#37339); #33118=AXIS2_PLACEMENT_3D('',#46516,#37341,#37342); #33119=AXIS2_PLACEMENT_3D('',#46520,#37345,#37346); #33120=AXIS2_PLACEMENT_3D('',#46521,#37347,#37348); #33121=AXIS2_PLACEMENT_3D('',#46524,#37350,#37351); #33122=AXIS2_PLACEMENT_3D('',#46525,#37352,#37353); #33123=AXIS2_PLACEMENT_3D('',#46530,#37355,#37356); #33124=AXIS2_PLACEMENT_3D('',#46533,#37358,#37359); #33125=AXIS2_PLACEMENT_3D('',#46534,#37360,#37361); #33126=AXIS2_PLACEMENT_3D('',#46538,#37363,#37364); #33127=AXIS2_PLACEMENT_3D('',#46539,#37365,#37366); #33128=AXIS2_PLACEMENT_3D('',#46544,#37370,#37371); #33129=AXIS2_PLACEMENT_3D('',#46545,#37372,#37373); #33130=AXIS2_PLACEMENT_3D('',#46548,#37375,#37376); #33131=AXIS2_PLACEMENT_3D('',#46552,#37379,#37380); #33132=AXIS2_PLACEMENT_3D('',#46553,#37381,#37382); #33133=AXIS2_PLACEMENT_3D('',#46556,#37384,#37385); #33134=AXIS2_PLACEMENT_3D('',#46557,#37386,#37387); #33135=AXIS2_PLACEMENT_3D('',#46562,#37389,#37390); #33136=AXIS2_PLACEMENT_3D('',#46565,#37392,#37393); #33137=AXIS2_PLACEMENT_3D('',#46566,#37394,#37395); #33138=AXIS2_PLACEMENT_3D('',#46570,#37397,#37398); #33139=AXIS2_PLACEMENT_3D('',#46571,#37399,#37400); #33140=AXIS2_PLACEMENT_3D('',#46576,#37404,#37405); #33141=AXIS2_PLACEMENT_3D('',#46577,#37406,#37407); #33142=AXIS2_PLACEMENT_3D('',#46580,#37409,#37410); #33143=AXIS2_PLACEMENT_3D('',#46584,#37413,#37414); #33144=AXIS2_PLACEMENT_3D('',#46585,#37415,#37416); #33145=AXIS2_PLACEMENT_3D('',#46588,#37418,#37419); #33146=AXIS2_PLACEMENT_3D('',#46589,#37420,#37421); #33147=AXIS2_PLACEMENT_3D('',#46594,#37423,#37424); #33148=AXIS2_PLACEMENT_3D('',#46597,#37426,#37427); #33149=AXIS2_PLACEMENT_3D('',#46598,#37428,#37429); #33150=AXIS2_PLACEMENT_3D('',#46602,#37431,#37432); #33151=AXIS2_PLACEMENT_3D('',#46603,#37433,#37434); #33152=AXIS2_PLACEMENT_3D('',#46608,#37438,#37439); #33153=AXIS2_PLACEMENT_3D('',#46609,#37440,#37441); #33154=AXIS2_PLACEMENT_3D('',#46612,#37443,#37444); #33155=AXIS2_PLACEMENT_3D('',#46616,#37447,#37448); #33156=AXIS2_PLACEMENT_3D('',#46617,#37449,#37450); #33157=AXIS2_PLACEMENT_3D('',#46620,#37452,#37453); #33158=AXIS2_PLACEMENT_3D('',#46621,#37454,#37455); #33159=AXIS2_PLACEMENT_3D('',#46626,#37457,#37458); #33160=AXIS2_PLACEMENT_3D('',#46629,#37460,#37461); #33161=AXIS2_PLACEMENT_3D('',#46630,#37462,#37463); #33162=AXIS2_PLACEMENT_3D('',#46634,#37465,#37466); #33163=AXIS2_PLACEMENT_3D('',#46635,#37467,#37468); #33164=AXIS2_PLACEMENT_3D('',#46640,#37472,#37473); #33165=AXIS2_PLACEMENT_3D('',#46641,#37474,#37475); #33166=AXIS2_PLACEMENT_3D('',#46644,#37477,#37478); #33167=AXIS2_PLACEMENT_3D('',#46648,#37481,#37482); #33168=AXIS2_PLACEMENT_3D('',#46649,#37483,#37484); #33169=AXIS2_PLACEMENT_3D('',#46652,#37486,#37487); #33170=AXIS2_PLACEMENT_3D('',#46653,#37488,#37489); #33171=AXIS2_PLACEMENT_3D('',#46658,#37491,#37492); #33172=AXIS2_PLACEMENT_3D('',#46661,#37494,#37495); #33173=AXIS2_PLACEMENT_3D('',#46662,#37496,#37497); #33174=AXIS2_PLACEMENT_3D('',#46666,#37499,#37500); #33175=AXIS2_PLACEMENT_3D('',#46667,#37501,#37502); #33176=AXIS2_PLACEMENT_3D('',#46672,#37506,#37507); #33177=AXIS2_PLACEMENT_3D('',#46673,#37508,#37509); #33178=AXIS2_PLACEMENT_3D('',#46676,#37511,#37512); #33179=AXIS2_PLACEMENT_3D('',#46680,#37515,#37516); #33180=AXIS2_PLACEMENT_3D('',#46681,#37517,#37518); #33181=AXIS2_PLACEMENT_3D('',#46684,#37520,#37521); #33182=AXIS2_PLACEMENT_3D('',#46688,#37523,#37524); #33183=AXIS2_PLACEMENT_3D('',#46692,#37526,#37527); #33184=AXIS2_PLACEMENT_3D('',#46693,#37528,#37529); #33185=AXIS2_PLACEMENT_3D('',#46694,#37530,#37531); #33186=AXIS2_PLACEMENT_3D('',#46698,#37533,#37534); #33187=AXIS2_PLACEMENT_3D('',#46699,#37535,#37536); #33188=AXIS2_PLACEMENT_3D('',#46704,#37540,#37541); #33189=AXIS2_PLACEMENT_3D('',#46705,#37542,#37543); #33190=AXIS2_PLACEMENT_3D('',#46708,#37545,#37546); #33191=AXIS2_PLACEMENT_3D('',#46712,#37549,#37550); #33192=AXIS2_PLACEMENT_3D('',#46713,#37551,#37552); #33193=AXIS2_PLACEMENT_3D('',#46716,#37554,#37555); #33194=AXIS2_PLACEMENT_3D('',#46717,#37556,#37557); #33195=AXIS2_PLACEMENT_3D('',#46722,#37559,#37560); #33196=AXIS2_PLACEMENT_3D('',#46725,#37562,#37563); #33197=AXIS2_PLACEMENT_3D('',#46726,#37564,#37565); #33198=AXIS2_PLACEMENT_3D('',#46730,#37567,#37568); #33199=AXIS2_PLACEMENT_3D('',#46731,#37569,#37570); #33200=AXIS2_PLACEMENT_3D('',#46736,#37574,#37575); #33201=AXIS2_PLACEMENT_3D('',#46737,#37576,#37577); #33202=AXIS2_PLACEMENT_3D('',#46740,#37579,#37580); #33203=AXIS2_PLACEMENT_3D('',#46744,#37583,#37584); #33204=AXIS2_PLACEMENT_3D('',#46745,#37585,#37586); #33205=AXIS2_PLACEMENT_3D('',#46748,#37588,#37589); #33206=AXIS2_PLACEMENT_3D('',#46749,#37590,#37591); #33207=AXIS2_PLACEMENT_3D('',#46754,#37593,#37594); #33208=AXIS2_PLACEMENT_3D('',#46757,#37596,#37597); #33209=AXIS2_PLACEMENT_3D('',#46758,#37598,#37599); #33210=AXIS2_PLACEMENT_3D('',#46762,#37601,#37602); #33211=AXIS2_PLACEMENT_3D('',#46763,#37603,#37604); #33212=AXIS2_PLACEMENT_3D('',#46768,#37608,#37609); #33213=AXIS2_PLACEMENT_3D('',#46769,#37610,#37611); #33214=AXIS2_PLACEMENT_3D('',#46772,#37613,#37614); #33215=AXIS2_PLACEMENT_3D('',#46776,#37617,#37618); #33216=AXIS2_PLACEMENT_3D('',#46777,#37619,#37620); #33217=AXIS2_PLACEMENT_3D('',#46780,#37622,#37623); #33218=AXIS2_PLACEMENT_3D('',#46781,#37624,#37625); #33219=AXIS2_PLACEMENT_3D('',#46786,#37627,#37628); #33220=AXIS2_PLACEMENT_3D('',#46789,#37630,#37631); #33221=AXIS2_PLACEMENT_3D('',#46790,#37632,#37633); #33222=AXIS2_PLACEMENT_3D('',#46794,#37635,#37636); #33223=AXIS2_PLACEMENT_3D('',#46795,#37637,#37638); #33224=AXIS2_PLACEMENT_3D('',#46800,#37642,#37643); #33225=AXIS2_PLACEMENT_3D('',#46801,#37644,#37645); #33226=AXIS2_PLACEMENT_3D('',#46804,#37647,#37648); #33227=AXIS2_PLACEMENT_3D('',#46808,#37651,#37652); #33228=AXIS2_PLACEMENT_3D('',#46809,#37653,#37654); #33229=AXIS2_PLACEMENT_3D('',#46812,#37656,#37657); #33230=AXIS2_PLACEMENT_3D('',#46813,#37658,#37659); #33231=AXIS2_PLACEMENT_3D('',#46818,#37661,#37662); #33232=AXIS2_PLACEMENT_3D('',#46821,#37664,#37665); #33233=AXIS2_PLACEMENT_3D('',#46822,#37666,#37667); #33234=AXIS2_PLACEMENT_3D('',#46826,#37669,#37670); #33235=AXIS2_PLACEMENT_3D('',#46827,#37671,#37672); #33236=AXIS2_PLACEMENT_3D('',#46832,#37676,#37677); #33237=AXIS2_PLACEMENT_3D('',#46833,#37678,#37679); #33238=AXIS2_PLACEMENT_3D('',#46836,#37681,#37682); #33239=AXIS2_PLACEMENT_3D('',#46840,#37685,#37686); #33240=AXIS2_PLACEMENT_3D('',#46841,#37687,#37688); #33241=AXIS2_PLACEMENT_3D('',#46844,#37690,#37691); #33242=AXIS2_PLACEMENT_3D('',#46845,#37692,#37693); #33243=AXIS2_PLACEMENT_3D('',#46850,#37695,#37696); #33244=AXIS2_PLACEMENT_3D('',#46853,#37698,#37699); #33245=AXIS2_PLACEMENT_3D('',#46854,#37700,#37701); #33246=AXIS2_PLACEMENT_3D('',#46858,#37703,#37704); #33247=AXIS2_PLACEMENT_3D('',#46859,#37705,#37706); #33248=AXIS2_PLACEMENT_3D('',#46864,#37710,#37711); #33249=AXIS2_PLACEMENT_3D('',#46865,#37712,#37713); #33250=AXIS2_PLACEMENT_3D('',#46868,#37715,#37716); #33251=AXIS2_PLACEMENT_3D('',#46872,#37719,#37720); #33252=AXIS2_PLACEMENT_3D('',#46873,#37721,#37722); #33253=AXIS2_PLACEMENT_3D('',#46876,#37724,#37725); #33254=AXIS2_PLACEMENT_3D('',#46877,#37726,#37727); #33255=AXIS2_PLACEMENT_3D('',#46882,#37729,#37730); #33256=AXIS2_PLACEMENT_3D('',#46885,#37732,#37733); #33257=AXIS2_PLACEMENT_3D('',#46886,#37734,#37735); #33258=AXIS2_PLACEMENT_3D('',#46890,#37737,#37738); #33259=AXIS2_PLACEMENT_3D('',#46891,#37739,#37740); #33260=AXIS2_PLACEMENT_3D('',#46896,#37744,#37745); #33261=AXIS2_PLACEMENT_3D('',#46897,#37746,#37747); #33262=AXIS2_PLACEMENT_3D('',#46900,#37749,#37750); #33263=AXIS2_PLACEMENT_3D('',#46904,#37753,#37754); #33264=AXIS2_PLACEMENT_3D('',#46905,#37755,#37756); #33265=AXIS2_PLACEMENT_3D('',#46908,#37758,#37759); #33266=AXIS2_PLACEMENT_3D('',#46909,#37760,#37761); #33267=AXIS2_PLACEMENT_3D('',#46914,#37763,#37764); #33268=AXIS2_PLACEMENT_3D('',#46917,#37766,#37767); #33269=AXIS2_PLACEMENT_3D('',#46918,#37768,#37769); #33270=AXIS2_PLACEMENT_3D('',#46922,#37771,#37772); #33271=AXIS2_PLACEMENT_3D('',#46923,#37773,#37774); #33272=AXIS2_PLACEMENT_3D('',#46928,#37778,#37779); #33273=AXIS2_PLACEMENT_3D('',#46929,#37780,#37781); #33274=AXIS2_PLACEMENT_3D('',#46932,#37783,#37784); #33275=AXIS2_PLACEMENT_3D('',#46936,#37787,#37788); #33276=AXIS2_PLACEMENT_3D('',#46937,#37789,#37790); #33277=AXIS2_PLACEMENT_3D('',#46940,#37792,#37793); #33278=AXIS2_PLACEMENT_3D('',#46947,#37798,#37799); #33279=AXIS2_PLACEMENT_3D('',#46953,#37803,#37804); #33280=AXIS2_PLACEMENT_3D('',#46959,#37808,#37809); #33281=AXIS2_PLACEMENT_3D('',#46965,#37813,#37814); #33282=AXIS2_PLACEMENT_3D('',#46966,#37815,#37816); #33283=AXIS2_PLACEMENT_3D('',#46968,#37817,#37818); #33284=AXIS2_PLACEMENT_3D('',#46969,#37819,#37820); #33285=AXIS2_PLACEMENT_3D('',#46978,#37825,#37826); #33286=AXIS2_PLACEMENT_3D('',#46984,#37830,#37831); #33287=AXIS2_PLACEMENT_3D('',#46990,#37835,#37836); #33288=AXIS2_PLACEMENT_3D('',#46996,#37840,#37841); #33289=AXIS2_PLACEMENT_3D('',#47002,#37845,#37846); #33290=AXIS2_PLACEMENT_3D('',#47008,#37850,#37851); #33291=AXIS2_PLACEMENT_3D('',#47014,#37855,#37856); #33292=AXIS2_PLACEMENT_3D('',#47020,#37860,#37861); #33293=AXIS2_PLACEMENT_3D('',#47029,#37866,#37867); #33294=AXIS2_PLACEMENT_3D('',#47034,#37871,#37872); #33295=AXIS2_PLACEMENT_3D('',#47043,#37877,#37878); #33296=AXIS2_PLACEMENT_3D('',#47049,#37882,#37883); #33297=AXIS2_PLACEMENT_3D('',#47053,#37886,#37887); #33298=AXIS2_PLACEMENT_3D('',#47057,#37890,#37891); #33299=AXIS2_PLACEMENT_3D('',#47066,#37896,#37897); #33300=AXIS2_PLACEMENT_3D('',#47072,#37901,#37902); #33301=AXIS2_PLACEMENT_3D('',#47076,#37905,#37906); #33302=AXIS2_PLACEMENT_3D('',#47080,#37909,#37910); #33303=AXIS2_PLACEMENT_3D('',#47089,#37915,#37916); #33304=AXIS2_PLACEMENT_3D('',#47095,#37920,#37921); #33305=AXIS2_PLACEMENT_3D('',#47099,#37924,#37925); #33306=AXIS2_PLACEMENT_3D('',#47103,#37928,#37929); #33307=AXIS2_PLACEMENT_3D('',#47112,#37934,#37935); #33308=AXIS2_PLACEMENT_3D('',#47118,#37939,#37940); #33309=AXIS2_PLACEMENT_3D('',#47122,#37943,#37944); #33310=AXIS2_PLACEMENT_3D('',#47126,#37947,#37948); #33311=AXIS2_PLACEMENT_3D('',#47135,#37953,#37954); #33312=AXIS2_PLACEMENT_3D('',#47141,#37958,#37959); #33313=AXIS2_PLACEMENT_3D('',#47145,#37962,#37963); #33314=AXIS2_PLACEMENT_3D('',#47149,#37966,#37967); #33315=AXIS2_PLACEMENT_3D('',#47158,#37972,#37973); #33316=AXIS2_PLACEMENT_3D('',#47164,#37977,#37978); #33317=AXIS2_PLACEMENT_3D('',#47168,#37981,#37982); #33318=AXIS2_PLACEMENT_3D('',#47172,#37985,#37986); #33319=AXIS2_PLACEMENT_3D('',#47181,#37991,#37992); #33320=AXIS2_PLACEMENT_3D('',#47187,#37996,#37997); #33321=AXIS2_PLACEMENT_3D('',#47191,#38000,#38001); #33322=AXIS2_PLACEMENT_3D('',#47195,#38004,#38005); #33323=AXIS2_PLACEMENT_3D('',#47204,#38010,#38011); #33324=AXIS2_PLACEMENT_3D('',#47210,#38015,#38016); #33325=AXIS2_PLACEMENT_3D('',#47214,#38019,#38020); #33326=AXIS2_PLACEMENT_3D('',#47218,#38023,#38024); #33327=AXIS2_PLACEMENT_3D('',#47227,#38029,#38030); #33328=AXIS2_PLACEMENT_3D('',#47233,#38034,#38035); #33329=AXIS2_PLACEMENT_3D('',#47237,#38038,#38039); #33330=AXIS2_PLACEMENT_3D('',#47241,#38042,#38043); #33331=AXIS2_PLACEMENT_3D('',#47250,#38048,#38049); #33332=AXIS2_PLACEMENT_3D('',#47256,#38053,#38054); #33333=AXIS2_PLACEMENT_3D('',#47260,#38057,#38058); #33334=AXIS2_PLACEMENT_3D('',#47264,#38061,#38062); #33335=AXIS2_PLACEMENT_3D('',#47273,#38067,#38068); #33336=AXIS2_PLACEMENT_3D('',#47279,#38072,#38073); #33337=AXIS2_PLACEMENT_3D('',#47283,#38076,#38077); #33338=AXIS2_PLACEMENT_3D('',#47287,#38080,#38081); #33339=AXIS2_PLACEMENT_3D('',#47296,#38086,#38087); #33340=AXIS2_PLACEMENT_3D('',#47302,#38091,#38092); #33341=AXIS2_PLACEMENT_3D('',#47306,#38095,#38096); #33342=AXIS2_PLACEMENT_3D('',#47310,#38099,#38100); #33343=AXIS2_PLACEMENT_3D('',#47319,#38105,#38106); #33344=AXIS2_PLACEMENT_3D('',#47325,#38110,#38111); #33345=AXIS2_PLACEMENT_3D('',#47329,#38114,#38115); #33346=AXIS2_PLACEMENT_3D('',#47333,#38118,#38119); #33347=AXIS2_PLACEMENT_3D('',#47342,#38124,#38125); #33348=AXIS2_PLACEMENT_3D('',#47348,#38129,#38130); #33349=AXIS2_PLACEMENT_3D('',#47352,#38133,#38134); #33350=AXIS2_PLACEMENT_3D('',#47356,#38137,#38138); #33351=AXIS2_PLACEMENT_3D('',#47365,#38143,#38144); #33352=AXIS2_PLACEMENT_3D('',#47371,#38148,#38149); #33353=AXIS2_PLACEMENT_3D('',#47375,#38152,#38153); #33354=AXIS2_PLACEMENT_3D('',#47379,#38156,#38157); #33355=AXIS2_PLACEMENT_3D('',#47388,#38162,#38163); #33356=AXIS2_PLACEMENT_3D('',#47394,#38167,#38168); #33357=AXIS2_PLACEMENT_3D('',#47398,#38171,#38172); #33358=AXIS2_PLACEMENT_3D('',#47402,#38175,#38176); #33359=AXIS2_PLACEMENT_3D('',#47408,#38180,#38181); #33360=AXIS2_PLACEMENT_3D('',#47412,#38184,#38185); #33361=AXIS2_PLACEMENT_3D('',#47416,#38188,#38189); #33362=AXIS2_PLACEMENT_3D('',#47420,#38192,#38193); #33363=AXIS2_PLACEMENT_3D('',#47424,#38196,#38197); #33364=AXIS2_PLACEMENT_3D('',#47428,#38200,#38201); #33365=AXIS2_PLACEMENT_3D('',#47432,#38204,#38205); #33366=AXIS2_PLACEMENT_3D('',#47436,#38208,#38209); #33367=AXIS2_PLACEMENT_3D('',#47440,#38212,#38213); #33368=AXIS2_PLACEMENT_3D('',#47444,#38216,#38217); #33369=AXIS2_PLACEMENT_3D('',#47448,#38220,#38221); #33370=AXIS2_PLACEMENT_3D('',#47452,#38224,#38225); #33371=AXIS2_PLACEMENT_3D('',#47456,#38228,#38229); #33372=AXIS2_PLACEMENT_3D('',#47460,#38232,#38233); #33373=AXIS2_PLACEMENT_3D('',#47464,#38236,#38237); #33374=AXIS2_PLACEMENT_3D('',#47468,#38240,#38241); #33375=AXIS2_PLACEMENT_3D('',#47472,#38244,#38245); #33376=AXIS2_PLACEMENT_3D('',#47476,#38248,#38249); #33377=AXIS2_PLACEMENT_3D('',#47480,#38252,#38253); #33378=AXIS2_PLACEMENT_3D('',#47484,#38256,#38257); #33379=AXIS2_PLACEMENT_3D('',#47488,#38260,#38261); #33380=AXIS2_PLACEMENT_3D('',#47492,#38264,#38265); #33381=AXIS2_PLACEMENT_3D('',#47496,#38268,#38269); #33382=AXIS2_PLACEMENT_3D('',#47500,#38272,#38273); #33383=AXIS2_PLACEMENT_3D('',#47504,#38276,#38277); #33384=AXIS2_PLACEMENT_3D('',#47508,#38280,#38281); #33385=AXIS2_PLACEMENT_3D('',#47512,#38284,#38285); #33386=AXIS2_PLACEMENT_3D('',#47516,#38288,#38289); #33387=AXIS2_PLACEMENT_3D('',#47520,#38292,#38293); #33388=AXIS2_PLACEMENT_3D('',#47524,#38296,#38297); #33389=AXIS2_PLACEMENT_3D('',#47528,#38300,#38301); #33390=AXIS2_PLACEMENT_3D('',#47532,#38304,#38305); #33391=AXIS2_PLACEMENT_3D('',#47542,#38311,#38312); #33392=AXIS2_PLACEMENT_3D('',#47552,#38318,#38319); #33393=AXIS2_PLACEMENT_3D('',#47558,#38323,#38324); #33394=AXIS2_PLACEMENT_3D('',#47562,#38327,#38328); #33395=AXIS2_PLACEMENT_3D('',#47566,#38331,#38332); #33396=AXIS2_PLACEMENT_3D('',#47570,#38335,#38336); #33397=AXIS2_PLACEMENT_3D('',#47574,#38339,#38340); #33398=AXIS2_PLACEMENT_3D('',#47578,#38343,#38344); #33399=AXIS2_PLACEMENT_3D('',#47582,#38347,#38348); #33400=AXIS2_PLACEMENT_3D('',#47586,#38351,#38352); #33401=AXIS2_PLACEMENT_3D('',#47590,#38355,#38356); #33402=AXIS2_PLACEMENT_3D('',#47594,#38359,#38360); #33403=AXIS2_PLACEMENT_3D('',#47598,#38363,#38364); #33404=AXIS2_PLACEMENT_3D('',#47602,#38367,#38368); #33405=AXIS2_PLACEMENT_3D('',#47606,#38371,#38372); #33406=AXIS2_PLACEMENT_3D('',#47610,#38375,#38376); #33407=AXIS2_PLACEMENT_3D('',#47614,#38379,#38380); #33408=AXIS2_PLACEMENT_3D('',#47618,#38383,#38384); #33409=AXIS2_PLACEMENT_3D('',#47622,#38387,#38388); #33410=AXIS2_PLACEMENT_3D('',#47626,#38391,#38392); #33411=AXIS2_PLACEMENT_3D('',#47630,#38395,#38396); #33412=AXIS2_PLACEMENT_3D('',#47634,#38399,#38400); #33413=AXIS2_PLACEMENT_3D('',#47638,#38403,#38404); #33414=AXIS2_PLACEMENT_3D('',#47642,#38407,#38408); #33415=AXIS2_PLACEMENT_3D('',#47646,#38411,#38412); #33416=AXIS2_PLACEMENT_3D('',#47650,#38415,#38416); #33417=AXIS2_PLACEMENT_3D('',#47654,#38419,#38420); #33418=AXIS2_PLACEMENT_3D('',#47658,#38423,#38424); #33419=AXIS2_PLACEMENT_3D('',#47662,#38427,#38428); #33420=AXIS2_PLACEMENT_3D('',#47666,#38431,#38432); #33421=AXIS2_PLACEMENT_3D('',#47670,#38435,#38436); #33422=AXIS2_PLACEMENT_3D('',#47674,#38439,#38440); #33423=AXIS2_PLACEMENT_3D('',#47678,#38443,#38444); #33424=AXIS2_PLACEMENT_3D('',#47682,#38447,#38448); #33425=AXIS2_PLACEMENT_3D('',#47684,#38450,#38451); #33426=AXIS2_PLACEMENT_3D('',#47693,#38456,#38457); #33427=AXIS2_PLACEMENT_3D('',#47699,#38461,#38462); #33428=AXIS2_PLACEMENT_3D('',#47705,#38466,#38467); #33429=AXIS2_PLACEMENT_3D('',#47711,#38471,#38472); #33430=AXIS2_PLACEMENT_3D('',#47720,#38477,#38478); #33431=AXIS2_PLACEMENT_3D('',#47726,#38482,#38483); #33432=AXIS2_PLACEMENT_3D('',#47732,#38487,#38488); #33433=AXIS2_PLACEMENT_3D('',#47738,#38492,#38493); #33434=AXIS2_PLACEMENT_3D('',#47747,#38498,#38499); #33435=AXIS2_PLACEMENT_3D('',#47753,#38503,#38504); #33436=AXIS2_PLACEMENT_3D('',#47759,#38508,#38509); #33437=AXIS2_PLACEMENT_3D('',#47765,#38513,#38514); #33438=AXIS2_PLACEMENT_3D('',#47774,#38519,#38520); #33439=AXIS2_PLACEMENT_3D('',#47780,#38524,#38525); #33440=AXIS2_PLACEMENT_3D('',#47786,#38529,#38530); #33441=AXIS2_PLACEMENT_3D('',#47792,#38534,#38535); #33442=AXIS2_PLACEMENT_3D('',#47801,#38540,#38541); #33443=AXIS2_PLACEMENT_3D('',#47807,#38545,#38546); #33444=AXIS2_PLACEMENT_3D('',#47813,#38550,#38551); #33445=AXIS2_PLACEMENT_3D('',#47819,#38555,#38556); #33446=AXIS2_PLACEMENT_3D('',#47828,#38561,#38562); #33447=AXIS2_PLACEMENT_3D('',#47834,#38566,#38567); #33448=AXIS2_PLACEMENT_3D('',#47840,#38571,#38572); #33449=AXIS2_PLACEMENT_3D('',#47846,#38576,#38577); #33450=AXIS2_PLACEMENT_3D('',#47855,#38582,#38583); #33451=AXIS2_PLACEMENT_3D('',#47861,#38587,#38588); #33452=AXIS2_PLACEMENT_3D('',#47867,#38592,#38593); #33453=AXIS2_PLACEMENT_3D('',#47873,#38597,#38598); #33454=AXIS2_PLACEMENT_3D('',#47882,#38603,#38604); #33455=AXIS2_PLACEMENT_3D('',#47888,#38608,#38609); #33456=AXIS2_PLACEMENT_3D('',#47894,#38613,#38614); #33457=AXIS2_PLACEMENT_3D('',#47900,#38618,#38619); #33458=AXIS2_PLACEMENT_3D('',#47909,#38624,#38625); #33459=AXIS2_PLACEMENT_3D('',#47918,#38630,#38631); #33460=AXIS2_PLACEMENT_3D('',#47924,#38635,#38636); #33461=AXIS2_PLACEMENT_3D('',#47930,#38640,#38641); #33462=AXIS2_PLACEMENT_3D('',#47936,#38645,#38646); #33463=AXIS2_PLACEMENT_3D('',#47945,#38651,#38652); #33464=AXIS2_PLACEMENT_3D('',#47951,#38656,#38657); #33465=AXIS2_PLACEMENT_3D('',#47957,#38661,#38662); #33466=AXIS2_PLACEMENT_3D('',#47963,#38666,#38667); #33467=AXIS2_PLACEMENT_3D('',#47972,#38672,#38673); #33468=AXIS2_PLACEMENT_3D('',#47978,#38677,#38678); #33469=AXIS2_PLACEMENT_3D('',#47984,#38682,#38683); #33470=AXIS2_PLACEMENT_3D('',#47990,#38687,#38688); #33471=AXIS2_PLACEMENT_3D('',#47999,#38693,#38694); #33472=AXIS2_PLACEMENT_3D('',#48005,#38698,#38699); #33473=AXIS2_PLACEMENT_3D('',#48011,#38703,#38704); #33474=AXIS2_PLACEMENT_3D('',#48017,#38708,#38709); #33475=AXIS2_PLACEMENT_3D('',#48026,#38714,#38715); #33476=AXIS2_PLACEMENT_3D('',#48032,#38719,#38720); #33477=AXIS2_PLACEMENT_3D('',#48038,#38724,#38725); #33478=AXIS2_PLACEMENT_3D('',#48044,#38729,#38730); #33479=AXIS2_PLACEMENT_3D('',#48053,#38735,#38736); #33480=AXIS2_PLACEMENT_3D('',#48059,#38740,#38741); #33481=AXIS2_PLACEMENT_3D('',#48065,#38745,#38746); #33482=AXIS2_PLACEMENT_3D('',#48071,#38750,#38751); #33483=AXIS2_PLACEMENT_3D('',#48080,#38756,#38757); #33484=AXIS2_PLACEMENT_3D('',#48086,#38761,#38762); #33485=AXIS2_PLACEMENT_3D('',#48092,#38766,#38767); #33486=AXIS2_PLACEMENT_3D('',#48098,#38771,#38772); #33487=AXIS2_PLACEMENT_3D('',#48107,#38777,#38778); #33488=AXIS2_PLACEMENT_3D('',#48113,#38782,#38783); #33489=AXIS2_PLACEMENT_3D('',#48119,#38787,#38788); #33490=AXIS2_PLACEMENT_3D('',#48125,#38792,#38793); #33491=AXIS2_PLACEMENT_3D('',#48323,#38925,#38926); #33492=AXIS2_PLACEMENT_3D('',#48329,#38930,#38931); #33493=AXIS2_PLACEMENT_3D('',#48330,#38932,#38933); #33494=AXIS2_PLACEMENT_3D('',#48335,#38935,#38936); #33495=AXIS2_PLACEMENT_3D('',#48337,#38937,#38938); #33496=AXIS2_PLACEMENT_3D('',#48340,#38940,#38941); #33497=AXIS2_PLACEMENT_3D('',#48344,#38944,#38945); #33498=AXIS2_PLACEMENT_3D('',#48350,#38949,#38950); #33499=AXIS2_PLACEMENT_3D('',#48352,#38952,#38953); #33500=AXIS2_PLACEMENT_3D('',#48358,#38957,#38958); #33501=AXIS2_PLACEMENT_3D('',#48366,#38963,#38964); #33502=AXIS2_PLACEMENT_3D('',#48372,#38968,#38969); #33503=AXIS2_PLACEMENT_3D('',#48376,#38972,#38973); #33504=AXIS2_PLACEMENT_3D('',#48382,#38977,#38978); #33505=AXIS2_PLACEMENT_3D('',#48386,#38981,#38982); #33506=AXIS2_PLACEMENT_3D('',#48389,#38984,#38985); #33507=AXIS2_PLACEMENT_3D('',#48393,#38987,#38988); #33508=AXIS2_PLACEMENT_3D('',#48394,#38989,#38990); #33509=AXIS2_PLACEMENT_3D('',#48395,#38991,#38992); #33510=AXIS2_PLACEMENT_3D('',#48399,#38994,#38995); #33511=AXIS2_PLACEMENT_3D('',#48400,#38996,#38997); #33512=AXIS2_PLACEMENT_3D('',#48401,#38998,#38999); #33513=AXIS2_PLACEMENT_3D('',#48407,#39003,#39004); #33514=AXIS2_PLACEMENT_3D('',#48411,#39007,#39008); #33515=AXIS2_PLACEMENT_3D('',#48414,#39010,#39011); #33516=AXIS2_PLACEMENT_3D('',#48415,#39012,#39013); #33517=AXIS2_PLACEMENT_3D('',#48418,#39015,#39016); #33518=AXIS2_PLACEMENT_3D('',#48419,#39017,#39018); #33519=AXIS2_PLACEMENT_3D('',#48423,#39021,#39022); #33520=AXIS2_PLACEMENT_3D('',#48427,#39025,#39026); #33521=AXIS2_PLACEMENT_3D('',#48430,#39028,#39029); #33522=AXIS2_PLACEMENT_3D('',#48431,#39030,#39031); #33523=AXIS2_PLACEMENT_3D('',#48434,#39033,#39034); #33524=AXIS2_PLACEMENT_3D('',#48435,#39035,#39036); #33525=AXIS2_PLACEMENT_3D('',#48439,#39039,#39040); #33526=AXIS2_PLACEMENT_3D('',#48443,#39043,#39044); #33527=AXIS2_PLACEMENT_3D('',#48446,#39046,#39047); #33528=AXIS2_PLACEMENT_3D('',#48447,#39048,#39049); #33529=AXIS2_PLACEMENT_3D('',#48450,#39051,#39052); #33530=AXIS2_PLACEMENT_3D('',#48451,#39053,#39054); #33531=AXIS2_PLACEMENT_3D('',#48455,#39057,#39058); #33532=AXIS2_PLACEMENT_3D('',#48459,#39061,#39062); #33533=AXIS2_PLACEMENT_3D('',#48462,#39064,#39065); #33534=AXIS2_PLACEMENT_3D('',#48463,#39066,#39067); #33535=AXIS2_PLACEMENT_3D('',#48466,#39069,#39070); #33536=AXIS2_PLACEMENT_3D('',#48467,#39071,#39072); #33537=AXIS2_PLACEMENT_3D('',#48471,#39075,#39076); #33538=AXIS2_PLACEMENT_3D('',#48475,#39079,#39080); #33539=AXIS2_PLACEMENT_3D('',#48478,#39082,#39083); #33540=AXIS2_PLACEMENT_3D('',#48479,#39084,#39085); #33541=AXIS2_PLACEMENT_3D('',#48482,#39087,#39088); #33542=AXIS2_PLACEMENT_3D('',#48483,#39089,#39090); #33543=AXIS2_PLACEMENT_3D('',#48487,#39093,#39094); #33544=AXIS2_PLACEMENT_3D('',#48491,#39097,#39098); #33545=AXIS2_PLACEMENT_3D('',#48494,#39100,#39101); #33546=AXIS2_PLACEMENT_3D('',#48495,#39102,#39103); #33547=AXIS2_PLACEMENT_3D('',#48498,#39105,#39106); #33548=AXIS2_PLACEMENT_3D('',#48499,#39107,#39108); #33549=AXIS2_PLACEMENT_3D('',#48503,#39111,#39112); #33550=AXIS2_PLACEMENT_3D('',#48507,#39115,#39116); #33551=AXIS2_PLACEMENT_3D('',#48510,#39118,#39119); #33552=AXIS2_PLACEMENT_3D('',#48511,#39120,#39121); #33553=AXIS2_PLACEMENT_3D('',#48514,#39123,#39124); #33554=AXIS2_PLACEMENT_3D('',#48515,#39125,#39126); #33555=AXIS2_PLACEMENT_3D('',#48519,#39129,#39130); #33556=AXIS2_PLACEMENT_3D('',#48523,#39133,#39134); #33557=AXIS2_PLACEMENT_3D('',#48526,#39136,#39137); #33558=AXIS2_PLACEMENT_3D('',#48527,#39138,#39139); #33559=AXIS2_PLACEMENT_3D('',#48530,#39141,#39142); #33560=AXIS2_PLACEMENT_3D('',#48531,#39143,#39144); #33561=AXIS2_PLACEMENT_3D('',#48535,#39147,#39148); #33562=AXIS2_PLACEMENT_3D('',#48539,#39151,#39152); #33563=AXIS2_PLACEMENT_3D('',#48542,#39154,#39155); #33564=AXIS2_PLACEMENT_3D('',#48543,#39156,#39157); #33565=AXIS2_PLACEMENT_3D('',#48546,#39159,#39160); #33566=AXIS2_PLACEMENT_3D('',#48547,#39161,#39162); #33567=AXIS2_PLACEMENT_3D('',#48551,#39165,#39166); #33568=AXIS2_PLACEMENT_3D('',#48555,#39169,#39170); #33569=AXIS2_PLACEMENT_3D('',#48558,#39172,#39173); #33570=AXIS2_PLACEMENT_3D('',#48559,#39174,#39175); #33571=AXIS2_PLACEMENT_3D('',#48562,#39177,#39178); #33572=AXIS2_PLACEMENT_3D('',#48563,#39179,#39180); #33573=AXIS2_PLACEMENT_3D('',#48567,#39183,#39184); #33574=AXIS2_PLACEMENT_3D('',#48571,#39187,#39188); #33575=AXIS2_PLACEMENT_3D('',#48574,#39190,#39191); #33576=AXIS2_PLACEMENT_3D('',#48575,#39192,#39193); #33577=AXIS2_PLACEMENT_3D('',#48578,#39195,#39196); #33578=AXIS2_PLACEMENT_3D('',#48579,#39197,#39198); #33579=AXIS2_PLACEMENT_3D('',#48583,#39201,#39202); #33580=AXIS2_PLACEMENT_3D('',#48587,#39205,#39206); #33581=AXIS2_PLACEMENT_3D('',#48590,#39208,#39209); #33582=AXIS2_PLACEMENT_3D('',#48591,#39210,#39211); #33583=AXIS2_PLACEMENT_3D('',#48594,#39213,#39214); #33584=AXIS2_PLACEMENT_3D('',#48595,#39215,#39216); #33585=AXIS2_PLACEMENT_3D('',#48599,#39219,#39220); #33586=AXIS2_PLACEMENT_3D('',#48603,#39223,#39224); #33587=AXIS2_PLACEMENT_3D('',#48606,#39226,#39227); #33588=AXIS2_PLACEMENT_3D('',#48607,#39228,#39229); #33589=AXIS2_PLACEMENT_3D('',#48610,#39231,#39232); #33590=AXIS2_PLACEMENT_3D('',#48611,#39233,#39234); #33591=AXIS2_PLACEMENT_3D('',#48615,#39237,#39238); #33592=AXIS2_PLACEMENT_3D('',#48619,#39241,#39242); #33593=AXIS2_PLACEMENT_3D('',#48622,#39244,#39245); #33594=AXIS2_PLACEMENT_3D('',#48623,#39246,#39247); #33595=AXIS2_PLACEMENT_3D('',#48626,#39249,#39250); #33596=AXIS2_PLACEMENT_3D('',#48627,#39251,#39252); #33597=AXIS2_PLACEMENT_3D('',#48631,#39255,#39256); #33598=AXIS2_PLACEMENT_3D('',#48635,#39259,#39260); #33599=AXIS2_PLACEMENT_3D('',#48638,#39262,#39263); #33600=AXIS2_PLACEMENT_3D('',#48639,#39264,#39265); #33601=AXIS2_PLACEMENT_3D('',#48642,#39267,#39268); #33602=AXIS2_PLACEMENT_3D('',#48643,#39269,#39270); #33603=AXIS2_PLACEMENT_3D('',#48647,#39273,#39274); #33604=AXIS2_PLACEMENT_3D('',#48651,#39277,#39278); #33605=AXIS2_PLACEMENT_3D('',#48654,#39280,#39281); #33606=AXIS2_PLACEMENT_3D('',#48655,#39282,#39283); #33607=AXIS2_PLACEMENT_3D('',#48658,#39285,#39286); #33608=AXIS2_PLACEMENT_3D('',#48659,#39287,#39288); #33609=AXIS2_PLACEMENT_3D('',#48660,#39289,#39290); #33610=AXIS2_PLACEMENT_3D('',#48663,#39291,#39292); #33611=AXIS2_PLACEMENT_3D('',#48667,#39295,#39296); #33612=AXIS2_PLACEMENT_3D('',#48673,#39300,#39301); #33613=AXIS2_PLACEMENT_3D('',#48674,#39302,#39303); #33614=AXIS2_PLACEMENT_3D('',#48678,#39306,#39307); #33615=AXIS2_PLACEMENT_3D('',#48681,#39309,#39310); #33616=AXIS2_PLACEMENT_3D('',#48684,#39312,#39313); #33617=AXIS2_PLACEMENT_3D('',#48685,#39314,#39315); #33618=AXIS2_PLACEMENT_3D('',#48686,#39316,#39317); #33619=AXIS2_PLACEMENT_3D('',#48692,#39321,#39322); #33620=AXIS2_PLACEMENT_3D('',#48698,#39326,#39327); #33621=AXIS2_PLACEMENT_3D('',#48704,#39331,#39332); #33622=AXIS2_PLACEMENT_3D('',#48710,#39336,#39337); #33623=AXIS2_PLACEMENT_3D('',#48718,#39342,#39343); #33624=AXIS2_PLACEMENT_3D('',#48720,#39345,#39346); #33625=AXIS2_PLACEMENT_3D('',#48724,#39349,#39350); #33626=AXIS2_PLACEMENT_3D('',#48728,#39353,#39354); #33627=AXIS2_PLACEMENT_3D('',#48734,#39358,#39359); #33628=AXIS2_PLACEMENT_3D('',#48738,#39362,#39363); #33629=AXIS2_PLACEMENT_3D('',#48742,#39366,#39367); #33630=AXIS2_PLACEMENT_3D('',#48746,#39370,#39371); #33631=AXIS2_PLACEMENT_3D('',#48750,#39374,#39375); #33632=AXIS2_PLACEMENT_3D('',#48754,#39378,#39379); #33633=AXIS2_PLACEMENT_3D('',#48758,#39382,#39383); #33634=AXIS2_PLACEMENT_3D('',#48762,#39386,#39387); #33635=AXIS2_PLACEMENT_3D('',#48766,#39390,#39391); #33636=AXIS2_PLACEMENT_3D('',#48770,#39394,#39395); #33637=AXIS2_PLACEMENT_3D('',#48774,#39398,#39399); #33638=AXIS2_PLACEMENT_3D('',#48778,#39402,#39403); #33639=AXIS2_PLACEMENT_3D('',#48782,#39406,#39407); #33640=AXIS2_PLACEMENT_3D('',#48786,#39410,#39411); #33641=AXIS2_PLACEMENT_3D('',#48790,#39414,#39415); #33642=AXIS2_PLACEMENT_3D('',#48794,#39418,#39419); #33643=AXIS2_PLACEMENT_3D('',#48798,#39422,#39423); #33644=AXIS2_PLACEMENT_3D('',#48802,#39426,#39427); #33645=AXIS2_PLACEMENT_3D('',#48806,#39430,#39431); #33646=AXIS2_PLACEMENT_3D('',#48810,#39434,#39435); #33647=AXIS2_PLACEMENT_3D('',#48814,#39438,#39439); #33648=AXIS2_PLACEMENT_3D('',#48818,#39442,#39443); #33649=AXIS2_PLACEMENT_3D('',#48822,#39446,#39447); #33650=AXIS2_PLACEMENT_3D('',#48826,#39450,#39451); #33651=AXIS2_PLACEMENT_3D('',#48830,#39454,#39455); #33652=AXIS2_PLACEMENT_3D('',#48834,#39458,#39459); #33653=AXIS2_PLACEMENT_3D('',#48838,#39462,#39463); #33654=AXIS2_PLACEMENT_3D('',#48842,#39466,#39467); #33655=AXIS2_PLACEMENT_3D('',#48846,#39470,#39471); #33656=AXIS2_PLACEMENT_3D('',#48850,#39474,#39475); #33657=AXIS2_PLACEMENT_3D('',#48854,#39478,#39479); #33658=AXIS2_PLACEMENT_3D('',#48858,#39482,#39483); #33659=AXIS2_PLACEMENT_3D('',#48862,#39486,#39487); #33660=AXIS2_PLACEMENT_3D('',#48866,#39490,#39491); #33661=AXIS2_PLACEMENT_3D('',#48870,#39494,#39495); #33662=AXIS2_PLACEMENT_3D('',#48874,#39498,#39499); #33663=AXIS2_PLACEMENT_3D('',#48878,#39502,#39503); #33664=AXIS2_PLACEMENT_3D('',#48882,#39506,#39507); #33665=AXIS2_PLACEMENT_3D('',#48886,#39510,#39511); #33666=AXIS2_PLACEMENT_3D('',#48890,#39514,#39515); #33667=AXIS2_PLACEMENT_3D('',#48894,#39518,#39519); #33668=AXIS2_PLACEMENT_3D('',#48898,#39522,#39523); #33669=AXIS2_PLACEMENT_3D('',#48902,#39526,#39527); #33670=AXIS2_PLACEMENT_3D('',#48906,#39530,#39531); #33671=AXIS2_PLACEMENT_3D('',#48910,#39534,#39535); #33672=AXIS2_PLACEMENT_3D('',#48914,#39538,#39539); #33673=AXIS2_PLACEMENT_3D('',#48918,#39542,#39543); #33674=AXIS2_PLACEMENT_3D('',#48922,#39546,#39547); #33675=AXIS2_PLACEMENT_3D('',#48926,#39550,#39551); #33676=AXIS2_PLACEMENT_3D('',#48930,#39554,#39555); #33677=AXIS2_PLACEMENT_3D('',#48934,#39558,#39559); #33678=AXIS2_PLACEMENT_3D('',#48938,#39562,#39563); #33679=AXIS2_PLACEMENT_3D('',#48942,#39566,#39567); #33680=AXIS2_PLACEMENT_3D('',#48946,#39570,#39571); #33681=AXIS2_PLACEMENT_3D('',#48950,#39574,#39575); #33682=AXIS2_PLACEMENT_3D('',#48954,#39578,#39579); #33683=AXIS2_PLACEMENT_3D('',#48958,#39582,#39583); #33684=AXIS2_PLACEMENT_3D('',#48962,#39586,#39587); #33685=AXIS2_PLACEMENT_3D('',#48966,#39590,#39591); #33686=AXIS2_PLACEMENT_3D('',#48970,#39594,#39595); #33687=AXIS2_PLACEMENT_3D('',#48974,#39598,#39599); #33688=AXIS2_PLACEMENT_3D('',#48978,#39602,#39603); #33689=AXIS2_PLACEMENT_3D('',#48982,#39606,#39607); #33690=AXIS2_PLACEMENT_3D('',#48986,#39610,#39611); #33691=AXIS2_PLACEMENT_3D('',#49006,#39623,#39624); #33692=AXIS2_PLACEMENT_3D('',#49010,#39627,#39628); #33693=AXIS2_PLACEMENT_3D('',#49014,#39631,#39632); #33694=AXIS2_PLACEMENT_3D('',#49020,#39636,#39637); #33695=AXIS2_PLACEMENT_3D('',#49022,#39639,#39640); #33696=AXIS2_PLACEMENT_3D('',#49024,#39642,#39643); #33697=AXIS2_PLACEMENT_3D('',#49028,#39646,#39647); #33698=AXIS2_PLACEMENT_3D('',#49032,#39650,#39651); #33699=AXIS2_PLACEMENT_3D('',#49036,#39654,#39655); #33700=AXIS2_PLACEMENT_3D('',#49040,#39658,#39659); #33701=AXIS2_PLACEMENT_3D('',#49042,#39661,#39662); #33702=AXIS2_PLACEMENT_3D('',#49044,#39664,#39665); #33703=AXIS2_PLACEMENT_3D('',#49048,#39668,#39669); #33704=AXIS2_PLACEMENT_3D('',#49052,#39672,#39673); #33705=AXIS2_PLACEMENT_3D('',#49056,#39676,#39677); #33706=AXIS2_PLACEMENT_3D('',#49060,#39680,#39681); #33707=AXIS2_PLACEMENT_3D('',#49062,#39683,#39684); #33708=AXIS2_PLACEMENT_3D('',#49064,#39686,#39687); #33709=AXIS2_PLACEMENT_3D('',#49068,#39690,#39691); #33710=AXIS2_PLACEMENT_3D('',#49072,#39694,#39695); #33711=AXIS2_PLACEMENT_3D('',#49076,#39698,#39699); #33712=AXIS2_PLACEMENT_3D('',#49080,#39702,#39703); #33713=AXIS2_PLACEMENT_3D('',#49082,#39705,#39706); #33714=AXIS2_PLACEMENT_3D('',#49084,#39708,#39709); #33715=AXIS2_PLACEMENT_3D('',#49088,#39712,#39713); #33716=AXIS2_PLACEMENT_3D('',#49092,#39716,#39717); #33717=AXIS2_PLACEMENT_3D('',#49096,#39720,#39721); #33718=AXIS2_PLACEMENT_3D('',#49100,#39724,#39725); #33719=AXIS2_PLACEMENT_3D('',#49102,#39727,#39728); #33720=AXIS2_PLACEMENT_3D('',#49104,#39730,#39731); #33721=AXIS2_PLACEMENT_3D('',#49108,#39734,#39735); #33722=AXIS2_PLACEMENT_3D('',#49112,#39738,#39739); #33723=AXIS2_PLACEMENT_3D('',#49116,#39742,#39743); #33724=AXIS2_PLACEMENT_3D('',#49120,#39746,#39747); #33725=AXIS2_PLACEMENT_3D('',#49122,#39749,#39750); #33726=AXIS2_PLACEMENT_3D('',#49124,#39752,#39753); #33727=AXIS2_PLACEMENT_3D('',#49128,#39756,#39757); #33728=AXIS2_PLACEMENT_3D('',#49132,#39760,#39761); #33729=AXIS2_PLACEMENT_3D('',#49136,#39764,#39765); #33730=AXIS2_PLACEMENT_3D('',#49140,#39768,#39769); #33731=AXIS2_PLACEMENT_3D('',#49142,#39771,#39772); #33732=AXIS2_PLACEMENT_3D('',#49144,#39774,#39775); #33733=AXIS2_PLACEMENT_3D('',#49148,#39778,#39779); #33734=AXIS2_PLACEMENT_3D('',#49152,#39782,#39783); #33735=AXIS2_PLACEMENT_3D('',#49156,#39786,#39787); #33736=AXIS2_PLACEMENT_3D('',#49160,#39790,#39791); #33737=AXIS2_PLACEMENT_3D('',#49162,#39793,#39794); #33738=AXIS2_PLACEMENT_3D('',#49164,#39796,#39797); #33739=AXIS2_PLACEMENT_3D('',#49168,#39800,#39801); #33740=AXIS2_PLACEMENT_3D('',#49172,#39804,#39805); #33741=AXIS2_PLACEMENT_3D('',#49176,#39808,#39809); #33742=AXIS2_PLACEMENT_3D('',#49182,#39813,#39814); #33743=AXIS2_PLACEMENT_3D('',#49186,#39817,#39818); #33744=AXIS2_PLACEMENT_3D('',#49188,#39820,#39821); #33745=AXIS2_PLACEMENT_3D('',#49190,#39823,#39824); #33746=AXIS2_PLACEMENT_3D('',#49194,#39827,#39828); #33747=AXIS2_PLACEMENT_3D('',#49198,#39831,#39832); #33748=AXIS2_PLACEMENT_3D('',#49202,#39835,#39836); #33749=AXIS2_PLACEMENT_3D('',#49206,#39839,#39840); #33750=AXIS2_PLACEMENT_3D('',#49208,#39842,#39843); #33751=AXIS2_PLACEMENT_3D('',#49210,#39845,#39846); #33752=AXIS2_PLACEMENT_3D('',#49214,#39849,#39850); #33753=AXIS2_PLACEMENT_3D('',#49218,#39853,#39854); #33754=AXIS2_PLACEMENT_3D('',#49222,#39857,#39858); #33755=AXIS2_PLACEMENT_3D('',#49226,#39861,#39862); #33756=AXIS2_PLACEMENT_3D('',#49228,#39864,#39865); #33757=AXIS2_PLACEMENT_3D('',#49230,#39867,#39868); #33758=AXIS2_PLACEMENT_3D('',#49234,#39871,#39872); #33759=AXIS2_PLACEMENT_3D('',#49238,#39875,#39876); #33760=AXIS2_PLACEMENT_3D('',#49242,#39879,#39880); #33761=AXIS2_PLACEMENT_3D('',#49246,#39883,#39884); #33762=AXIS2_PLACEMENT_3D('',#49248,#39886,#39887); #33763=AXIS2_PLACEMENT_3D('',#49250,#39889,#39890); #33764=AXIS2_PLACEMENT_3D('',#49254,#39893,#39894); #33765=AXIS2_PLACEMENT_3D('',#49258,#39897,#39898); #33766=AXIS2_PLACEMENT_3D('',#49262,#39901,#39902); #33767=AXIS2_PLACEMENT_3D('',#49266,#39905,#39906); #33768=AXIS2_PLACEMENT_3D('',#49268,#39908,#39909); #33769=AXIS2_PLACEMENT_3D('',#49270,#39911,#39912); #33770=AXIS2_PLACEMENT_3D('',#49274,#39915,#39916); #33771=AXIS2_PLACEMENT_3D('',#49278,#39919,#39920); #33772=AXIS2_PLACEMENT_3D('',#49282,#39923,#39924); #33773=AXIS2_PLACEMENT_3D('',#49286,#39927,#39928); #33774=AXIS2_PLACEMENT_3D('',#49288,#39930,#39931); #33775=AXIS2_PLACEMENT_3D('',#49290,#39933,#39934); #33776=AXIS2_PLACEMENT_3D('',#49294,#39937,#39938); #33777=AXIS2_PLACEMENT_3D('',#49298,#39941,#39942); #33778=AXIS2_PLACEMENT_3D('',#49302,#39945,#39946); #33779=AXIS2_PLACEMENT_3D('',#49306,#39949,#39950); #33780=AXIS2_PLACEMENT_3D('',#49308,#39952,#39953); #33781=AXIS2_PLACEMENT_3D('',#49310,#39955,#39956); #33782=AXIS2_PLACEMENT_3D('',#49314,#39959,#39960); #33783=AXIS2_PLACEMENT_3D('',#49318,#39963,#39964); #33784=AXIS2_PLACEMENT_3D('',#49322,#39967,#39968); #33785=AXIS2_PLACEMENT_3D('',#49326,#39971,#39972); #33786=AXIS2_PLACEMENT_3D('',#49328,#39974,#39975); #33787=AXIS2_PLACEMENT_3D('',#49330,#39977,#39978); #33788=AXIS2_PLACEMENT_3D('',#49334,#39981,#39982); #33789=AXIS2_PLACEMENT_3D('',#49338,#39985,#39986); #33790=AXIS2_PLACEMENT_3D('',#49340,#39988,#39989); #33791=AXIS2_PLACEMENT_3D('',#49345,#39992,#39993); #33792=AXIS2_PLACEMENT_3D('',#49346,#39994,#39995); #33793=AXIS2_PLACEMENT_3D('',#49347,#39996,#39997); #33794=AXIS2_PLACEMENT_3D('',#49350,#39999,#40000); #33795=AXIS2_PLACEMENT_3D('',#49354,#40003,#40004); #33796=AXIS2_PLACEMENT_3D('',#49355,#40005,#40006); #33797=AXIS2_PLACEMENT_3D('',#49358,#40008,#40009); #33798=AXIS2_PLACEMENT_3D('',#49360,#40011,#40012); #33799=AXIS2_PLACEMENT_3D('',#49366,#40016,#40017); #33800=AXIS2_PLACEMENT_3D('',#49372,#40021,#40022); #33801=AXIS2_PLACEMENT_3D('',#49376,#40025,#40026); #33802=AXIS2_PLACEMENT_3D('',#49380,#40029,#40030); #33803=AXIS2_PLACEMENT_3D('',#49384,#40033,#40034); #33804=AXIS2_PLACEMENT_3D('',#49388,#40037,#40038); #33805=AXIS2_PLACEMENT_3D('',#49392,#40041,#40042); #33806=AXIS2_PLACEMENT_3D('',#49396,#40045,#40046); #33807=AXIS2_PLACEMENT_3D('',#49400,#40049,#40050); #33808=AXIS2_PLACEMENT_3D('',#49404,#40053,#40054); #33809=AXIS2_PLACEMENT_3D('',#49408,#40057,#40058); #33810=AXIS2_PLACEMENT_3D('',#49412,#40061,#40062); #33811=AXIS2_PLACEMENT_3D('',#49416,#40065,#40066); #33812=AXIS2_PLACEMENT_3D('',#49420,#40069,#40070); #33813=AXIS2_PLACEMENT_3D('',#49424,#40073,#40074); #33814=AXIS2_PLACEMENT_3D('',#49428,#40077,#40078); #33815=AXIS2_PLACEMENT_3D('',#49433,#40082,#40083); #33816=AXIS2_PLACEMENT_3D('',#49437,#40086,#40087); #33817=AXIS2_PLACEMENT_3D('',#49439,#40089,#40090); #33818=AXIS2_PLACEMENT_3D('',#49448,#40095,#40096); #33819=AXIS2_PLACEMENT_3D('',#49449,#40097,#40098); #33820=AXIS2_PLACEMENT_3D('',#49451,#40099,#40100); #33821=AXIS2_PLACEMENT_3D('',#49454,#40102,#40103); #33822=AXIS2_PLACEMENT_3D('',#49460,#40107,#40108); #33823=AXIS2_PLACEMENT_3D('',#49461,#40109,#40110); #33824=AXIS2_PLACEMENT_3D('',#49463,#40111,#40112); #33825=AXIS2_PLACEMENT_3D('',#49464,#40113,#40114); #33826=AXIS2_PLACEMENT_3D('',#49467,#40116,#40117); #33827=AXIS2_PLACEMENT_3D('',#49468,#40118,#40119); #33828=AXIS2_PLACEMENT_3D('',#49469,#40120,#40121); #33829=AXIS2_PLACEMENT_3D('',#49470,#40122,#40123); #33830=AXIS2_PLACEMENT_3D('',#49471,#40124,#40125); #33831=AXIS2_PLACEMENT_3D('',#49546,#40126,#40127); #33832=AXIS2_PLACEMENT_3D('',#49782,#40135,#40136); #33833=AXIS2_PLACEMENT_3D('',#49794,#40142,#40143); #33834=AXIS2_PLACEMENT_3D('',#49799,#40146,#40147); #33835=AXIS2_PLACEMENT_3D('',#50467,#40148,#40149); #33836=AXIS2_PLACEMENT_3D('',#50468,#40150,#40151); #33837=AXIS2_PLACEMENT_3D('',#50473,#40154,#40155); #33838=AXIS2_PLACEMENT_3D('',#50474,#40156,#40157); #33839=AXIS2_PLACEMENT_3D('',#50478,#40159,#40160); #33840=AXIS2_PLACEMENT_3D('',#50486,#40164,#40165); #33841=AXIS2_PLACEMENT_3D('',#50490,#40167,#40168); #33842=AXIS2_PLACEMENT_3D('',#50493,#40170,#40171); #33843=AXIS2_PLACEMENT_3D('',#50494,#40172,#40173); #33844=AXIS2_PLACEMENT_3D('',#50497,#40174,#40175); #33845=AXIS2_PLACEMENT_3D('',#50503,#40178,#40179); #33846=AXIS2_PLACEMENT_3D('',#50509,#40182,#40183); #33847=AXIS2_PLACEMENT_3D('',#50510,#40184,#40185); #33848=AXIS2_PLACEMENT_3D('',#50515,#40188,#40189); #33849=AXIS2_PLACEMENT_3D('',#50519,#40191,#40192); #33850=AXIS2_PLACEMENT_3D('',#50524,#40195,#40196); #33851=AXIS2_PLACEMENT_3D('',#50528,#40198,#40199); #33852=AXIS2_PLACEMENT_3D('',#50529,#40200,#40201); #33853=AXIS2_PLACEMENT_3D('',#50534,#40204,#40205); #33854=AXIS2_PLACEMENT_3D('',#50538,#40207,#40208); #33855=AXIS2_PLACEMENT_3D('',#50543,#40211,#40212); #33856=AXIS2_PLACEMENT_3D('',#50547,#40214,#40215); #33857=AXIS2_PLACEMENT_3D('',#50548,#40216,#40217); #33858=AXIS2_PLACEMENT_3D('',#50553,#40220,#40221); #33859=AXIS2_PLACEMENT_3D('',#50554,#40222,#40223); #33860=AXIS2_PLACEMENT_3D('',#50559,#40226,#40227); #33861=AXIS2_PLACEMENT_3D('',#50563,#40229,#40230); #33862=AXIS2_PLACEMENT_3D('',#50571,#40234,#40235); #33863=AXIS2_PLACEMENT_3D('',#50575,#40237,#40238); #33864=AXIS2_PLACEMENT_3D('',#50576,#40239,#40240); #33865=AXIS2_PLACEMENT_3D('',#50577,#40241,#40242); #33866=AXIS2_PLACEMENT_3D('',#50580,#40243,#40244); #33867=AXIS2_PLACEMENT_3D('',#50583,#40246,#40247); #33868=AXIS2_PLACEMENT_3D('',#50586,#40249,#40250); #33869=AXIS2_PLACEMENT_3D('',#50587,#40251,#40252); #33870=AXIS2_PLACEMENT_3D('',#50593,#40256,#40257); #33871=AXIS2_PLACEMENT_3D('',#50598,#40260,#40261); #33872=AXIS2_PLACEMENT_3D('',#50599,#40262,#40263); #33873=AXIS2_PLACEMENT_3D('',#50600,#40264,#40265); #33874=AXIS2_PLACEMENT_3D('',#50603,#40267,#40268); #33875=AXIS2_PLACEMENT_3D('',#50606,#40270,#40271); #33876=AXIS2_PLACEMENT_3D('',#50607,#40272,#40273); #33877=AXIS2_PLACEMENT_3D('',#50608,#40274,#40275); #33878=AXIS2_PLACEMENT_3D('',#50611,#40277,#40278); #33879=AXIS2_PLACEMENT_3D('',#50614,#40280,#40281); #33880=AXIS2_PLACEMENT_3D('',#50615,#40282,#40283); #33881=AXIS2_PLACEMENT_3D('',#50616,#40284,#40285); #33882=AXIS2_PLACEMENT_3D('',#50624,#40289,#40290); #33883=AXIS2_PLACEMENT_3D('',#50630,#40294,#40295); #33884=AXIS2_PLACEMENT_3D('',#50637,#40300,#40301); #33885=AXIS2_PLACEMENT_3D('',#50643,#40305,#40306); #33886=AXIS2_PLACEMENT_3D('',#50644,#40307,#40308); #33887=AXIS2_PLACEMENT_3D('',#50648,#40310,#40311); #33888=AXIS2_PLACEMENT_3D('',#50649,#40312,#40313); #33889=AXIS2_PLACEMENT_3D('',#50652,#40316,#40317); #33890=AXIS2_PLACEMENT_3D('',#50658,#40321,#40322); #33891=AXIS2_PLACEMENT_3D('',#50662,#40324,#40325); #33892=AXIS2_PLACEMENT_3D('',#50663,#40326,#40327); #33893=AXIS2_PLACEMENT_3D('',#50664,#40328,#40329); #33894=AXIS2_PLACEMENT_3D('',#50667,#40332,#40333); #33895=AXIS2_PLACEMENT_3D('',#50673,#40337,#40338); #33896=AXIS2_PLACEMENT_3D('',#50674,#40339,#40340); #33897=AXIS2_PLACEMENT_3D('',#50678,#40342,#40343); #33898=AXIS2_PLACEMENT_3D('',#50679,#40344,#40345); #33899=AXIS2_PLACEMENT_3D('',#50682,#40348,#40349); #33900=AXIS2_PLACEMENT_3D('',#50688,#40353,#40354); #33901=AXIS2_PLACEMENT_3D('',#50692,#40356,#40357); #33902=AXIS2_PLACEMENT_3D('',#50693,#40358,#40359); #33903=AXIS2_PLACEMENT_3D('',#50694,#40360,#40361); #33904=AXIS2_PLACEMENT_3D('',#50697,#40364,#40365); #33905=AXIS2_PLACEMENT_3D('',#50698,#40366,#40367); #33906=AXIS2_PLACEMENT_3D('',#50699,#40368,#40369); #33907=AXIS2_PLACEMENT_3D('',#50700,#40370,#40371); #33908=AXIS2_PLACEMENT_3D('',#50701,#40372,#40373); #33909=AXIS2_PLACEMENT_3D('',#50702,#40374,#40375); #33910=AXIS2_PLACEMENT_3D('',#50703,#40376,#40377); #33911=AXIS2_PLACEMENT_3D('',#50704,#40378,#40379); #33912=AXIS2_PLACEMENT_3D('',#50705,#40380,#40381); #33913=AXIS2_PLACEMENT_3D('',#50706,#40382,#40383); #33914=AXIS2_PLACEMENT_3D('',#50707,#40384,#40385); #33915=AXIS2_PLACEMENT_3D('',#50708,#40386,#40387); #33916=AXIS2_PLACEMENT_3D('',#50709,#40388,#40389); #33917=AXIS2_PLACEMENT_3D('',#50710,#40390,#40391); #33918=AXIS2_PLACEMENT_3D('',#50711,#40392,#40393); #33919=AXIS2_PLACEMENT_3D('',#50712,#40394,#40395); #33920=AXIS2_PLACEMENT_3D('',#50713,#40396,#40397); #33921=AXIS2_PLACEMENT_3D('',#50714,#40398,#40399); #33922=AXIS2_PLACEMENT_3D('',#50715,#40400,#40401); #33923=AXIS2_PLACEMENT_3D('',#50716,#40402,#40403); #33924=AXIS2_PLACEMENT_3D('',#50717,#40404,#40405); #33925=AXIS2_PLACEMENT_3D('',#50718,#40406,#40407); #33926=AXIS2_PLACEMENT_3D('',#50719,#40408,#40409); #33927=AXIS2_PLACEMENT_3D('',#50720,#40410,#40411); #33928=AXIS2_PLACEMENT_3D('',#50721,#40412,#40413); #33929=AXIS2_PLACEMENT_3D('',#50722,#40414,#40415); #33930=AXIS2_PLACEMENT_3D('',#50723,#40416,#40417); #33931=AXIS2_PLACEMENT_3D('',#50724,#40418,#40419); #33932=AXIS2_PLACEMENT_3D('',#50725,#40420,#40421); #33933=AXIS2_PLACEMENT_3D('',#50726,#40422,#40423); #33934=AXIS2_PLACEMENT_3D('',#50727,#40424,#40425); #33935=AXIS2_PLACEMENT_3D('',#50728,#40426,#40427); #33936=AXIS2_PLACEMENT_3D('',#50729,#40428,#40429); #33937=AXIS2_PLACEMENT_3D('',#50730,#40430,#40431); #33938=AXIS2_PLACEMENT_3D('',#50731,#40432,#40433); #33939=AXIS2_PLACEMENT_3D('',#50732,#40434,#40435); #33940=AXIS2_PLACEMENT_3D('',#50733,#40436,#40437); #33941=AXIS2_PLACEMENT_3D('',#50734,#40438,#40439); #33942=AXIS2_PLACEMENT_3D('',#50735,#40440,#40441); #33943=AXIS2_PLACEMENT_3D('',#50736,#40442,#40443); #33944=AXIS2_PLACEMENT_3D('',#50737,#40444,#40445); #33945=AXIS2_PLACEMENT_3D('',#50738,#40446,#40447); #33946=AXIS2_PLACEMENT_3D('',#50739,#40448,#40449); #33947=AXIS2_PLACEMENT_3D('',#50740,#40450,#40451); #33948=AXIS2_PLACEMENT_3D('',#50741,#40452,#40453); #33949=AXIS2_PLACEMENT_3D('',#50742,#40454,#40455); #33950=AXIS2_PLACEMENT_3D('',#50743,#40456,#40457); #33951=AXIS2_PLACEMENT_3D('',#50744,#40458,#40459); #33952=AXIS2_PLACEMENT_3D('',#50745,#40460,#40461); #33953=AXIS2_PLACEMENT_3D('',#50746,#40462,#40463); #33954=AXIS2_PLACEMENT_3D('',#50748,#40464,#40465); #33955=AXIS2_PLACEMENT_3D('',#50755,#40469,#40470); #33956=AXIS2_PLACEMENT_3D('',#50756,#40471,#40472); #33957=AXIS2_PLACEMENT_3D('',#50764,#40476,#40477); #33958=AXIS2_PLACEMENT_3D('',#50765,#40478,#40479); #33959=AXIS2_PLACEMENT_3D('',#50768,#40482,#40483); #33960=AXIS2_PLACEMENT_3D('',#50770,#40485,#40486); #33961=AXIS2_PLACEMENT_3D('',#50772,#40488,#40489); #33962=AXIS2_PLACEMENT_3D('',#50773,#40490,#40491); #33963=AXIS2_PLACEMENT_3D('',#50774,#40492,#40493); #33964=AXIS2_PLACEMENT_3D('',#50775,#40494,#40495); #33965=AXIS2_PLACEMENT_3D('',#50784,#40498,#40499); #33966=AXIS2_PLACEMENT_3D('',#50791,#40501,#40502); #33967=AXIS2_PLACEMENT_3D('',#50798,#40504,#40505); #33968=AXIS2_PLACEMENT_3D('',#50803,#40506,#40507); #33969=AXIS2_PLACEMENT_3D('',#50804,#40508,#40509); #33970=AXIS2_PLACEMENT_3D('',#50813,#40512,#40513); #33971=AXIS2_PLACEMENT_3D('',#50820,#40515,#40516); #33972=AXIS2_PLACEMENT_3D('',#50827,#40518,#40519); #33973=AXIS2_PLACEMENT_3D('',#50832,#40520,#40521); #33974=AXIS2_PLACEMENT_3D('',#50833,#40522,#40523); #33975=AXIS2_PLACEMENT_3D('',#50842,#40526,#40527); #33976=AXIS2_PLACEMENT_3D('',#50849,#40529,#40530); #33977=AXIS2_PLACEMENT_3D('',#50856,#40532,#40533); #33978=AXIS2_PLACEMENT_3D('',#50861,#40534,#40535); #33979=AXIS2_PLACEMENT_3D('',#50862,#40536,#40537); #33980=AXIS2_PLACEMENT_3D('',#50871,#40540,#40541); #33981=AXIS2_PLACEMENT_3D('',#50878,#40543,#40544); #33982=AXIS2_PLACEMENT_3D('',#50885,#40546,#40547); #33983=AXIS2_PLACEMENT_3D('',#50890,#40548,#40549); #33984=AXIS2_PLACEMENT_3D('',#50891,#40550,#40551); #33985=AXIS2_PLACEMENT_3D('',#50900,#40554,#40555); #33986=AXIS2_PLACEMENT_3D('',#50907,#40557,#40558); #33987=AXIS2_PLACEMENT_3D('',#50914,#40560,#40561); #33988=AXIS2_PLACEMENT_3D('',#50919,#40562,#40563); #33989=AXIS2_PLACEMENT_3D('',#50920,#40564,#40565); #33990=AXIS2_PLACEMENT_3D('',#50929,#40568,#40569); #33991=AXIS2_PLACEMENT_3D('',#50936,#40571,#40572); #33992=AXIS2_PLACEMENT_3D('',#50943,#40574,#40575); #33993=AXIS2_PLACEMENT_3D('',#50948,#40576,#40577); #33994=AXIS2_PLACEMENT_3D('',#50949,#40578,#40579); #33995=AXIS2_PLACEMENT_3D('',#50958,#40582,#40583); #33996=AXIS2_PLACEMENT_3D('',#50965,#40585,#40586); #33997=AXIS2_PLACEMENT_3D('',#50972,#40588,#40589); #33998=AXIS2_PLACEMENT_3D('',#50977,#40590,#40591); #33999=AXIS2_PLACEMENT_3D('',#50978,#40592,#40593); #34000=AXIS2_PLACEMENT_3D('',#50987,#40596,#40597); #34001=AXIS2_PLACEMENT_3D('',#50994,#40599,#40600); #34002=AXIS2_PLACEMENT_3D('',#51001,#40602,#40603); #34003=AXIS2_PLACEMENT_3D('',#51006,#40604,#40605); #34004=AXIS2_PLACEMENT_3D('',#51007,#40606,#40607); #34005=AXIS2_PLACEMENT_3D('',#51016,#40610,#40611); #34006=AXIS2_PLACEMENT_3D('',#51023,#40613,#40614); #34007=AXIS2_PLACEMENT_3D('',#51030,#40616,#40617); #34008=AXIS2_PLACEMENT_3D('',#51035,#40618,#40619); #34009=AXIS2_PLACEMENT_3D('',#51036,#40620,#40621); #34010=AXIS2_PLACEMENT_3D('',#51045,#40624,#40625); #34011=AXIS2_PLACEMENT_3D('',#51052,#40627,#40628); #34012=AXIS2_PLACEMENT_3D('',#51059,#40630,#40631); #34013=AXIS2_PLACEMENT_3D('',#51064,#40632,#40633); #34014=AXIS2_PLACEMENT_3D('',#51065,#40634,#40635); #34015=AXIS2_PLACEMENT_3D('',#51074,#40638,#40639); #34016=AXIS2_PLACEMENT_3D('',#51081,#40641,#40642); #34017=AXIS2_PLACEMENT_3D('',#51088,#40644,#40645); #34018=AXIS2_PLACEMENT_3D('',#51093,#40646,#40647); #34019=AXIS2_PLACEMENT_3D('',#51094,#40648,#40649); #34020=AXIS2_PLACEMENT_3D('',#51103,#40652,#40653); #34021=AXIS2_PLACEMENT_3D('',#51110,#40655,#40656); #34022=AXIS2_PLACEMENT_3D('',#51117,#40658,#40659); #34023=AXIS2_PLACEMENT_3D('',#51122,#40660,#40661); #34024=AXIS2_PLACEMENT_3D('',#51123,#40662,#40663); #34025=AXIS2_PLACEMENT_3D('',#51132,#40666,#40667); #34026=AXIS2_PLACEMENT_3D('',#51139,#40669,#40670); #34027=AXIS2_PLACEMENT_3D('',#51146,#40672,#40673); #34028=AXIS2_PLACEMENT_3D('',#51151,#40674,#40675); #34029=AXIS2_PLACEMENT_3D('',#51152,#40676,#40677); #34030=AXIS2_PLACEMENT_3D('',#51161,#40680,#40681); #34031=AXIS2_PLACEMENT_3D('',#51168,#40683,#40684); #34032=AXIS2_PLACEMENT_3D('',#51175,#40686,#40687); #34033=AXIS2_PLACEMENT_3D('',#51180,#40688,#40689); #34034=AXIS2_PLACEMENT_3D('',#51181,#40690,#40691); #34035=AXIS2_PLACEMENT_3D('',#51190,#40694,#40695); #34036=AXIS2_PLACEMENT_3D('',#51197,#40697,#40698); #34037=AXIS2_PLACEMENT_3D('',#51204,#40700,#40701); #34038=AXIS2_PLACEMENT_3D('',#51229,#40702,#40703); #34039=AXIS2_PLACEMENT_3D('',#51238,#40706,#40707); #34040=AXIS2_PLACEMENT_3D('',#51245,#40709,#40710); #34041=AXIS2_PLACEMENT_3D('',#51252,#40712,#40713); #34042=AXIS2_PLACEMENT_3D('',#51257,#40714,#40715); #34043=AXIS2_PLACEMENT_3D('',#51258,#40716,#40717); #34044=AXIS2_PLACEMENT_3D('',#51267,#40720,#40721); #34045=AXIS2_PLACEMENT_3D('',#51274,#40723,#40724); #34046=AXIS2_PLACEMENT_3D('',#51281,#40726,#40727); #34047=AXIS2_PLACEMENT_3D('',#51286,#40728,#40729); #34048=AXIS2_PLACEMENT_3D('',#51287,#40730,#40731); #34049=AXIS2_PLACEMENT_3D('',#51296,#40734,#40735); #34050=AXIS2_PLACEMENT_3D('',#51303,#40737,#40738); #34051=AXIS2_PLACEMENT_3D('',#51310,#40740,#40741); #34052=AXIS2_PLACEMENT_3D('',#51315,#40742,#40743); #34053=AXIS2_PLACEMENT_3D('',#51316,#40744,#40745); #34054=AXIS2_PLACEMENT_3D('',#51325,#40748,#40749); #34055=AXIS2_PLACEMENT_3D('',#51332,#40751,#40752); #34056=AXIS2_PLACEMENT_3D('',#51339,#40754,#40755); #34057=AXIS2_PLACEMENT_3D('',#51344,#40756,#40757); #34058=AXIS2_PLACEMENT_3D('',#51345,#40758,#40759); #34059=AXIS2_PLACEMENT_3D('',#51354,#40762,#40763); #34060=AXIS2_PLACEMENT_3D('',#51361,#40765,#40766); #34061=AXIS2_PLACEMENT_3D('',#51368,#40768,#40769); #34062=AXIS2_PLACEMENT_3D('',#51375,#40771,#40772); #34063=AXIS2_PLACEMENT_3D('',#51412,#40776,#40777); #34064=AXIS2_PLACEMENT_3D('',#51419,#40779,#40780); #34065=AXIS2_PLACEMENT_3D('',#51426,#40782,#40783); #34066=AXIS2_PLACEMENT_3D('',#51447,#40785,#40786); #34067=AXIS2_PLACEMENT_3D('',#51462,#40789,#40790); #34068=AXIS2_PLACEMENT_3D('',#51486,#40795,#40796); #34069=AXIS2_PLACEMENT_3D('',#51493,#40798,#40799); #34070=AXIS2_PLACEMENT_3D('',#51500,#40801,#40802); #34071=AXIS2_PLACEMENT_3D('',#51507,#40804,#40805); #34072=AXIS2_PLACEMENT_3D('',#51514,#40807,#40808); #34073=AXIS2_PLACEMENT_3D('',#51565,#40812,#40813); #34074=AXIS2_PLACEMENT_3D('',#51566,#40814,#40815); #34075=AXIS2_PLACEMENT_3D('',#51567,#40816,#40817); #34076=AXIS2_PLACEMENT_3D('',#51576,#40820,#40821); #34077=AXIS2_PLACEMENT_3D('',#51583,#40823,#40824); #34078=AXIS2_PLACEMENT_3D('',#51590,#40826,#40827); #34079=AXIS2_PLACEMENT_3D('',#51633,#40833,#40834); #34080=AXIS2_PLACEMENT_3D('',#51640,#40836,#40837); #34081=AXIS2_PLACEMENT_3D('',#51665,#40839,#40840); #34082=AXIS2_PLACEMENT_3D('',#51674,#40843,#40844); #34083=AXIS2_PLACEMENT_3D('',#51681,#40846,#40847); #34084=AXIS2_PLACEMENT_3D('',#51716,#40853,#40854); #34085=AXIS2_PLACEMENT_3D('',#51757,#40858,#40859); #34086=AXIS2_PLACEMENT_3D('',#51784,#40862,#40863); #34087=AXIS2_PLACEMENT_3D('',#51785,#40864,#40865); #34088=AXIS2_PLACEMENT_3D('',#51794,#40868,#40869); #34089=AXIS2_PLACEMENT_3D('',#51801,#40871,#40872); #34090=AXIS2_PLACEMENT_3D('',#51808,#40874,#40875); #34091=AXIS2_PLACEMENT_3D('',#51813,#40876,#40877); #34092=AXIS2_PLACEMENT_3D('',#51814,#40878,#40879); #34093=AXIS2_PLACEMENT_3D('',#51823,#40882,#40883); #34094=AXIS2_PLACEMENT_3D('',#51830,#40885,#40886); #34095=AXIS2_PLACEMENT_3D('',#51879,#40890,#40891); #34096=AXIS2_PLACEMENT_3D('',#51916,#40893,#40894); #34097=AXIS2_PLACEMENT_3D('',#51925,#40897,#40898); #34098=AXIS2_PLACEMENT_3D('',#51932,#40900,#40901); #34099=AXIS2_PLACEMENT_3D('',#51939,#40903,#40904); #34100=AXIS2_PLACEMENT_3D('',#51946,#40906,#40907); #34101=AXIS2_PLACEMENT_3D('',#51953,#40909,#40910); #34102=AXIS2_PLACEMENT_3D('',#51960,#40912,#40913); #34103=AXIS2_PLACEMENT_3D('',#51967,#40915,#40916); #34104=AXIS2_PLACEMENT_3D('',#51974,#40918,#40919); #34105=AXIS2_PLACEMENT_3D('',#51981,#40921,#40922); #34106=AXIS2_PLACEMENT_3D('',#51988,#40924,#40925); #34107=AXIS2_PLACEMENT_3D('',#51995,#40927,#40928); #34108=AXIS2_PLACEMENT_3D('',#52000,#40929,#40930); #34109=AXIS2_PLACEMENT_3D('',#52001,#40931,#40932); #34110=AXIS2_PLACEMENT_3D('',#52010,#40935,#40936); #34111=AXIS2_PLACEMENT_3D('',#52083,#40944,#40945); #34112=AXIS2_PLACEMENT_3D('',#52090,#40947,#40948); #34113=AXIS2_PLACEMENT_3D('',#52097,#40950,#40951); #34114=AXIS2_PLACEMENT_3D('',#52104,#40953,#40954); #34115=AXIS2_PLACEMENT_3D('',#52111,#40956,#40957); #34116=AXIS2_PLACEMENT_3D('',#52118,#40959,#40960); #34117=AXIS2_PLACEMENT_3D('',#52125,#40962,#40963); #34118=AXIS2_PLACEMENT_3D('',#52134,#40965,#40966); #34119=AXIS2_PLACEMENT_3D('',#52229,#40977,#40978); #34120=AXIS2_PLACEMENT_3D('',#52238,#40981,#40982); #34121=AXIS2_PLACEMENT_3D('',#52245,#40984,#40985); #34122=AXIS2_PLACEMENT_3D('',#52252,#40987,#40988); #34123=AXIS2_PLACEMENT_3D('',#52257,#40989,#40990); #34124=AXIS2_PLACEMENT_3D('',#52258,#40991,#40992); #34125=AXIS2_PLACEMENT_3D('',#52273,#40995,#40996); #34126=AXIS2_PLACEMENT_3D('',#52285,#40998,#40999); #34127=AXIS2_PLACEMENT_3D('',#52336,#41006,#41007); #34128=AXIS2_PLACEMENT_3D('',#52343,#41009,#41010); #34129=AXIS2_PLACEMENT_3D('',#52350,#41012,#41013); #34130=AXIS2_PLACEMENT_3D('',#52357,#41015,#41016); #34131=AXIS2_PLACEMENT_3D('',#52364,#41018,#41019); #34132=AXIS2_PLACEMENT_3D('',#52399,#41025,#41026); #34133=AXIS2_PLACEMENT_3D('',#52404,#41027,#41028); #34134=AXIS2_PLACEMENT_3D('',#52417,#41030,#41031); #34135=AXIS2_PLACEMENT_3D('',#52418,#41032,#41033); #34136=AXIS2_PLACEMENT_3D('',#52420,#41035,#41036); #34137=AXIS2_PLACEMENT_3D('',#52423,#41039,#41040); #34138=AXIS2_PLACEMENT_3D('',#52424,#41041,#41042); #34139=AXIS2_PLACEMENT_3D('',#52426,#41044,#41045); #34140=AXIS2_PLACEMENT_3D('',#52427,#41046,#41047); #34141=DIRECTION('',(0.,0.,1.)); #34142=DIRECTION('',(1.,0.,0.)); #34143=DIRECTION('',(0.,-1.,0.)); #34144=DIRECTION('',(0.,0.,-1.)); #34145=DIRECTION('',(0.,-1.,0.)); #34146=DIRECTION('',(0.,0.,1.)); #34147=DIRECTION('',(0.,-1.,0.)); #34148=DIRECTION('',(1.,0.,0.)); #34149=DIRECTION('',(0.,0.,-1.)); #34150=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #34151=DIRECTION('',(-1.,0.,0.)); #34152=DIRECTION('',(0.,0.,-1.)); #34153=DIRECTION('',(0.,-1.,0.)); #34154=DIRECTION('',(0.,0.,-1.)); #34155=DIRECTION('',(0.,1.,0.)); #34156=DIRECTION('',(0.,0.,1.)); #34157=DIRECTION('',(0.,1.,0.)); #34158=DIRECTION('',(0.,0.577350269189624,0.816496580927727)); #34159=DIRECTION('',(0.,-1.,0.)); #34160=DIRECTION('',(0.,0.577350269189627,-0.816496580927725)); #34161=DIRECTION('',(0.,1.,0.)); #34162=DIRECTION('',(0.,0.577350269189624,0.816496580927727)); #34163=DIRECTION('',(0.,-1.,0.)); #34164=DIRECTION('',(0.,0.577350269189627,-0.816496580927725)); #34165=DIRECTION('',(0.,1.,0.)); #34166=DIRECTION('',(0.,0.577350269189624,0.816496580927727)); #34167=DIRECTION('',(0.,-1.,0.)); #34168=DIRECTION('',(0.,0.577350269189629,-0.816496580927724)); #34169=DIRECTION('',(0.,1.,0.)); #34170=DIRECTION('',(0.,0.577350269189626,0.816496580927726)); #34171=DIRECTION('',(0.,-1.,0.)); #34172=DIRECTION('',(0.,0.577350269189626,-0.816496580927726)); #34173=DIRECTION('',(0.,1.,0.)); #34174=DIRECTION('',(0.,0.,1.)); #34175=DIRECTION('',(0.,1.,0.)); #34176=DIRECTION('',(0.,0.,1.)); #34177=DIRECTION('',(1.,0.,0.)); #34178=DIRECTION('',(0.,0.,-1.)); #34179=DIRECTION('',(1.,0.,0.)); #34180=DIRECTION('',(0.,0.,1.)); #34181=DIRECTION('',(-1.,0.,0.)); #34182=DIRECTION('',(0.,0.,-1.)); #34183=DIRECTION('',(1.,0.,0.)); #34184=DIRECTION('',(0.,0.,1.)); #34185=DIRECTION('',(-1.,0.,0.)); #34186=DIRECTION('',(0.,0.,-1.)); #34187=DIRECTION('',(-1.,0.,0.)); #34188=DIRECTION('',(1.,0.,0.)); #34189=DIRECTION('',(0.,0.,-1.)); #34190=DIRECTION('',(-1.,0.,0.)); #34191=DIRECTION('',(0.,0.,1.)); #34192=DIRECTION('',(-0.999999999999998,0.,0.)); #34193=DIRECTION('',(0.,0.,-1.)); #34194=DIRECTION('',(1.,0.,0.)); #34195=DIRECTION('',(0.,0.,1.)); #34196=DIRECTION('',(-0.999999999999998,0.,0.)); #34197=DIRECTION('',(0.,0.,-1.)); #34198=DIRECTION('',(-1.,0.,0.)); #34199=DIRECTION('',(0.,-1.,-7.2280144832367E-017)); #34200=DIRECTION('',(1.,0.,0.)); #34201=DIRECTION('',(0.,0.,1.)); #34202=DIRECTION('',(-0.999999999999998,0.,0.)); #34203=DIRECTION('',(0.,0.,-1.)); #34204=DIRECTION('',(1.,0.,0.)); #34205=DIRECTION('',(0.,-1.,0.)); #34206=DIRECTION('',(0.,0.,-1.)); #34207=DIRECTION('',(1.,0.,0.)); #34208=DIRECTION('',(0.,0.,1.)); #34209=DIRECTION('',(-0.999999999999998,0.,0.)); #34210=DIRECTION('',(0.,0.,-1.)); #34211=DIRECTION('',(-1.,0.,0.)); #34212=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34213=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34214=DIRECTION('',(0.,0.,1.)); #34215=DIRECTION('',(0.,0.,-1.)); #34216=DIRECTION('',(1.,0.,0.)); #34217=DIRECTION('',(0.,0.,-1.)); #34218=DIRECTION('',(0.,0.,-1.)); #34219=DIRECTION('',(1.,0.,0.)); #34220=DIRECTION('',(0.,0.,-1.)); #34221=DIRECTION('',(0.,0.,-1.)); #34222=DIRECTION('',(1.,0.,0.)); #34223=DIRECTION('',(0.,0.,-1.)); #34224=DIRECTION('',(-1.,0.,0.)); #34225=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34226=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34227=DIRECTION('',(0.,0.,1.)); #34228=DIRECTION('',(0.,0.,-1.)); #34229=DIRECTION('',(0.,0.,-1.)); #34230=DIRECTION('',(-1.,0.,0.)); #34231=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34232=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34233=DIRECTION('',(0.,0.,-1.)); #34234=DIRECTION('',(1.,0.,0.)); #34235=DIRECTION('',(0.,0.,-1.)); #34236=DIRECTION('',(0.,0.,-1.)); #34237=DIRECTION('',(0.,0.,-1.)); #34238=DIRECTION('',(-1.,0.,0.)); #34239=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34240=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34241=DIRECTION('',(0.,0.,1.)); #34242=DIRECTION('',(0.,0.,-1.)); #34243=DIRECTION('',(0.,0.,-1.)); #34244=DIRECTION('',(-1.,0.,0.)); #34245=DIRECTION('',(-1.,0.,0.)); #34246=DIRECTION('',(0.,0.,-1.)); #34247=DIRECTION('',(1.,0.,0.)); #34248=DIRECTION('',(-1.,0.,0.)); #34249=DIRECTION('',(0.,0.,-1.)); #34250=DIRECTION('',(1.,0.,0.)); #34251=DIRECTION('',(0.,0.,1.)); #34252=DIRECTION('',(1.,0.,0.)); #34253=DIRECTION('',(0.,0.,-1.)); #34254=DIRECTION('',(1.,0.,0.)); #34255=DIRECTION('',(0.,0.,-1.)); #34256=DIRECTION('',(0.999999999999998,0.,0.)); #34257=DIRECTION('',(0.,0.,-1.)); #34258=DIRECTION('',(1.,0.,0.)); #34259=DIRECTION('',(0.,-1.,0.)); #34260=DIRECTION('',(0.,0.,-1.)); #34261=DIRECTION('',(1.,0.,0.)); #34262=DIRECTION('',(0.,0.,-1.)); #34263=DIRECTION('',(1.,0.,0.)); #34264=DIRECTION('',(0.,-1.,0.)); #34265=DIRECTION('',(0.,0.,-1.)); #34266=DIRECTION('',(1.,0.,0.)); #34267=DIRECTION('',(0.,-1.,0.)); #34268=DIRECTION('',(-1.,0.,0.)); #34269=DIRECTION('',(0.,0.,-1.)); #34270=DIRECTION('',(0.999999999999998,0.,0.)); #34271=DIRECTION('',(0.,0.,-1.)); #34272=DIRECTION('',(1.,0.,0.)); #34273=DIRECTION('',(1.,0.,0.)); #34274=DIRECTION('',(0.,0.,-1.)); #34275=DIRECTION('',(1.,0.,0.)); #34276=DIRECTION('',(0.,0.,-1.)); #34277=DIRECTION('',(1.,0.,0.)); #34278=DIRECTION('',(0.,0.,1.)); #34279=DIRECTION('',(1.,0.,0.)); #34280=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34281=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34282=DIRECTION('',(0.,0.,-1.)); #34283=DIRECTION('',(0.,0.,1.)); #34284=DIRECTION('',(-1.,0.,0.)); #34285=DIRECTION('',(0.,0.,-1.)); #34286=DIRECTION('',(-1.,0.,0.)); #34287=DIRECTION('',(0.,0.,1.)); #34288=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34289=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34290=DIRECTION('',(0.,0.,-1.)); #34291=DIRECTION('',(-1.,0.,0.)); #34292=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34293=DIRECTION('',(0.,-0.70710678118655,0.707106781186545)); #34294=DIRECTION('',(0.,0.,-1.)); #34295=DIRECTION('',(0.,0.,1.)); #34296=DIRECTION('',(1.,0.,0.)); #34297=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34298=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34299=DIRECTION('',(0.,0.,1.)); #34300=DIRECTION('',(0.,0.,-1.)); #34301=DIRECTION('',(-0.999999999999998,0.,0.)); #34302=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34303=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34304=DIRECTION('',(0.,0.,-1.)); #34305=DIRECTION('',(0.,0.,-1.)); #34306=DIRECTION('',(-0.999999999999998,0.,0.)); #34307=DIRECTION('',(0.,0.,1.)); #34308=DIRECTION('',(0.,0.707106781186545,0.70710678118655)); #34309=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34310=DIRECTION('',(0.,0.,-1.)); #34311=DIRECTION('',(-0.999999999999998,0.,0.)); #34312=DIRECTION('',(1.,0.,0.)); #34313=DIRECTION('',(0.,0.,1.)); #34314=DIRECTION('',(1.,0.,0.)); #34315=DIRECTION('',(0.,0.,1.)); #34316=DIRECTION('',(0.,1.,0.)); #34317=DIRECTION('',(0.,0.,1.)); #34318=DIRECTION('',(1.,0.,0.)); #34319=DIRECTION('',(0.,0.,1.)); #34320=DIRECTION('',(1.,0.,0.)); #34321=DIRECTION('',(0.,-1.,0.)); #34322=DIRECTION('',(0.,0.,-1.)); #34323=DIRECTION('',(0.,0.,1.)); #34324=DIRECTION('',(1.,0.,0.)); #34325=DIRECTION('',(1.,0.,0.)); #34326=DIRECTION('',(0.,0.,1.)); #34327=DIRECTION('',(1.,0.,0.)); #34328=DIRECTION('',(0.,-1.,0.)); #34329=DIRECTION('',(1.,0.,0.)); #34330=DIRECTION('',(0.,0.,1.)); #34331=DIRECTION('',(1.,0.,0.)); #34332=DIRECTION('',(0.,0.,1.)); #34333=DIRECTION('',(1.,0.,0.)); #34334=DIRECTION('',(0.,0.,-1.)); #34335=DIRECTION('',(-1.,0.,0.)); #34336=DIRECTION('',(0.,0.,-1.)); #34337=DIRECTION('',(-1.,0.,0.)); #34338=DIRECTION('',(0.,0.,1.)); #34339=DIRECTION('',(0.,0.,-1.)); #34340=DIRECTION('',(1.,0.,0.)); #34341=DIRECTION('',(0.,0.,-1.)); #34342=DIRECTION('',(0.,0.,-1.)); #34343=DIRECTION('',(-1.,0.,0.)); #34344=DIRECTION('',(0.,0.,1.)); #34345=DIRECTION('',(0.,0.,1.)); #34346=DIRECTION('',(1.,0.,0.)); #34347=DIRECTION('',(0.,0.,-1.)); #34348=DIRECTION('',(0.,1.,0.)); #34349=DIRECTION('',(0.,0.,-1.)); #34350=DIRECTION('',(-1.,0.,0.)); #34351=DIRECTION('',(0.,-1.,0.)); #34352=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #34353=DIRECTION('',(-1.,0.,0.)); #34354=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #34355=DIRECTION('',(1.,0.,0.)); #34356=DIRECTION('',(0.,0.,-1.)); #34357=DIRECTION('',(-1.,0.,0.)); #34358=DIRECTION('',(0.,0.,-1.)); #34359=DIRECTION('',(-1.,0.,0.)); #34360=DIRECTION('',(0.,0.,-1.)); #34361=DIRECTION('',(-1.,0.,0.)); #34362=DIRECTION('',(0.,1.,0.)); #34363=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #34364=DIRECTION('',(-1.,0.,0.)); #34365=DIRECTION('',(0.,1.,0.)); #34366=DIRECTION('',(-1.,0.,0.)); #34367=DIRECTION('',(0.,0.,-1.)); #34368=DIRECTION('',(1.,0.,0.)); #34369=DIRECTION('',(0.,1.,0.)); #34370=DIRECTION('',(-1.,0.,0.)); #34371=DIRECTION('',(0.,0.,1.)); #34372=DIRECTION('',(1.,0.,0.)); #34373=DIRECTION('',(1.,0.,0.)); #34374=DIRECTION('',(0.,0.,1.)); #34375=DIRECTION('',(1.,0.,0.)); #34376=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #34377=DIRECTION('',(1.,0.,0.)); #34378=DIRECTION('',(0.,-1.,0.)); #34379=DIRECTION('',(1.,0.,0.)); #34380=DIRECTION('',(0.,0.,-1.)); #34381=DIRECTION('',(1.,0.,0.)); #34382=DIRECTION('',(0.,-1.,0.)); #34383=DIRECTION('',(0.,0.,1.)); #34384=DIRECTION('',(1.,0.,0.)); #34385=DIRECTION('',(0.,0.,1.)); #34386=DIRECTION('',(1.,0.,0.)); #34387=DIRECTION('',(0.,0.,-1.)); #34388=DIRECTION('',(1.,0.,0.)); #34389=DIRECTION('',(0.,0.,1.)); #34390=DIRECTION('',(1.,0.,0.)); #34391=DIRECTION('',(0.,1.,0.)); #34392=DIRECTION('',(0.,0.,-1.)); #34393=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #34394=DIRECTION('',(0.,-1.,0.)); #34395=DIRECTION('',(0.,0.,-1.)); #34396=DIRECTION('',(0.,-1.,0.)); #34397=DIRECTION('',(0.,0.,-1.)); #34398=DIRECTION('',(0.,1.,0.)); #34399=DIRECTION('',(0.,0.,1.)); #34400=DIRECTION('',(0.,-1.,0.)); #34401=DIRECTION('',(0.,0.,1.)); #34402=DIRECTION('',(-1.,0.,0.)); #34403=DIRECTION('',(0.,0.,1.)); #34404=DIRECTION('',(0.,0.707106781186547,-0.707106781186548)); #34405=DIRECTION('',(1.,0.,0.)); #34406=DIRECTION('',(0.,0.,1.)); #34407=DIRECTION('',(0.,-1.,0.)); #34408=DIRECTION('',(0.,0.,-1.)); #34409=DIRECTION('',(-1.,0.,0.)); #34410=DIRECTION('',(0.,0.,1.)); #34411=DIRECTION('',(0.,0.,1.)); #34412=DIRECTION('',(1.,0.,0.)); #34413=DIRECTION('',(0.,-1.,0.)); #34414=DIRECTION('',(0.,0.,1.)); #34415=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #34416=DIRECTION('',(0.,1.,0.)); #34417=DIRECTION('',(0.,0.,1.)); #34418=DIRECTION('',(0.,0.,-1.)); #34419=DIRECTION('',(0.,1.,0.)); #34420=DIRECTION('',(0.,0.,1.)); #34421=DIRECTION('',(1.,0.,0.)); #34422=DIRECTION('',(0.,0.,-1.)); #34423=DIRECTION('',(-1.,0.,0.)); #34424=DIRECTION('',(0.,0.,-1.)); #34425=DIRECTION('',(0.,1.,0.)); #34426=DIRECTION('',(0.,0.,-1.)); #34427=DIRECTION('',(-1.,0.,0.)); #34428=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #34429=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #34430=DIRECTION('',(0.,0.,-1.)); #34431=DIRECTION('',(-1.,0.,0.)); #34432=DIRECTION('',(0.,0.,-1.)); #34433=DIRECTION('',(-1.,0.,0.)); #34434=DIRECTION('',(0.,0.,1.)); #34435=DIRECTION('',(-1.,0.,0.)); #34436=DIRECTION('',(0.,0.,-1.)); #34437=DIRECTION('',(-1.,0.,0.)); #34438=DIRECTION('',(0.,0.,1.)); #34439=DIRECTION('',(-1.,0.,0.)); #34440=DIRECTION('',(0.,0.,-1.)); #34441=DIRECTION('',(-1.,0.,0.)); #34442=DIRECTION('',(0.,0.,1.)); #34443=DIRECTION('',(-1.,0.,0.)); #34444=DIRECTION('',(0.,0.,-1.)); #34445=DIRECTION('',(0.,0.,1.)); #34446=DIRECTION('',(1.,0.,0.)); #34447=DIRECTION('',(0.,0.,1.)); #34448=DIRECTION('',(0.,0.,1.)); #34449=DIRECTION('',(1.,0.,0.)); #34450=DIRECTION('',(0.,1.,0.)); #34451=DIRECTION('',(0.,0.,-1.)); #34452=DIRECTION('',(0.,0.,-1.)); #34453=DIRECTION('',(1.,0.,0.)); #34454=DIRECTION('',(0.,0.,1.)); #34455=DIRECTION('',(0.,-1.,0.)); #34456=DIRECTION('',(0.,0.,-1.)); #34457=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #34458=DIRECTION('',(0.,-1.,0.)); #34459=DIRECTION('',(0.,0.,-1.)); #34460=DIRECTION('',(0.,-1.,0.)); #34461=DIRECTION('',(0.,0.,1.)); #34462=DIRECTION('',(0.,0.,-1.)); #34463=DIRECTION('',(0.,-1.,0.)); #34464=DIRECTION('',(0.,0.,1.)); #34465=DIRECTION('',(1.,0.,0.)); #34466=DIRECTION('',(0.,0.,1.)); #34467=DIRECTION('',(0.,0.707106781186548,0.707106781186548)); #34468=DIRECTION('',(-1.,0.,0.)); #34469=DIRECTION('',(0.,0.,1.)); #34470=DIRECTION('',(0.,0.,-1.)); #34471=DIRECTION('',(-1.,0.,0.)); #34472=DIRECTION('',(0.,0.,1.)); #34473=DIRECTION('',(0.,0.,1.)); #34474=DIRECTION('',(-1.,0.,0.)); #34475=DIRECTION('',(0.,0.,-1.)); #34476=DIRECTION('',(0.,0.,1.)); #34477=DIRECTION('',(1.,0.,0.)); #34478=DIRECTION('',(0.,0.,1.)); #34479=DIRECTION('',(0.,0.,1.)); #34480=DIRECTION('',(1.,0.,0.)); #34481=DIRECTION('',(0.,-1.,0.)); #34482=DIRECTION('',(0.,0.,1.)); #34483=DIRECTION('',(0.,0.,-1.)); #34484=DIRECTION('',(-1.,0.,0.)); #34485=DIRECTION('',(0.,0.,1.)); #34486=DIRECTION('',(0.,1.,0.)); #34487=DIRECTION('',(0.,0.,1.)); #34488=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #34489=DIRECTION('',(0.,1.,0.)); #34490=DIRECTION('',(0.,0.,1.)); #34491=DIRECTION('',(0.,1.,0.)); #34492=DIRECTION('',(0.,0.,1.)); #34493=DIRECTION('',(-1.,0.,0.)); #34494=DIRECTION('',(0.,0.,-1.)); #34495=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #34496=DIRECTION('',(1.,0.,0.)); #34497=DIRECTION('',(0.,0.,-1.)); #34498=DIRECTION('',(0.,0.,-1.)); #34499=DIRECTION('',(1.,0.,0.)); #34500=DIRECTION('',(0.,0.,-1.)); #34501=DIRECTION('',(0.,0.,1.)); #34502=DIRECTION('',(1.,0.,0.)); #34503=DIRECTION('',(0.,0.,1.)); #34504=DIRECTION('',(1.,0.,0.)); #34505=DIRECTION('',(0.,0.,1.)); #34506=DIRECTION('',(0.999999999999999,0.,0.)); #34507=DIRECTION('',(0.,0.,1.)); #34508=DIRECTION('',(1.,0.,0.)); #34509=DIRECTION('',(0.,0.,1.)); #34510=DIRECTION('',(1.,0.,0.)); #34511=DIRECTION('',(0.,0.,1.)); #34512=DIRECTION('',(1.,0.,0.)); #34513=DIRECTION('',(0.,0.,1.)); #34514=DIRECTION('',(1.,0.,0.)); #34515=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #34516=DIRECTION('',(1.,0.,0.)); #34517=DIRECTION('',(0.,0.,-1.)); #34518=DIRECTION('',(-1.,0.,0.)); #34519=DIRECTION('',(0.,0.,-1.)); #34520=DIRECTION('',(-1.,0.,0.)); #34521=DIRECTION('',(0.,1.,0.)); #34522=DIRECTION('',(0.,0.,-1.)); #34523=DIRECTION('',(-1.,0.,0.)); #34524=DIRECTION('',(0.707106781186548,0.707106781186547,0.)); #34525=DIRECTION('',(-1.,0.,0.)); #34526=DIRECTION('',(0.,0.,-1.)); #34527=DIRECTION('',(-1.,0.,0.)); #34528=DIRECTION('',(0.,0.,-1.)); #34529=DIRECTION('',(-1.,0.,0.)); #34530=DIRECTION('',(0.,-1.,0.)); #34531=DIRECTION('',(0.,0.,-1.)); #34532=DIRECTION('',(-1.,0.,0.)); #34533=DIRECTION('',(0.,-1.,0.)); #34534=DIRECTION('',(0.,1.,0.)); #34535=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #34536=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #34537=DIRECTION('',(-1.,0.,0.)); #34538=DIRECTION('',(1.,0.,0.)); #34539=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #34540=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #34541=DIRECTION('',(1.,0.,0.)); #34542=DIRECTION('',(-1.,0.,0.)); #34543=DIRECTION('',(0.,0.707106781186548,0.707106781186547)); #34544=DIRECTION('',(0.,-0.707106781186547,0.707106781186548)); #34545=DIRECTION('',(0.,1.,0.)); #34546=DIRECTION('',(0.,-1.,0.)); #34547=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #34548=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #34549=DIRECTION('',(0.,-1.,0.)); #34550=DIRECTION('',(0.,0.,-1.)); #34551=DIRECTION('',(1.,0.,0.)); #34552=DIRECTION('',(0.,0.,-1.)); #34553=DIRECTION('',(1.,0.,0.)); #34554=DIRECTION('',(0.,0.,-1.)); #34555=DIRECTION('',(0.,-1.,0.)); #34556=DIRECTION('',(0.,0.,-1.)); #34557=DIRECTION('',(1.,0.,0.)); #34558=DIRECTION('',(0.,0.,-1.)); #34559=DIRECTION('',(0.,1.,0.)); #34560=DIRECTION('',(0.,0.,1.)); #34561=DIRECTION('',(-1.,0.,0.)); #34562=DIRECTION('',(0.,0.,1.)); #34563=DIRECTION('',(0.,-1.,0.)); #34564=DIRECTION('',(0.,0.,-1.)); #34565=DIRECTION('',(0.,0.,1.)); #34566=DIRECTION('',(1.,0.,0.)); #34567=DIRECTION('',(0.,0.,1.)); #34568=DIRECTION('',(1.,0.,0.)); #34569=DIRECTION('',(0.,0.,-1.)); #34570=DIRECTION('',(-1.,0.,0.)); #34571=DIRECTION('',(0.,0.,1.)); #34572=DIRECTION('',(1.,0.,0.)); #34573=DIRECTION('',(0.,0.,1.)); #34574=DIRECTION('',(0.999999999999999,0.,0.)); #34575=DIRECTION('',(0.,0.,-1.)); #34576=DIRECTION('',(-1.,0.,0.)); #34577=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #34578=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #34579=DIRECTION('',(-0.707106781186547,0.707106781186548,0.)); #34580=DIRECTION('',(-0.707106781186548,-0.707106781186547,0.)); #34581=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #34582=DIRECTION('',(0.707106781186547,0.707106781186548,0.)); #34583=DIRECTION('',(-0.707106781186547,-0.707106781186547,0.)); #34584=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #34585=DIRECTION('',(1.,0.,0.)); #34586=DIRECTION('',(0.,0.,-1.)); #34587=DIRECTION('',(0.,1.,0.)); #34588=DIRECTION('',(0.,0.,1.)); #34589=DIRECTION('',(0.,0.,-1.)); #34590=DIRECTION('',(-1.,0.,0.)); #34591=DIRECTION('',(-0.816496580927726,-0.577350269189626,0.)); #34592=DIRECTION('',(0.,-1.,0.)); #34593=DIRECTION('',(0.816496580927725,-0.577350269189627,0.)); #34594=DIRECTION('',(0.,0.,1.)); #34595=DIRECTION('',(1.,0.,0.)); #34596=DIRECTION('',(0.,0.,-1.)); #34597=DIRECTION('',(0.,1.,0.)); #34598=DIRECTION('',(0.,0.,1.)); #34599=DIRECTION('',(1.,0.,0.)); #34600=DIRECTION('',(1.,0.,0.)); #34601=DIRECTION('',(0.,0.,1.)); #34602=DIRECTION('',(1.,0.,0.)); #34603=DIRECTION('',(0.,0.,-1.)); #34604=DIRECTION('',(0.,1.,0.)); #34605=DIRECTION('',(0.,0.,1.)); #34606=DIRECTION('',(1.,0.,0.)); #34607=DIRECTION('',(-0.816496580927725,-0.577350269189626,0.)); #34608=DIRECTION('',(0.,-1.,0.)); #34609=DIRECTION('',(0.816496580927726,-0.577350269189626,0.)); #34610=DIRECTION('',(-0.816496580927725,-0.577350269189628,0.)); #34611=DIRECTION('',(0.,-1.,0.)); #34612=DIRECTION('',(0.816496580927725,-0.577350269189627,0.)); #34613=DIRECTION('',(-0.816496580927726,-0.577350269189626,0.)); #34614=DIRECTION('',(0.,-1.,0.)); #34615=DIRECTION('',(0.816496580927725,-0.577350269189627,0.)); #34616=DIRECTION('',(0.,0.,1.)); #34617=DIRECTION('',(1.,0.,0.)); #34618=DIRECTION('',(0.,0.,-1.)); #34619=DIRECTION('',(0.,-1.,0.)); #34620=DIRECTION('',(0.,0.,-1.)); #34621=DIRECTION('',(0.,0.,1.)); #34622=DIRECTION('',(-1.,0.,0.)); #34623=DIRECTION('',(0.,0.,-1.)); #34624=DIRECTION('',(-1.,0.,0.)); #34625=DIRECTION('',(0.,0.,1.)); #34626=DIRECTION('',(1.,0.,-4.20997195039641E-017)); #34627=DIRECTION('',(0.,0.,-1.)); #34628=DIRECTION('',(1.,0.,0.)); #34629=DIRECTION('',(0.,0.,-1.)); #34630=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #34631=DIRECTION('',(0.70710678118655,0.,-0.707106781186545)); #34632=DIRECTION('',(0.,0.,1.)); #34633=DIRECTION('',(0.,0.,-1.)); #34634=DIRECTION('',(-1.,0.,0.)); #34635=DIRECTION('',(0.,0.,-1.)); #34636=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #34637=DIRECTION('',(0.70710678118655,0.,-0.707106781186545)); #34638=DIRECTION('',(0.,0.,1.)); #34639=DIRECTION('',(0.,0.,-1.)); #34640=DIRECTION('',(1.,0.,0.)); #34641=DIRECTION('',(0.,0.,-1.)); #34642=DIRECTION('',(-1.,0.,0.)); #34643=DIRECTION('',(0.,-1.,-7.2280144832367E-017)); #34644=DIRECTION('',(0.,0.,1.)); #34645=DIRECTION('',(-0.999999999999998,0.,0.)); #34646=DIRECTION('',(0.,0.,1.)); #34647=DIRECTION('',(-0.999999999999998,0.,0.)); #34648=DIRECTION('',(0.,0.,-1.)); #34649=DIRECTION('',(-1.,0.,0.)); #34650=DIRECTION('',(0.,-1.,0.)); #34651=DIRECTION('',(-1.,0.,0.)); #34652=DIRECTION('',(0.,0.,-1.)); #34653=DIRECTION('',(-1.,0.,0.)); #34654=DIRECTION('',(5.94083382183838E-016,1.,0.)); #34655=DIRECTION('',(0.,0.,-1.)); #34656=DIRECTION('',(-1.,0.,0.)); #34657=DIRECTION('',(0.,0.,1.)); #34658=DIRECTION('',(-1.,0.,0.)); #34659=DIRECTION('',(1.,0.,0.)); #34660=DIRECTION('',(0.,1.,7.2280144832367E-017)); #34661=DIRECTION('',(-1.,0.,0.)); #34662=DIRECTION('',(0.,0.,-1.)); #34663=DIRECTION('',(-1.,0.,0.)); #34664=DIRECTION('',(0.,0.,-1.)); #34665=DIRECTION('',(0.,0.,1.)); #34666=DIRECTION('',(0.,1.,0.)); #34667=DIRECTION('',(0.,0.,1.)); #34668=DIRECTION('',(0.,0.,-1.)); #34669=DIRECTION('',(1.,0.,0.)); #34670=DIRECTION('',(0.,0.,-1.)); #34671=DIRECTION('',(-1.,0.,0.)); #34672=DIRECTION('',(0.,0.,1.)); #34673=DIRECTION('',(0.,-1.,0.)); #34674=DIRECTION('',(0.,0.,-1.)); #34675=DIRECTION('',(0.,0.,-1.)); #34676=DIRECTION('',(5.94083382183838E-016,1.,0.)); #34677=DIRECTION('',(0.,0.,1.)); #34678=DIRECTION('',(-1.,5.94083382183838E-016,0.)); #34679=DIRECTION('',(-5.94142790522056E-016,-1.,0.)); #34680=DIRECTION('',(0.,0.,-1.)); #34681=DIRECTION('',(-1.,0.,0.)); #34682=DIRECTION('',(0.,0.,-1.)); #34683=DIRECTION('',(-1.,0.,0.)); #34684=DIRECTION('',(0.,0.,-1.)); #34685=DIRECTION('',(1.,0.,0.)); #34686=DIRECTION('',(0.,0.,1.)); #34687=DIRECTION('',(0.,0.,-1.)); #34688=DIRECTION('',(-1.,0.,0.)); #34689=DIRECTION('',(0.,0.,-1.)); #34690=DIRECTION('',(1.,0.,0.)); #34691=DIRECTION('',(0.,0.,1.)); #34692=DIRECTION('',(1.,0.,0.)); #34693=DIRECTION('',(0.,0.,-1.)); #34694=DIRECTION('',(0.,0.,-1.)); #34695=DIRECTION('',(-1.,0.,0.)); #34696=DIRECTION('',(0.,0.,1.)); #34697=DIRECTION('',(-1.,0.,0.)); #34698=DIRECTION('',(0.,0.,-1.)); #34699=DIRECTION('',(0.,-1.,0.)); #34700=DIRECTION('',(0.,0.,-1.)); #34701=DIRECTION('',(0.,0.,-1.)); #34702=DIRECTION('',(1.,0.,0.)); #34703=DIRECTION('',(0.,0.,1.)); #34704=DIRECTION('',(0.999999999999998,0.,0.)); #34705=DIRECTION('',(0.,0.,-1.)); #34706=DIRECTION('',(1.,0.,0.)); #34707=DIRECTION('',(0.,0.,1.)); #34708=DIRECTION('',(1.,0.,0.)); #34709=DIRECTION('',(0.,0.,-1.)); #34710=DIRECTION('',(1.,0.,0.)); #34711=DIRECTION('',(0.,0.,1.)); #34712=DIRECTION('',(1.,0.,0.)); #34713=DIRECTION('',(0.,0.,1.)); #34714=DIRECTION('',(1.,0.,0.)); #34715=DIRECTION('',(0.,0.,-1.)); #34716=DIRECTION('',(0.,0.,1.)); #34717=DIRECTION('',(0.,0.,-1.)); #34718=DIRECTION('',(-1.,0.,0.)); #34719=DIRECTION('',(0.,0.,-1.)); #34720=DIRECTION('',(-1.,0.,0.)); #34721=DIRECTION('',(0.,0.,-1.)); #34722=DIRECTION('',(0.,0.,-1.)); #34723=DIRECTION('',(-1.,0.,0.)); #34724=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #34725=DIRECTION('',(-0.70710678118655,0.,0.707106781186545)); #34726=DIRECTION('',(0.,0.,1.)); #34727=DIRECTION('',(1.,0.,0.)); #34728=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #34729=DIRECTION('',(0.70710678118655,0.,-0.707106781186545)); #34730=DIRECTION('',(0.,0.,1.)); #34731=DIRECTION('',(0.,0.,-1.)); #34732=DIRECTION('',(-0.999999999999998,0.,0.)); #34733=DIRECTION('',(-0.707106781186545,0.,-0.70710678118655)); #34734=DIRECTION('',(0.70710678118655,0.,-0.707106781186545)); #34735=DIRECTION('',(0.,0.,-1.)); #34736=DIRECTION('',(0.,0.,-1.)); #34737=DIRECTION('',(-0.999999999999998,0.,0.)); #34738=DIRECTION('',(0.,0.,-1.)); #34739=DIRECTION('',(-0.999999999999998,0.,0.)); #34740=DIRECTION('',(0.,0.,-1.)); #34741=DIRECTION('',(-1.,0.,0.)); #34742=DIRECTION('',(0.,0.,-1.)); #34743=DIRECTION('',(-1.,0.,0.)); #34744=DIRECTION('',(-0.707106781186547,0.,-0.707106781186548)); #34745=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #34746=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #34747=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #34748=DIRECTION('',(-0.707106781186547,2.3962166883982E-015,-0.707106781186548)); #34749=DIRECTION('',(0.707106781186547,-2.3962166883982E-015,0.707106781186548)); #34750=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #34751=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #34752=DIRECTION('',(-0.707106781186547,2.3962166883982E-015,-0.707106781186548)); #34753=DIRECTION('',(0.707106781186547,-2.3962166883982E-015,0.707106781186548)); #34754=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #34755=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #34756=DIRECTION('',(-0.707106781186547,2.3962166883982E-015,-0.707106781186548)); #34757=DIRECTION('',(0.707106781186547,-2.3962166883982E-015,0.707106781186548)); #34758=DIRECTION('',(-0.707106781186548,0.,0.707106781186547)); #34759=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #34760=DIRECTION('',(0.500000000000001,0.707106781186548,-0.499999999999998)); #34761=DIRECTION('',(-0.816496580927725,0.577350269189627,0.)); #34762=DIRECTION('',(0.500000000000001,0.707106781186548,-0.499999999999998)); #34763=DIRECTION('',(-0.816496580927725,0.577350269189627,0.)); #34764=DIRECTION('',(0.500000000000001,0.707106781186548,-0.499999999999998)); #34765=DIRECTION('',(-0.816496580927725,0.577350269189627,0.)); #34766=DIRECTION('',(0.5,0.707106781186547,-0.5)); #34767=DIRECTION('',(-0.816496580927726,0.577350269189626,0.)); #34768=DIRECTION('',(0.5,-0.707106781186547,-0.500000000000001)); #34769=DIRECTION('',(0.,0.577350269189627,-0.816496580927725)); #34770=DIRECTION('',(0.5,-0.707106781186547,-0.500000000000001)); #34771=DIRECTION('',(0.,0.577350269189627,-0.816496580927725)); #34772=DIRECTION('',(0.500000000000001,-0.707106781186545,-0.500000000000002)); #34773=DIRECTION('',(0.,0.577350269189629,-0.816496580927724)); #34774=DIRECTION('',(0.500000000000001,-0.707106781186547,-0.5)); #34775=DIRECTION('',(0.816496580927725,0.577350269189626,0.)); #34776=DIRECTION('',(0.,0.,-1.)); #34777=DIRECTION('',(1.,0.,0.)); #34778=DIRECTION('',(0.,0.,1.)); #34779=DIRECTION('',(0.,-1.,0.)); #34780=DIRECTION('',(0.,0.,-1.)); #34781=DIRECTION('',(0.,0.,-1.)); #34782=DIRECTION('',(-1.,0.,0.)); #34783=DIRECTION('',(0.,0.,-1.)); #34784=DIRECTION('',(-1.,0.,0.)); #34785=DIRECTION('',(0.,0.,-1.)); #34786=DIRECTION('',(-1.,0.,0.)); #34787=DIRECTION('',(1.,0.,0.)); #34788=DIRECTION('',(0.,0.,-1.)); #34789=DIRECTION('',(-1.,0.,0.)); #34790=DIRECTION('',(0.,0.,-1.)); #34791=DIRECTION('',(-1.,0.,0.)); #34792=DIRECTION('',(0.,0.,1.)); #34793=DIRECTION('',(0.,0.,-1.)); #34794=DIRECTION('',(0.,1.,0.)); #34795=DIRECTION('',(0.,0.,1.)); #34796=DIRECTION('',(0.,0.,1.)); #34797=DIRECTION('',(1.,0.,0.)); #34798=DIRECTION('',(0.,0.,1.)); #34799=DIRECTION('',(1.,0.,0.)); #34800=DIRECTION('',(0.,0.,1.)); #34801=DIRECTION('',(1.,0.,0.)); #34802=DIRECTION('',(0.,0.,1.)); #34803=DIRECTION('',(1.,0.,0.)); #34804=DIRECTION('',(0.,0.,1.)); #34805=DIRECTION('',(0.,0.,-1.)); #34806=DIRECTION('',(0.,0.,-1.)); #34807=DIRECTION('',(-1.,0.,0.)); #34808=DIRECTION('',(0.,0.,-1.)); #34809=DIRECTION('',(0.,0.,1.)); #34810=DIRECTION('',(0.,0.,-1.)); #34811=DIRECTION('',(-1.,0.,0.)); #34812=DIRECTION('',(0.,0.,-1.)); #34813=DIRECTION('',(-1.,0.,0.)); #34814=DIRECTION('',(0.,0.,-1.)); #34815=DIRECTION('',(-1.,0.,0.)); #34816=DIRECTION('',(0.,0.,-1.)); #34817=DIRECTION('',(-1.,0.,0.)); #34818=DIRECTION('',(0.,0.,-1.)); #34819=DIRECTION('',(-1.,0.,0.)); #34820=DIRECTION('',(-1.,0.,0.)); #34821=DIRECTION('',(0.,-0.707106781186545,-0.70710678118655)); #34822=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34823=DIRECTION('',(-1.,0.,0.)); #34824=DIRECTION('',(0.,-0.707106781186545,-0.70710678118655)); #34825=DIRECTION('',(0.,0.70710678118655,-0.707106781186545)); #34826=DIRECTION('',(0.,-1.,0.)); #34827=DIRECTION('',(0.707106781186545,0.,0.70710678118655)); #34828=DIRECTION('',(0.70710678118655,0.,-0.707106781186545)); #34829=DIRECTION('',(0.,0.,1.)); #34830=DIRECTION('',(1.,0.,0.)); #34831=DIRECTION('',(0.,0.,1.)); #34832=DIRECTION('',(0.,-1.,0.)); #34833=DIRECTION('',(0.,0.,-1.)); #34834=DIRECTION('',(0.,0.,1.)); #34835=DIRECTION('',(0.,-1.,-7.2280144832367E-017)); #34836=DIRECTION('',(-1.,0.,0.)); #34837=DIRECTION('',(0.,0.,1.)); #34838=DIRECTION('',(0.,0.,1.)); #34839=DIRECTION('',(-1.,0.,0.)); #34840=DIRECTION('',(0.,1.,0.)); #34841=DIRECTION('',(0.,0.,1.)); #34842=DIRECTION('',(0.,1.,7.2280144832367E-017)); #34843=DIRECTION('',(1.,0.,0.)); #34844=DIRECTION('',(0.,0.,-1.)); #34845=DIRECTION('',(0.,7.2280144832367E-017,-1.)); #34846=DIRECTION('',(0.,1.,7.22078646875346E-017)); #34847=DIRECTION('',(1.,0.,0.)); #34848=DIRECTION('',(1.,0.,0.)); #34849=DIRECTION('',(1.,0.,0.)); #34850=DIRECTION('',(1.,0.,0.)); #34851=DIRECTION('',(1.,0.,0.)); #34852=DIRECTION('',(1.,0.,0.)); #34853=DIRECTION('',(1.,0.,0.)); #34854=DIRECTION('',(1.,0.,0.)); #34855=DIRECTION('',(1.,0.,0.)); #34856=DIRECTION('',(1.,0.,0.)); #34857=DIRECTION('',(1.,0.,0.)); #34858=DIRECTION('',(1.,0.,0.)); #34859=DIRECTION('',(0.,0.,-1.)); #34860=DIRECTION('',(1.,0.,0.)); #34861=DIRECTION('',(0.,0.,-1.)); #34862=DIRECTION('',(1.,0.,0.)); #34863=DIRECTION('',(0.,0.,-1.)); #34864=DIRECTION('',(1.,0.,0.)); #34865=DIRECTION('',(1.,0.,0.)); #34866=DIRECTION('',(0.,1.,0.)); #34867=DIRECTION('',(0.,0.,1.)); #34868=DIRECTION('',(1.,0.,0.)); #34869=DIRECTION('',(0.,0.,-1.)); #34870=DIRECTION('',(-1.,0.,0.)); #34871=DIRECTION('',(1.,0.,0.)); #34872=DIRECTION('',(0.,-0.905090412204936,0.425219173761836)); #34873=DIRECTION('',(0.,-0.425219173761836,-0.905090412204936)); #34874=DIRECTION('',(1.,0.,0.)); #34875=DIRECTION('',(0.,0.49704154445659,0.867726744479048)); #34876=DIRECTION('',(0.,-0.867726744479048,0.497041544456591)); #34877=DIRECTION('',(1.,0.,0.)); #34878=DIRECTION('',(0.,0.905647975045082,-0.424030358932875)); #34879=DIRECTION('',(0.,0.424030358932875,0.905647975045082)); #34880=DIRECTION('',(0.,0.,1.)); #34881=DIRECTION('',(1.,0.,0.)); #34882=DIRECTION('',(1.,0.,0.)); #34883=DIRECTION('',(0.,0.,-1.)); #34884=DIRECTION('',(1.,0.,0.)); #34885=DIRECTION('',(1.,0.,0.)); #34886=DIRECTION('',(0.,-0.814583660171063,-0.580046084878016)); #34887=DIRECTION('',(0.,0.580046084878016,-0.814583660171063)); #34888=DIRECTION('',(1.,0.,0.)); #34889=DIRECTION('',(1.,0.,0.)); #34890=DIRECTION('',(1.,0.,0.)); #34891=DIRECTION('',(0.,0.815903116491119,0.578188640929653)); #34892=DIRECTION('',(0.,-0.578188640929653,0.815903116491119)); #34893=DIRECTION('',(1.,0.,0.)); #34894=DIRECTION('',(1.,0.,0.)); #34895=DIRECTION('',(1.,0.,0.)); #34896=DIRECTION('',(1.,0.,0.)); #34897=DIRECTION('',(0.,-0.815205179782386,-0.579172266994862)); #34898=DIRECTION('',(0.,0.579172266994862,-0.815205179782386)); #34899=DIRECTION('',(1.,0.,0.)); #34900=DIRECTION('',(0.,0.,1.)); #34901=DIRECTION('',(1.,0.,0.)); #34902=DIRECTION('',(1.,0.,0.)); #34903=DIRECTION('',(0.,0.814820097076932,0.579713903058689)); #34904=DIRECTION('',(0.,-0.579713903058689,0.814820097076932)); #34905=DIRECTION('',(1.,0.,0.)); #34906=DIRECTION('',(0.,0.,-1.)); #34907=DIRECTION('',(-1.,0.,0.)); #34908=DIRECTION('',(1.,0.,0.)); #34909=DIRECTION('',(0.,0.,-1.)); #34910=DIRECTION('',(1.,0.,0.)); #34911=DIRECTION('',(0.,0.,-1.)); #34912=DIRECTION('',(1.,0.,0.)); #34913=DIRECTION('',(1.,0.,0.)); #34914=DIRECTION('',(0.,0.856342078386236,-0.516408989837649)); #34915=DIRECTION('',(0.,0.516408989837649,0.856342078386236)); #34916=DIRECTION('',(1.,0.,0.)); #34917=DIRECTION('',(0.,-0.588602869131677,-0.808422329262347)); #34918=DIRECTION('',(0.,0.808422329262347,-0.588602869131677)); #34919=DIRECTION('',(1.,0.,0.)); #34920=DIRECTION('',(0.,-0.860035523985292,0.510234159463422)); #34921=DIRECTION('',(0.,-0.510234159463422,-0.860035523985292)); #34922=DIRECTION('',(1.,0.,0.)); #34923=DIRECTION('',(1.,0.,0.)); #34924=DIRECTION('',(1.,5.08033562782315E-014,-2.53381739437677E-013)); #34925=DIRECTION('',(-2.53382384518552E-013,0.,-1.)); #34926=DIRECTION('',(1.,0.,0.)); #34927=DIRECTION('',(0.,0.,-1.)); #34928=DIRECTION('',(1.,0.,0.)); #34929=DIRECTION('',(1.,0.,0.)); #34930=DIRECTION('',(0.,0.,-1.)); #34931=DIRECTION('',(-1.,0.,0.)); #34932=DIRECTION('',(1.,0.,0.)); #34933=DIRECTION('',(0.,-1.,0.)); #34934=DIRECTION('',(0.,0.,-1.)); #34935=DIRECTION('',(1.,0.,0.)); #34936=DIRECTION('',(0.,0.,1.)); #34937=DIRECTION('',(1.,0.,0.)); #34938=DIRECTION('',(1.,0.,0.)); #34939=DIRECTION('',(0.,-0.986790192984738,0.16200344141142)); #34940=DIRECTION('',(0.,-0.16200344141142,-0.986790192984738)); #34941=DIRECTION('',(1.,0.,0.)); #34942=DIRECTION('',(1.,0.,0.)); #34943=DIRECTION('',(1.,0.,0.)); #34944=DIRECTION('',(0.,-1.95397524147052E-015,-1.)); #34945=DIRECTION('',(0.,1.,-1.95394915525338E-015)); #34946=DIRECTION('',(1.,0.,0.)); #34947=DIRECTION('',(1.,0.,0.)); #34948=DIRECTION('',(0.,0.986198738626646,-0.165565841680018)); #34949=DIRECTION('',(0.,0.165565841680018,0.986198738626646)); #34950=DIRECTION('',(1.,4.20441569199186E-014,-2.4280500621253E-013)); #34951=DIRECTION('',(-2.42805775485522E-013,0.,-1.)); #34952=DIRECTION('',(1.,0.,0.)); #34953=DIRECTION('',(1.,0.,0.)); #34954=DIRECTION('',(0.,0.,-1.)); #34955=DIRECTION('',(-1.,0.,0.)); #34956=DIRECTION('',(1.,0.,0.)); #34957=DIRECTION('',(0.,1.,0.)); #34958=DIRECTION('',(0.,0.,1.)); #34959=DIRECTION('',(1.,0.,0.)); #34960=DIRECTION('',(1.,0.,0.)); #34961=DIRECTION('',(0.,0.845019685328735,-0.534735197464059)); #34962=DIRECTION('',(0.,0.534735197464059,0.845019685328735)); #34963=DIRECTION('',(1.,0.,0.)); #34964=DIRECTION('',(0.,0.,-1.)); #34965=DIRECTION('',(-1.,0.,0.)); #34966=DIRECTION('',(1.,0.,0.)); #34967=DIRECTION('',(0.,-1.,0.)); #34968=DIRECTION('',(0.,0.,-1.)); #34969=DIRECTION('',(1.,0.,0.)); #34970=DIRECTION('',(0.,0.,-1.)); #34971=DIRECTION('',(-1.,0.,0.)); #34972=DIRECTION('',(1.,0.,0.)); #34973=DIRECTION('',(0.,-1.,0.)); #34974=DIRECTION('',(0.,0.,-1.)); #34975=DIRECTION('',(1.,0.,0.)); #34976=DIRECTION('',(0.,0.,1.)); #34977=DIRECTION('',(1.,0.,0.)); #34978=DIRECTION('',(1.,0.,0.)); #34979=DIRECTION('',(0.,-1.,0.)); #34980=DIRECTION('',(0.,0.,-1.)); #34981=DIRECTION('',(1.,0.,0.)); #34982=DIRECTION('',(0.,0.,1.)); #34983=DIRECTION('',(1.,0.,0.)); #34984=DIRECTION('',(1.,0.,0.)); #34985=DIRECTION('',(0.,1.,0.)); #34986=DIRECTION('',(0.,0.,1.)); #34987=DIRECTION('',(0.,0.,1.)); #34988=DIRECTION('',(1.,0.,0.)); #34989=DIRECTION('',(0.,-0.843946350398925,0.536427588448184)); #34990=DIRECTION('',(0.,-0.536427588448184,-0.843946350398926)); #34991=DIRECTION('',(1.,0.,0.)); #34992=DIRECTION('',(0.,0.,-1.)); #34993=DIRECTION('',(1.,0.,0.)); #34994=DIRECTION('',(0.,0.,-1.)); #34995=DIRECTION('',(1.,0.,0.)); #34996=DIRECTION('',(1.,0.,0.)); #34997=DIRECTION('',(1.,0.,0.)); #34998=DIRECTION('',(1.,0.,0.)); #34999=DIRECTION('',(1.,0.,0.)); #35000=DIRECTION('',(1.,0.,0.)); #35001=DIRECTION('',(0.,0.,-1.)); #35002=DIRECTION('',(1.,0.,0.)); #35003=DIRECTION('',(0.,0.,-1.)); #35004=DIRECTION('',(1.,0.,0.)); #35005=DIRECTION('',(1.,0.,0.)); #35006=DIRECTION('',(0.,1.,0.)); #35007=DIRECTION('',(0.,0.,1.)); #35008=DIRECTION('',(1.,0.,0.)); #35009=DIRECTION('',(0.,0.,-1.)); #35010=DIRECTION('',(-1.,0.,0.)); #35011=DIRECTION('',(1.,0.,0.)); #35012=DIRECTION('',(0.,-1.,0.)); #35013=DIRECTION('',(0.,0.,-1.)); #35014=DIRECTION('',(1.,0.,0.)); #35015=DIRECTION('',(0.,0.,1.)); #35016=DIRECTION('',(1.,0.,0.)); #35017=DIRECTION('',(1.,0.,0.)); #35018=DIRECTION('',(0.,-1.,0.)); #35019=DIRECTION('',(0.,0.,-1.)); #35020=DIRECTION('',(1.,0.,0.)); #35021=DIRECTION('',(0.,0.,-1.)); #35022=DIRECTION('',(-1.,0.,0.)); #35023=DIRECTION('',(1.,0.,0.)); #35024=DIRECTION('',(0.,-1.,0.)); #35025=DIRECTION('',(0.,0.,-1.)); #35026=DIRECTION('',(1.,0.,0.)); #35027=DIRECTION('',(0.,0.,1.)); #35028=DIRECTION('',(1.,0.,0.)); #35029=DIRECTION('',(1.,0.,0.)); #35030=DIRECTION('',(0.,-1.,0.)); #35031=DIRECTION('',(0.,0.,-1.)); #35032=DIRECTION('',(0.,0.,1.)); #35033=DIRECTION('',(1.,0.,0.)); #35034=DIRECTION('',(1.,0.,0.)); #35035=DIRECTION('',(0.,0.,-1.)); #35036=DIRECTION('',(1.,0.,0.)); #35037=DIRECTION('',(1.,0.,0.)); #35038=DIRECTION('',(0.,0.,-1.)); #35039=DIRECTION('',(-1.,0.,0.)); #35040=DIRECTION('',(1.,0.,0.)); #35041=DIRECTION('',(0.,1.,0.)); #35042=DIRECTION('',(0.,0.,1.)); #35043=DIRECTION('',(1.,0.,0.)); #35044=DIRECTION('',(1.,0.,0.)); #35045=DIRECTION('',(0.,0.845019685328736,-0.534735197464057)); #35046=DIRECTION('',(0.,0.534735197464057,0.845019685328736)); #35047=DIRECTION('',(1.,0.,0.)); #35048=DIRECTION('',(0.,0.,-1.)); #35049=DIRECTION('',(-1.,0.,0.)); #35050=DIRECTION('',(1.,0.,0.)); #35051=DIRECTION('',(0.,-1.,0.)); #35052=DIRECTION('',(0.,0.,-1.)); #35053=DIRECTION('',(1.,0.,0.)); #35054=DIRECTION('',(0.,0.,-1.)); #35055=DIRECTION('',(-1.,0.,0.)); #35056=DIRECTION('',(1.,0.,0.)); #35057=DIRECTION('',(0.,-1.,0.)); #35058=DIRECTION('',(0.,0.,-1.)); #35059=DIRECTION('',(1.,0.,0.)); #35060=DIRECTION('',(0.,0.,1.)); #35061=DIRECTION('',(1.,0.,0.)); #35062=DIRECTION('',(1.,0.,0.)); #35063=DIRECTION('',(0.,-1.,0.)); #35064=DIRECTION('',(0.,0.,-1.)); #35065=DIRECTION('',(1.,0.,0.)); #35066=DIRECTION('',(0.,0.,1.)); #35067=DIRECTION('',(1.,0.,0.)); #35068=DIRECTION('',(1.,0.,0.)); #35069=DIRECTION('',(0.,1.,0.)); #35070=DIRECTION('',(0.,0.,1.)); #35071=DIRECTION('',(0.,0.,1.)); #35072=DIRECTION('',(1.,0.,0.)); #35073=DIRECTION('',(0.,-0.843946350398928,0.53642758844818)); #35074=DIRECTION('',(0.,-0.53642758844818,-0.843946350398928)); #35075=DIRECTION('',(1.,0.,0.)); #35076=DIRECTION('',(0.,0.,-1.)); #35077=DIRECTION('',(1.,0.,0.)); #35078=DIRECTION('',(0.,0.,-1.)); #35079=DIRECTION('',(1.,0.,0.)); #35080=DIRECTION('',(1.,0.,0.)); #35081=DIRECTION('',(0.,0.,1.)); #35082=DIRECTION('',(1.,0.,0.)); #35083=DIRECTION('',(1.,0.,0.)); #35084=DIRECTION('',(0.,0.991930097772389,-0.12678596583715)); #35085=DIRECTION('',(0.,0.12678596583715,0.991930097772389)); #35086=DIRECTION('',(1.,0.,0.)); #35087=DIRECTION('',(0.,0.,-1.)); #35088=DIRECTION('',(-1.,0.,0.)); #35089=DIRECTION('',(1.,0.,0.)); #35090=DIRECTION('',(0.,-0.913254804027355,-0.407388835047007)); #35091=DIRECTION('',(0.,0.407388835047007,-0.913254804027355)); #35092=DIRECTION('',(1.,0.,0.)); #35093=DIRECTION('',(0.,0.914865916608234,-0.403757792034501)); #35094=DIRECTION('',(0.,0.403757792034501,0.914865916608234)); #35095=DIRECTION('',(1.,0.,0.)); #35096=DIRECTION('',(0.,0.,-1.)); #35097=DIRECTION('',(-1.,0.,0.)); #35098=DIRECTION('',(1.,0.,0.)); #35099=DIRECTION('',(0.,-0.991757458764654,-0.128129399376862)); #35100=DIRECTION('',(0.,0.128129399376862,-0.991757458764654)); #35101=DIRECTION('',(1.,0.,0.)); #35102=DIRECTION('',(0.,0.,1.)); #35103=DIRECTION('',(1.,0.,0.)); #35104=DIRECTION('',(1.,0.,0.)); #35105=DIRECTION('',(0.,0.992495163097295,0.122283896030812)); #35106=DIRECTION('',(0.,-0.122283896030812,0.992495163097295)); #35107=DIRECTION('',(1.,0.,0.)); #35108=DIRECTION('',(0.,-0.91372286968647,0.406337934989981)); #35109=DIRECTION('',(0.,-0.406337934989981,-0.91372286968647)); #35110=DIRECTION('',(1.,0.,0.)); #35111=DIRECTION('',(0.,0.,1.)); #35112=DIRECTION('',(1.,0.,0.)); #35113=DIRECTION('',(1.,0.,0.)); #35114=DIRECTION('',(0.,0.912789733482148,0.408429801128161)); #35115=DIRECTION('',(0.,-0.408429801128161,0.912789733482148)); #35116=DIRECTION('',(0.,-0.992681044641938,0.120765655749433)); #35117=DIRECTION('',(0.,-0.120765655749433,-0.992681044641938)); #35118=DIRECTION('',(1.,0.,0.)); #35119=DIRECTION('',(0.,0.,-1.)); #35120=DIRECTION('',(1.,0.,0.)); #35121=DIRECTION('',(1.,0.,0.)); #35122=DIRECTION('',(1.,0.,0.)); #35123=DIRECTION('',(1.,0.,0.)); #35124=DIRECTION('',(1.,0.,0.)); #35125=DIRECTION('',(1.,0.,0.)); #35126=DIRECTION('',(1.,0.,0.)); #35127=DIRECTION('',(1.,0.,0.)); #35128=DIRECTION('',(1.,0.,0.)); #35129=DIRECTION('',(0.,0.,-1.)); #35130=DIRECTION('',(1.,0.,0.)); #35131=DIRECTION('',(0.,0.,-1.)); #35132=DIRECTION('',(1.,0.,0.)); #35133=DIRECTION('',(1.,0.,0.)); #35134=DIRECTION('',(0.,0.,1.)); #35135=DIRECTION('',(1.,0.,0.)); #35136=DIRECTION('',(1.,0.,0.)); #35137=DIRECTION('',(0.,1.,0.)); #35138=DIRECTION('',(0.,0.,1.)); #35139=DIRECTION('',(1.,0.,0.)); #35140=DIRECTION('',(0.,0.,-1.)); #35141=DIRECTION('',(-1.,0.,0.)); #35142=DIRECTION('',(1.,0.,0.)); #35143=DIRECTION('',(0.,-1.,0.)); #35144=DIRECTION('',(0.,0.,-1.)); #35145=DIRECTION('',(1.,0.,0.)); #35146=DIRECTION('',(0.,0.,1.)); #35147=DIRECTION('',(1.,0.,0.)); #35148=DIRECTION('',(1.,0.,0.)); #35149=DIRECTION('',(0.,-1.,0.)); #35150=DIRECTION('',(0.,0.,-1.)); #35151=DIRECTION('',(1.,0.,0.)); #35152=DIRECTION('',(0.,0.,1.)); #35153=DIRECTION('',(1.,0.,0.)); #35154=DIRECTION('',(0.,1.,0.)); #35155=DIRECTION('',(0.,0.,1.)); #35156=DIRECTION('',(1.,0.,0.)); #35157=DIRECTION('',(0.,0.,-1.)); #35158=DIRECTION('',(1.,0.,0.)); #35159=DIRECTION('',(1.,0.,0.)); #35160=DIRECTION('',(0.,0.921174693099512,0.389149309125713)); #35161=DIRECTION('',(0.,-0.389149309125713,0.921174693099512)); #35162=DIRECTION('',(1.,0.,0.)); #35163=DIRECTION('',(0.,-0.919496093867586,0.393099139355776)); #35164=DIRECTION('',(0.,-0.393099139355776,-0.919496093867586)); #35165=DIRECTION('',(1.,0.,0.)); #35166=DIRECTION('',(1.,0.,0.)); #35167=DIRECTION('',(0.,0.,-1.)); #35168=DIRECTION('',(-1.,0.,0.)); #35169=DIRECTION('',(1.,0.,0.)); #35170=DIRECTION('',(0.,-0.922077765812635,-0.387004643116823)); #35171=DIRECTION('',(0.,0.387004643116823,-0.922077765812635)); #35172=DIRECTION('',(1.,0.,0.)); #35173=DIRECTION('',(0.,0.,1.)); #35174=DIRECTION('',(1.,0.,0.)); #35175=DIRECTION('',(1.,0.,0.)); #35176=DIRECTION('',(0.,0.918857635129791,0.394589212173481)); #35177=DIRECTION('',(0.,-0.394589212173481,0.918857635129791)); #35178=DIRECTION('',(1.,0.,0.)); #35179=DIRECTION('',(0.,0.,1.)); #35180=DIRECTION('',(1.,0.,0.)); #35181=DIRECTION('',(1.,0.,0.)); #35182=DIRECTION('',(0.,-0.919553481678274,0.3929648767172)); #35183=DIRECTION('',(0.,-0.3929648767172,-0.919553481678274)); #35184=DIRECTION('',(1.,0.,0.)); #35185=DIRECTION('',(0.,0.,1.)); #35186=DIRECTION('',(1.,0.,0.)); #35187=DIRECTION('',(0.,0.92025910725756,-0.391309564807096)); #35188=DIRECTION('',(0.,0.391309564807096,0.92025910725756)); #35189=DIRECTION('',(0.,0.,-1.)); #35190=DIRECTION('',(-1.,0.,0.)); #35191=DIRECTION('',(1.,0.,0.)); #35192=DIRECTION('',(0.,0.,-1.)); #35193=DIRECTION('',(1.,0.,0.)); #35194=DIRECTION('',(0.,0.,-1.)); #35195=DIRECTION('',(0.866025403784435,0.500000000000006,5.30270106023005E-017)); #35196=DIRECTION('',(-0.866025403784439,0.499999999999999,-5.30270106023007E-017)); #35197=DIRECTION('',(-1.1736832802634E-015,-1.,-7.18650001192827E-032)); #35198=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35199=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #35200=DIRECTION('',(0.,1.,0.)); #35201=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35202=DIRECTION('',(0.,1.,0.)); #35203=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35204=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35205=DIRECTION('',(0.,1.,0.)); #35206=DIRECTION('',(1.2159276700772E-016,-1.,7.44516375282489E-033)); #35207=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35208=DIRECTION('',(1.2159276700772E-016,-1.,7.44516375282489E-033)); #35209=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35210=DIRECTION('',(-1.,-1.2159276700772E-016,-6.12303176911189E-017)); #35211=DIRECTION('',(-1.21430643318377E-016,1.,0.)); #35212=DIRECTION('',(-1.1736832802634E-015,-1.,-7.18650001192827E-032)); #35213=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35214=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35215=DIRECTION('',(-1.,1.1736832802634E-015,-6.12303176911189E-017)); #35216=DIRECTION('',(1.17354043149831E-015,1.,0.)); #35217=DIRECTION('',(0.866025403784435,0.500000000000006,5.30270106023005E-017)); #35218=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35219=DIRECTION('',(0.500000000000006,-0.866025403784435,3.06151588455598E-017)); #35220=DIRECTION('',(-0.866025403784435,-0.500000000000006,-5.29090660172926E-017)); #35221=DIRECTION('',(-0.866025403784439,0.499999999999999,-5.30270106023007E-017)); #35222=DIRECTION('',(0.499999999999999,0.866025403784439,3.06151588455594E-017)); #35223=DIRECTION('',(0.866025403784439,-0.499999999999999,5.29090660172926E-017)); #35224=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35225=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35226=DIRECTION('',(0.957826285221151,0.,-0.287347885566346)); #35227=DIRECTION('',(0.,1.,0.)); #35228=DIRECTION('',(1.,0.,6.11490025281825E-017)); #35229=DIRECTION('',(0.957826285221151,0.,-0.287347885566346)); #35230=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35231=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35232=DIRECTION('',(0.,-1.,0.)); #35233=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35234=DIRECTION('',(0.,1.,0.)); #35235=DIRECTION('',(-0.287347885566346,0.,-0.957826285221151)); #35236=DIRECTION('',(0.957826285221151,0.,-0.287347885566346)); #35237=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35238=DIRECTION('',(0.,-1.,0.)); #35239=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35240=DIRECTION('',(0.,-1.,0.)); #35241=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35242=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35243=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35244=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35245=DIRECTION('',(0.,-1.,0.)); #35246=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35247=DIRECTION('',(0.,-1.,0.)); #35248=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35249=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35250=DIRECTION('',(0.,-1.,0.)); #35251=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35252=DIRECTION('',(0.,-1.,0.)); #35253=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35254=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35255=DIRECTION('',(0.,-1.,0.)); #35256=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35257=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35258=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35259=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35260=DIRECTION('',(0.,-1.,0.)); #35261=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35262=DIRECTION('',(0.,1.,0.)); #35263=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35264=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35265=DIRECTION('',(0.,-1.,0.)); #35266=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35267=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35268=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35269=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35270=DIRECTION('',(0.,-1.,0.)); #35271=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35272=DIRECTION('',(0.,-1.,0.)); #35273=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35274=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35275=DIRECTION('',(0.,-1.,0.)); #35276=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35277=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35278=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35279=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35280=DIRECTION('',(0.,-1.,0.)); #35281=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35282=DIRECTION('',(0.,1.,0.)); #35283=DIRECTION('',(0.,-1.,0.)); #35284=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35285=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35286=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35287=DIRECTION('',(0.,-1.,0.)); #35288=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35289=DIRECTION('',(0.,-1.,0.)); #35290=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35291=DIRECTION('',(0.,-1.,0.)); #35292=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35293=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35294=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35295=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35296=DIRECTION('',(0.,-1.,0.)); #35297=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35298=DIRECTION('',(0.,-1.,0.)); #35299=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35300=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35301=DIRECTION('',(0.,-1.,0.)); #35302=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35303=DIRECTION('',(0.,-1.,0.)); #35304=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35305=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35306=DIRECTION('',(0.,-1.,0.)); #35307=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35308=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35309=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35310=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35311=DIRECTION('',(0.,-1.,0.)); #35312=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35313=DIRECTION('',(0.,1.,0.)); #35314=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35315=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35316=DIRECTION('',(0.,-1.,0.)); #35317=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35318=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35319=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35320=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35321=DIRECTION('',(0.,-1.,0.)); #35322=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35323=DIRECTION('',(0.,-1.,0.)); #35324=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35325=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35326=DIRECTION('',(0.,-1.,0.)); #35327=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35328=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35329=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35330=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35331=DIRECTION('',(0.,-1.,0.)); #35332=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35333=DIRECTION('',(0.,1.,0.)); #35334=DIRECTION('',(0.,-1.,0.)); #35335=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35336=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35337=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35338=DIRECTION('',(0.,-1.,0.)); #35339=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35340=DIRECTION('',(0.,-1.,0.)); #35341=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35342=DIRECTION('',(0.,-1.,0.)); #35343=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35344=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35345=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35346=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35347=DIRECTION('',(0.,-1.,0.)); #35348=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35349=DIRECTION('',(0.,-1.,0.)); #35350=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35351=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35352=DIRECTION('',(0.,-1.,0.)); #35353=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35354=DIRECTION('',(0.,-1.,0.)); #35355=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35356=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35357=DIRECTION('',(0.,-1.,0.)); #35358=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35359=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35360=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35361=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35362=DIRECTION('',(0.,-1.,0.)); #35363=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35364=DIRECTION('',(0.,1.,0.)); #35365=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35366=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35367=DIRECTION('',(0.,-1.,0.)); #35368=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35369=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35370=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35371=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35372=DIRECTION('',(0.,-1.,0.)); #35373=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35374=DIRECTION('',(0.,-1.,0.)); #35375=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35376=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35377=DIRECTION('',(0.,-1.,0.)); #35378=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35379=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35380=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35381=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35382=DIRECTION('',(0.,-1.,0.)); #35383=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35384=DIRECTION('',(0.,1.,0.)); #35385=DIRECTION('',(0.,-1.,0.)); #35386=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35387=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35388=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35389=DIRECTION('',(0.,-1.,0.)); #35390=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35391=DIRECTION('',(0.,-1.,0.)); #35392=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35393=DIRECTION('',(0.,-1.,0.)); #35394=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35395=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35396=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35397=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35398=DIRECTION('',(0.,-1.,0.)); #35399=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35400=DIRECTION('',(0.,-1.,0.)); #35401=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35402=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35403=DIRECTION('',(0.,-1.,0.)); #35404=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35405=DIRECTION('',(0.,-1.,0.)); #35406=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35407=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35408=DIRECTION('',(0.,-1.,0.)); #35409=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35410=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35411=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35412=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35413=DIRECTION('',(0.,-1.,0.)); #35414=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35415=DIRECTION('',(0.,1.,0.)); #35416=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35417=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35418=DIRECTION('',(0.,-1.,0.)); #35419=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35420=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35421=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35422=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35423=DIRECTION('',(0.,-1.,0.)); #35424=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35425=DIRECTION('',(0.,-1.,0.)); #35426=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35427=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35428=DIRECTION('',(0.,-1.,0.)); #35429=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35430=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35431=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35432=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35433=DIRECTION('',(0.,-1.,0.)); #35434=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35435=DIRECTION('',(0.,1.,0.)); #35436=DIRECTION('',(0.,-1.,0.)); #35437=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35438=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35439=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35440=DIRECTION('',(0.,-1.,0.)); #35441=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35442=DIRECTION('',(0.,-1.,0.)); #35443=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35444=DIRECTION('',(0.,-1.,0.)); #35445=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35446=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35447=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35448=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35449=DIRECTION('',(0.,-1.,0.)); #35450=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35451=DIRECTION('',(0.,-1.,0.)); #35452=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35453=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35454=DIRECTION('',(0.,-1.,0.)); #35455=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35456=DIRECTION('',(0.,-1.,0.)); #35457=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35458=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35459=DIRECTION('',(0.,-1.,0.)); #35460=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35461=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35462=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35463=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35464=DIRECTION('',(0.,-1.,0.)); #35465=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35466=DIRECTION('',(0.,1.,0.)); #35467=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35468=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35469=DIRECTION('',(0.,-1.,0.)); #35470=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35471=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35472=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35473=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35474=DIRECTION('',(0.,-1.,0.)); #35475=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35476=DIRECTION('',(0.,-1.,0.)); #35477=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35478=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35479=DIRECTION('',(0.,-1.,0.)); #35480=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35481=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35482=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35483=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35484=DIRECTION('',(0.,-1.,0.)); #35485=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35486=DIRECTION('',(0.,1.,0.)); #35487=DIRECTION('',(0.,-1.,0.)); #35488=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35489=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35490=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35491=DIRECTION('',(0.,-1.,0.)); #35492=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35493=DIRECTION('',(0.,-1.,0.)); #35494=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35495=DIRECTION('',(0.,-1.,0.)); #35496=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35497=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35498=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35499=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35500=DIRECTION('',(0.,-1.,0.)); #35501=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35502=DIRECTION('',(0.,-1.,0.)); #35503=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35504=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35505=DIRECTION('',(0.,-1.,0.)); #35506=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35507=DIRECTION('',(0.,-1.,0.)); #35508=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35509=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35510=DIRECTION('',(0.,-1.,0.)); #35511=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35512=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35513=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35514=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35515=DIRECTION('',(0.,-1.,0.)); #35516=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35517=DIRECTION('',(0.,1.,0.)); #35518=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35519=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35520=DIRECTION('',(0.,-1.,0.)); #35521=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35522=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35523=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35524=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35525=DIRECTION('',(0.,-1.,0.)); #35526=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35527=DIRECTION('',(0.,-1.,0.)); #35528=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35529=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35530=DIRECTION('',(0.,-1.,0.)); #35531=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35532=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35533=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35534=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35535=DIRECTION('',(0.,-1.,0.)); #35536=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35537=DIRECTION('',(0.,1.,0.)); #35538=DIRECTION('',(0.,-1.,0.)); #35539=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35540=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35541=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35542=DIRECTION('',(0.,-1.,0.)); #35543=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35544=DIRECTION('',(0.,-1.,0.)); #35545=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35546=DIRECTION('',(0.,-1.,0.)); #35547=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35548=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35549=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35550=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35551=DIRECTION('',(0.,-1.,0.)); #35552=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35553=DIRECTION('',(0.,-1.,0.)); #35554=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35555=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35556=DIRECTION('',(0.,-1.,0.)); #35557=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35558=DIRECTION('',(0.,-1.,0.)); #35559=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35560=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35561=DIRECTION('',(0.,-1.,0.)); #35562=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35563=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35564=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35565=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35566=DIRECTION('',(0.,-1.,0.)); #35567=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35568=DIRECTION('',(0.,1.,0.)); #35569=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35570=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35571=DIRECTION('',(0.,-1.,0.)); #35572=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35573=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35574=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35575=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35576=DIRECTION('',(0.,-1.,0.)); #35577=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35578=DIRECTION('',(0.,-1.,0.)); #35579=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35580=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35581=DIRECTION('',(0.,-1.,0.)); #35582=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35583=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35584=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35585=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35586=DIRECTION('',(0.,-1.,0.)); #35587=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35588=DIRECTION('',(0.,1.,0.)); #35589=DIRECTION('',(0.,-1.,0.)); #35590=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35591=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35592=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35593=DIRECTION('',(0.,-1.,0.)); #35594=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35595=DIRECTION('',(0.,-1.,0.)); #35596=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35597=DIRECTION('',(0.,-1.,0.)); #35598=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35599=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35600=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35601=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35602=DIRECTION('',(0.,-1.,0.)); #35603=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35604=DIRECTION('',(0.,-1.,0.)); #35605=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35606=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35607=DIRECTION('',(0.,-1.,0.)); #35608=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35609=DIRECTION('',(0.,-1.,0.)); #35610=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35611=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35612=DIRECTION('',(0.,-1.,0.)); #35613=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35614=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35615=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35616=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35617=DIRECTION('',(0.,-1.,0.)); #35618=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35619=DIRECTION('',(0.,1.,0.)); #35620=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35621=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35622=DIRECTION('',(0.,-1.,0.)); #35623=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35624=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35625=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35626=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35627=DIRECTION('',(0.,-1.,0.)); #35628=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35629=DIRECTION('',(0.,-1.,0.)); #35630=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35631=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35632=DIRECTION('',(0.,-1.,0.)); #35633=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35634=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35635=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35636=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35637=DIRECTION('',(0.,-1.,0.)); #35638=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35639=DIRECTION('',(0.,1.,0.)); #35640=DIRECTION('',(0.,-1.,0.)); #35641=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35642=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35643=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35644=DIRECTION('',(0.,-1.,0.)); #35645=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35646=DIRECTION('',(0.,-1.,0.)); #35647=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35648=DIRECTION('',(0.,-1.,0.)); #35649=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35650=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35651=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35652=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35653=DIRECTION('',(0.,-1.,0.)); #35654=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35655=DIRECTION('',(0.,-1.,0.)); #35656=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35657=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35658=DIRECTION('',(0.,-1.,0.)); #35659=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35660=DIRECTION('',(0.,-1.,0.)); #35661=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35662=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35663=DIRECTION('',(0.,-1.,0.)); #35664=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35665=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35666=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35667=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35668=DIRECTION('',(0.,-1.,0.)); #35669=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35670=DIRECTION('',(0.,1.,0.)); #35671=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35672=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35673=DIRECTION('',(0.,-1.,0.)); #35674=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35675=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35676=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35677=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35678=DIRECTION('',(0.,-1.,0.)); #35679=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35680=DIRECTION('',(0.,-1.,0.)); #35681=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35682=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35683=DIRECTION('',(0.,-1.,0.)); #35684=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35685=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35686=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35687=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35688=DIRECTION('',(0.,-1.,0.)); #35689=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35690=DIRECTION('',(0.,1.,0.)); #35691=DIRECTION('',(0.,-1.,0.)); #35692=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35693=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35694=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35695=DIRECTION('',(0.,-1.,0.)); #35696=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35697=DIRECTION('',(0.,-1.,0.)); #35698=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35699=DIRECTION('',(0.,-1.,0.)); #35700=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35701=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35702=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35703=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35704=DIRECTION('',(0.,-1.,0.)); #35705=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35706=DIRECTION('',(0.,-1.,0.)); #35707=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35708=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35709=DIRECTION('',(0.,-1.,0.)); #35710=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35711=DIRECTION('',(0.,-1.,0.)); #35712=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35713=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35714=DIRECTION('',(0.,-1.,0.)); #35715=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35716=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35717=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35718=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35719=DIRECTION('',(0.,-1.,0.)); #35720=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35721=DIRECTION('',(0.,1.,0.)); #35722=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35723=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35724=DIRECTION('',(0.,-1.,0.)); #35725=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35726=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35727=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35728=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35729=DIRECTION('',(0.,-1.,0.)); #35730=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35731=DIRECTION('',(0.,-1.,0.)); #35732=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35733=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35734=DIRECTION('',(0.,-1.,0.)); #35735=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35736=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35737=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35738=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35739=DIRECTION('',(0.,-1.,0.)); #35740=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35741=DIRECTION('',(0.,1.,0.)); #35742=DIRECTION('',(0.,-1.,0.)); #35743=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35744=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35745=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35746=DIRECTION('',(0.,-1.,0.)); #35747=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35748=DIRECTION('',(0.,-1.,0.)); #35749=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35750=DIRECTION('',(0.,-1.,0.)); #35751=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35752=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35753=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35754=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35755=DIRECTION('',(0.,-1.,0.)); #35756=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35757=DIRECTION('',(0.,-1.,0.)); #35758=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35759=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35760=DIRECTION('',(0.,-1.,0.)); #35761=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35762=DIRECTION('',(0.,-1.,0.)); #35763=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35764=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35765=DIRECTION('',(0.,-1.,0.)); #35766=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35767=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35768=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35769=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35770=DIRECTION('',(0.,-1.,0.)); #35771=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35772=DIRECTION('',(0.,1.,0.)); #35773=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35774=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35775=DIRECTION('',(0.,-1.,0.)); #35776=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35777=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35778=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35779=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35780=DIRECTION('',(0.,-1.,0.)); #35781=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35782=DIRECTION('',(0.,-1.,0.)); #35783=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35784=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35785=DIRECTION('',(0.,-1.,0.)); #35786=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35787=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35788=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35789=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35790=DIRECTION('',(0.,-1.,0.)); #35791=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35792=DIRECTION('',(0.,1.,0.)); #35793=DIRECTION('',(0.,-1.,0.)); #35794=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35795=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35796=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35797=DIRECTION('',(0.,-1.,0.)); #35798=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35799=DIRECTION('',(0.,-1.,0.)); #35800=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35801=DIRECTION('',(0.,-1.,0.)); #35802=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35803=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35804=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35805=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35806=DIRECTION('',(0.,-1.,0.)); #35807=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35808=DIRECTION('',(0.,-1.,0.)); #35809=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35810=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35811=DIRECTION('',(0.,-1.,0.)); #35812=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35813=DIRECTION('',(0.,-1.,0.)); #35814=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35815=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35816=DIRECTION('',(0.,-1.,0.)); #35817=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35818=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35819=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35820=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35821=DIRECTION('',(0.,-1.,0.)); #35822=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35823=DIRECTION('',(0.,1.,0.)); #35824=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35825=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35826=DIRECTION('',(0.,-1.,0.)); #35827=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35828=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35829=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35830=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35831=DIRECTION('',(0.,-1.,0.)); #35832=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35833=DIRECTION('',(0.,-1.,0.)); #35834=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35835=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35836=DIRECTION('',(0.,-1.,0.)); #35837=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35838=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35839=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35840=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35841=DIRECTION('',(0.,-1.,0.)); #35842=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35843=DIRECTION('',(0.,1.,0.)); #35844=DIRECTION('',(0.,-1.,0.)); #35845=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35846=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35847=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35848=DIRECTION('',(0.,-1.,0.)); #35849=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35850=DIRECTION('',(0.,-1.,0.)); #35851=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35852=DIRECTION('',(0.,-1.,0.)); #35853=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35854=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35855=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35856=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35857=DIRECTION('',(0.,-1.,0.)); #35858=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35859=DIRECTION('',(0.,-1.,0.)); #35860=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35861=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35862=DIRECTION('',(0.,-1.,0.)); #35863=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35864=DIRECTION('',(0.,-1.,0.)); #35865=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35866=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35867=DIRECTION('',(0.,-1.,0.)); #35868=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35869=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35870=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35871=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35872=DIRECTION('',(0.,-1.,0.)); #35873=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35874=DIRECTION('',(0.,1.,0.)); #35875=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35876=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35877=DIRECTION('',(0.,-1.,0.)); #35878=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35879=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35880=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35881=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35882=DIRECTION('',(0.,-1.,0.)); #35883=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35884=DIRECTION('',(0.,-1.,0.)); #35885=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35886=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35887=DIRECTION('',(0.,-1.,0.)); #35888=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35889=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35890=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35891=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35892=DIRECTION('',(0.,-1.,0.)); #35893=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35894=DIRECTION('',(0.,1.,0.)); #35895=DIRECTION('',(0.,-1.,0.)); #35896=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35897=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35898=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35899=DIRECTION('',(0.,-1.,0.)); #35900=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35901=DIRECTION('',(0.,-1.,0.)); #35902=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35903=DIRECTION('',(0.,-1.,0.)); #35904=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35905=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35906=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35907=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35908=DIRECTION('',(0.,-1.,0.)); #35909=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35910=DIRECTION('',(0.,-1.,0.)); #35911=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35912=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35913=DIRECTION('',(0.,-1.,0.)); #35914=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35915=DIRECTION('',(0.,-1.,0.)); #35916=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35917=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35918=DIRECTION('',(0.,-1.,0.)); #35919=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35920=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35921=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35922=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35923=DIRECTION('',(0.,-1.,0.)); #35924=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35925=DIRECTION('',(0.,1.,0.)); #35926=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35927=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35928=DIRECTION('',(0.,-1.,0.)); #35929=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35930=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35931=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35932=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35933=DIRECTION('',(0.,-1.,0.)); #35934=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35935=DIRECTION('',(0.,-1.,0.)); #35936=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35937=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35938=DIRECTION('',(0.,-1.,0.)); #35939=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35940=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35941=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35942=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35943=DIRECTION('',(0.,-1.,0.)); #35944=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35945=DIRECTION('',(0.,1.,0.)); #35946=DIRECTION('',(0.,-1.,0.)); #35947=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35948=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35949=DIRECTION('',(1.,0.,6.12303176911189E-017)); #35950=DIRECTION('',(0.,-1.,0.)); #35951=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35952=DIRECTION('',(0.,-1.,0.)); #35953=DIRECTION('',(1.,0.,4.78231153262467E-016)); #35954=DIRECTION('',(0.,-1.,0.)); #35955=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #35956=DIRECTION('',(1.,0.,4.78349998500605E-016)); #35957=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35958=DIRECTION('',(1.67349315828569E-015,0.,1.)); #35959=DIRECTION('',(0.,-1.,0.)); #35960=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #35961=DIRECTION('',(0.,-1.,0.)); #35962=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35963=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #35964=DIRECTION('',(0.,-1.,0.)); #35965=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #35966=DIRECTION('',(0.,-1.,0.)); #35967=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35968=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #35969=DIRECTION('',(0.,-1.,0.)); #35970=DIRECTION('',(6.12303176911187E-017,0.,1.)); #35971=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35972=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35973=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #35974=DIRECTION('',(0.,-1.,0.)); #35975=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #35976=DIRECTION('',(0.,1.,0.)); #35977=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35978=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35979=DIRECTION('',(0.,-1.,0.)); #35980=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35981=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #35982=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35983=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #35984=DIRECTION('',(0.,-1.,0.)); #35985=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #35986=DIRECTION('',(0.,-1.,0.)); #35987=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35988=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #35989=DIRECTION('',(0.,-1.,0.)); #35990=DIRECTION('',(6.12303176911185E-017,0.,1.)); #35991=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #35992=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35993=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #35994=DIRECTION('',(0.,-1.,0.)); #35995=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #35996=DIRECTION('',(0.,1.,0.)); #35997=DIRECTION('',(0.,-1.,0.)); #35998=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #35999=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #36000=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36001=DIRECTION('',(0.,-1.,0.)); #36002=DIRECTION('',(1.,0.,4.78231153262467E-016)); #36003=DIRECTION('',(0.,-1.,0.)); #36004=DIRECTION('',(1.,0.,4.78231153262467E-016)); #36005=DIRECTION('',(0.,-1.,0.)); #36006=DIRECTION('',(4.78231153262467E-016,0.,-1.)); #36007=DIRECTION('',(1.,0.,4.78349998500605E-016)); #36008=DIRECTION('',(1.67349315828569E-015,0.,1.)); #36009=DIRECTION('',(1.67349315828569E-015,0.,1.)); #36010=DIRECTION('',(0.,-1.,0.)); #36011=DIRECTION('',(1.,0.,-1.67349315828569E-015)); #36012=DIRECTION('',(0.,-1.,0.)); #36013=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #36014=DIRECTION('',(-0.447213595499959,0.,0.894427190999915)); #36015=DIRECTION('',(0.,-1.,0.)); #36016=DIRECTION('',(0.894427190999916,0.,0.447213595499959)); #36017=DIRECTION('',(0.,-1.,0.)); #36018=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #36019=DIRECTION('',(-1.,0.,6.12303176911187E-017)); #36020=DIRECTION('',(0.,-1.,0.)); #36021=DIRECTION('',(6.12303176911187E-017,0.,1.)); #36022=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #36023=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #36024=DIRECTION('',(-0.371390676354104,0.,-0.928476690885259)); #36025=DIRECTION('',(0.,-1.,0.)); #36026=DIRECTION('',(-0.928476690885259,0.,0.371390676354104)); #36027=DIRECTION('',(0.,1.,0.)); #36028=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36029=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36030=DIRECTION('',(0.,-1.,0.)); #36031=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #36032=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36033=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #36034=DIRECTION('',(-0.707106781186545,0.,0.70710678118655)); #36035=DIRECTION('',(0.,-1.,0.)); #36036=DIRECTION('',(0.70710678118655,0.,0.707106781186545)); #36037=DIRECTION('',(0.,-1.,0.)); #36038=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #36039=DIRECTION('',(-1.,0.,6.12303176911185E-017)); #36040=DIRECTION('',(0.,-1.,0.)); #36041=DIRECTION('',(6.12303176911185E-017,0.,1.)); #36042=DIRECTION('',(-1.,0.,6.11490025281825E-017)); #36043=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36044=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36045=DIRECTION('',(0.,-1.,0.)); #36046=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36047=DIRECTION('',(0.,1.,0.)); #36048=DIRECTION('',(0.,-1.,0.)); #36049=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #36050=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #36051=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36052=DIRECTION('',(0.,-1.,0.)); #36053=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36054=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36055=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #36056=DIRECTION('',(0.,-1.,0.)); #36057=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #36058=DIRECTION('',(-1.,0.,1.22267983222018E-016)); #36059=DIRECTION('',(-3.47940465459123E-015,0.,1.)); #36060=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36061=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #36062=DIRECTION('',(0.,1.,0.)); #36063=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36064=DIRECTION('',(0.,-1.,0.)); #36065=DIRECTION('',(1.,0.,-1.22267983222018E-016)); #36066=DIRECTION('',(0.,-1.,0.)); #36067=DIRECTION('',(1.22267983222018E-016,0.,1.)); #36068=DIRECTION('',(-1.,0.,1.22298005056365E-016)); #36069=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36070=DIRECTION('',(3.47940465459123E-015,0.,-1.)); #36071=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #36072=DIRECTION('',(0.,-1.,0.)); #36073=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36074=DIRECTION('',(0.,-1.,0.)); #36075=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36076=DIRECTION('',(0.,-1.,0.)); #36077=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36078=DIRECTION('',(0.,-1.,0.)); #36079=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36080=DIRECTION('',(0.,-1.,0.)); #36081=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36082=DIRECTION('',(0.,-1.,0.)); #36083=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36084=DIRECTION('',(0.,-1.,0.)); #36085=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36086=DIRECTION('',(0.,-1.,0.)); #36087=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36088=DIRECTION('',(0.,-1.,0.)); #36089=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36090=DIRECTION('',(0.,-1.,0.)); #36091=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36092=DIRECTION('',(0.,-1.,0.)); #36093=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36094=DIRECTION('',(0.,-1.,0.)); #36095=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36096=DIRECTION('',(0.,-1.,0.)); #36097=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36098=DIRECTION('',(0.,-1.,0.)); #36099=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36100=DIRECTION('',(0.,-1.,0.)); #36101=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36102=DIRECTION('',(0.,-1.,0.)); #36103=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36104=DIRECTION('',(0.,-1.,0.)); #36105=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36106=DIRECTION('',(0.,-1.,0.)); #36107=DIRECTION('',(-1.,-1.70410267435633E-015,-6.12303176911189E-017)); #36108=DIRECTION('',(0.,-1.,0.)); #36109=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36110=DIRECTION('',(0.,-1.,0.)); #36111=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36112=DIRECTION('',(0.,-1.,0.)); #36113=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36114=DIRECTION('',(0.,-1.,0.)); #36115=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36116=DIRECTION('',(0.,-1.,0.)); #36117=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36118=DIRECTION('',(0.,-1.,0.)); #36119=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36120=DIRECTION('',(0.,-1.,0.)); #36121=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36122=DIRECTION('',(0.,-1.,0.)); #36123=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36124=DIRECTION('',(0.,-1.,0.)); #36125=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36126=DIRECTION('',(0.,-1.,0.)); #36127=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36128=DIRECTION('',(0.,-1.,0.)); #36129=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36130=DIRECTION('',(0.,-1.,0.)); #36131=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36132=DIRECTION('',(0.,-1.,0.)); #36133=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36134=DIRECTION('',(0.,-1.,0.)); #36135=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36136=DIRECTION('',(0.,-1.,0.)); #36137=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36138=DIRECTION('',(0.,-1.,0.)); #36139=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36140=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36141=DIRECTION('',(1.,0.,6.15826833971767E-017)); #36142=DIRECTION('',(-1.,-1.70410267435633E-015,-6.12303176911189E-017)); #36143=DIRECTION('',(0.258819045102524,4.41054226933561E-016,-0.965925826289068)); #36144=DIRECTION('',(-1.70410267435633E-015,1.,-1.04342748129123E-031)); #36145=DIRECTION('',(1.,1.70349845340922E-015,6.15826833971767E-017)); #36146=DIRECTION('',(0.,-1.,0.)); #36147=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36148=DIRECTION('',(0.,-1.,0.)); #36149=DIRECTION('',(0.,-1.,0.)); #36150=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36151=DIRECTION('',(0.,-1.,0.)); #36152=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36153=DIRECTION('',(1.,0.,6.15826833971767E-017)); #36154=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #36155=DIRECTION('',(0.,1.,0.)); #36156=DIRECTION('',(0.,-1.,0.)); #36157=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #36158=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36159=DIRECTION('',(0.,-1.,0.)); #36160=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36161=DIRECTION('',(0.,-1.,0.)); #36162=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36163=DIRECTION('',(0.,-1.,0.)); #36164=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36165=DIRECTION('',(0.,1.,0.)); #36166=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36167=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36168=DIRECTION('',(0.,-1.,0.)); #36169=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36170=DIRECTION('',(0.,-1.,0.)); #36171=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36172=DIRECTION('',(0.,-1.,0.)); #36173=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36174=DIRECTION('',(0.,-1.,0.)); #36175=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36176=DIRECTION('',(0.,1.,0.)); #36177=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36178=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36179=DIRECTION('',(0.,-1.,0.)); #36180=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36181=DIRECTION('',(0.,-1.,0.)); #36182=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36183=DIRECTION('',(0.,-1.,0.)); #36184=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36185=DIRECTION('',(0.,-1.,0.)); #36186=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36187=DIRECTION('',(0.,1.,0.)); #36188=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36189=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36190=DIRECTION('',(0.,-1.,0.)); #36191=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36192=DIRECTION('',(0.,-1.,0.)); #36193=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36194=DIRECTION('',(0.,-1.,0.)); #36195=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36196=DIRECTION('',(0.,-1.,0.)); #36197=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36198=DIRECTION('',(0.,1.,0.)); #36199=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36200=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36201=DIRECTION('',(0.,-1.,0.)); #36202=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36203=DIRECTION('',(0.,-1.,0.)); #36204=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36205=DIRECTION('',(0.,-1.,0.)); #36206=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36207=DIRECTION('',(0.,-1.,0.)); #36208=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36209=DIRECTION('',(0.,1.,0.)); #36210=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36211=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36212=DIRECTION('',(0.,-1.,0.)); #36213=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36214=DIRECTION('',(0.,-1.,0.)); #36215=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36216=DIRECTION('',(0.,-1.,0.)); #36217=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36218=DIRECTION('',(0.,-1.,0.)); #36219=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36220=DIRECTION('',(0.,1.,0.)); #36221=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36222=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36223=DIRECTION('',(0.,-1.,0.)); #36224=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36225=DIRECTION('',(0.,-1.,0.)); #36226=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36227=DIRECTION('',(0.,-1.,0.)); #36228=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36229=DIRECTION('',(0.,-1.,0.)); #36230=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36231=DIRECTION('',(0.,1.,0.)); #36232=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36233=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36234=DIRECTION('',(0.,-1.,0.)); #36235=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36236=DIRECTION('',(0.,-1.,0.)); #36237=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36238=DIRECTION('',(0.,-1.,0.)); #36239=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36240=DIRECTION('',(0.,-1.,0.)); #36241=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36242=DIRECTION('',(0.,1.,0.)); #36243=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36244=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36245=DIRECTION('',(0.,-1.,0.)); #36246=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36247=DIRECTION('',(0.,-1.,0.)); #36248=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36249=DIRECTION('',(0.,-1.,0.)); #36250=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36251=DIRECTION('',(0.,-1.,0.)); #36252=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36253=DIRECTION('',(0.,1.,0.)); #36254=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36255=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36256=DIRECTION('',(0.,-1.,0.)); #36257=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36258=DIRECTION('',(0.,-1.,0.)); #36259=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36260=DIRECTION('',(0.,-1.,0.)); #36261=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36262=DIRECTION('',(0.,-1.,0.)); #36263=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36264=DIRECTION('',(0.,1.,0.)); #36265=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36266=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36267=DIRECTION('',(0.,-1.,0.)); #36268=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36269=DIRECTION('',(0.,-1.,0.)); #36270=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36271=DIRECTION('',(0.,-1.,0.)); #36272=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36273=DIRECTION('',(0.,-1.,0.)); #36274=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36275=DIRECTION('',(0.,1.,0.)); #36276=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36277=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36278=DIRECTION('',(0.,-1.,0.)); #36279=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36280=DIRECTION('',(0.,-1.,0.)); #36281=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36282=DIRECTION('',(0.,-1.,0.)); #36283=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36284=DIRECTION('',(0.,-1.,0.)); #36285=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36286=DIRECTION('',(0.,1.,0.)); #36287=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36288=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36289=DIRECTION('',(0.,-1.,0.)); #36290=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36291=DIRECTION('',(0.,-1.,0.)); #36292=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36293=DIRECTION('',(0.,-1.,0.)); #36294=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36295=DIRECTION('',(0.,-1.,0.)); #36296=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36297=DIRECTION('',(0.,1.,0.)); #36298=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36299=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36300=DIRECTION('',(0.,-1.,0.)); #36301=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36302=DIRECTION('',(0.,-1.,0.)); #36303=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36304=DIRECTION('',(0.,-1.,0.)); #36305=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36306=DIRECTION('',(0.,-1.,0.)); #36307=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36308=DIRECTION('',(0.,1.,0.)); #36309=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36310=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36311=DIRECTION('',(0.,-1.,0.)); #36312=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36313=DIRECTION('',(0.,-1.,0.)); #36314=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36315=DIRECTION('',(0.,-1.,0.)); #36316=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36317=DIRECTION('',(0.,-1.,0.)); #36318=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36319=DIRECTION('',(0.,1.,0.)); #36320=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36321=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36322=DIRECTION('',(0.,-1.,0.)); #36323=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36324=DIRECTION('',(0.,-1.,0.)); #36325=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36326=DIRECTION('',(0.,-1.,0.)); #36327=DIRECTION('',(0.848048096156424,0.,-0.529919264233209)); #36328=DIRECTION('',(0.,-1.,0.)); #36329=DIRECTION('',(-0.529919264233209,0.,-0.848048096156424)); #36330=DIRECTION('',(0.,1.,0.)); #36331=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36332=DIRECTION('',(0.66913060635886,0.,0.743144825477393)); #36333=DIRECTION('',(0.,-1.,0.)); #36334=DIRECTION('',(0.743144825477393,0.,-0.66913060635886)); #36335=DIRECTION('',(0.,-1.,0.)); #36336=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36337=DIRECTION('',(0.,-1.,0.)); #36338=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36339=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36340=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36341=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36342=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36343=DIRECTION('',(0.,-1.,0.)); #36344=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36345=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36346=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36347=DIRECTION('',(0.,-1.,0.)); #36348=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36349=DIRECTION('',(0.,1.,0.)); #36350=DIRECTION('',(0.,1.,0.)); #36351=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36352=DIRECTION('',(0.,1.,0.)); #36353=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36354=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36355=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36356=DIRECTION('',(0.,1.,0.)); #36357=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36358=DIRECTION('',(0.,1.,0.)); #36359=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36360=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36361=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36362=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36363=DIRECTION('',(0.,-1.,0.)); #36364=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36365=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36366=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36367=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36368=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36369=DIRECTION('',(0.,-1.,0.)); #36370=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36371=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36372=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36373=DIRECTION('',(0.,-1.,0.)); #36374=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36375=DIRECTION('',(0.,1.,0.)); #36376=DIRECTION('',(0.,1.,0.)); #36377=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36378=DIRECTION('',(0.,1.,0.)); #36379=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36380=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36381=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36382=DIRECTION('',(0.,1.,0.)); #36383=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36384=DIRECTION('',(0.,1.,0.)); #36385=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36386=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36387=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36388=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36389=DIRECTION('',(0.,-1.,0.)); #36390=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36391=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36392=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36393=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36394=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36395=DIRECTION('',(0.,-1.,0.)); #36396=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36397=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36398=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36399=DIRECTION('',(0.,-1.,0.)); #36400=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36401=DIRECTION('',(0.,1.,0.)); #36402=DIRECTION('',(0.,1.,0.)); #36403=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36404=DIRECTION('',(0.,1.,0.)); #36405=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36406=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36407=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36408=DIRECTION('',(0.,1.,0.)); #36409=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36410=DIRECTION('',(0.,1.,0.)); #36411=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36412=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36413=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36414=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36415=DIRECTION('',(0.,-1.,0.)); #36416=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36417=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36418=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36419=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36420=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36421=DIRECTION('',(0.,-1.,0.)); #36422=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36423=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36424=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36425=DIRECTION('',(0.,-1.,0.)); #36426=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36427=DIRECTION('',(0.,1.,0.)); #36428=DIRECTION('',(0.,1.,0.)); #36429=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36430=DIRECTION('',(0.,1.,0.)); #36431=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36432=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36433=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36434=DIRECTION('',(0.,1.,0.)); #36435=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36436=DIRECTION('',(0.,1.,0.)); #36437=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36438=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36439=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36440=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36441=DIRECTION('',(0.,-1.,0.)); #36442=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36443=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36444=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36445=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36446=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36447=DIRECTION('',(0.,-1.,0.)); #36448=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36449=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36450=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36451=DIRECTION('',(0.,-1.,0.)); #36452=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36453=DIRECTION('',(0.,1.,0.)); #36454=DIRECTION('',(0.,1.,0.)); #36455=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36456=DIRECTION('',(0.,1.,0.)); #36457=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36458=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36459=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36460=DIRECTION('',(0.,1.,0.)); #36461=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36462=DIRECTION('',(0.,1.,0.)); #36463=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36464=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36465=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36466=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36467=DIRECTION('',(0.,-1.,0.)); #36468=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36469=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36470=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36471=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36472=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36473=DIRECTION('',(0.,-1.,0.)); #36474=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36475=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36476=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36477=DIRECTION('',(0.,-1.,0.)); #36478=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36479=DIRECTION('',(0.,1.,0.)); #36480=DIRECTION('',(0.,1.,0.)); #36481=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36482=DIRECTION('',(0.,1.,0.)); #36483=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36484=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36485=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36486=DIRECTION('',(0.,1.,0.)); #36487=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36488=DIRECTION('',(0.,1.,0.)); #36489=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36490=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36491=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36492=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36493=DIRECTION('',(0.,-1.,0.)); #36494=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36495=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36496=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36497=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36498=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36499=DIRECTION('',(0.,-1.,0.)); #36500=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36501=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36502=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36503=DIRECTION('',(0.,-1.,0.)); #36504=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36505=DIRECTION('',(0.,1.,0.)); #36506=DIRECTION('',(0.,1.,0.)); #36507=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36508=DIRECTION('',(0.,1.,0.)); #36509=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36510=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36511=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36512=DIRECTION('',(0.,1.,0.)); #36513=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36514=DIRECTION('',(0.,1.,0.)); #36515=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36516=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36517=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36518=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36519=DIRECTION('',(0.,-1.,0.)); #36520=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36521=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36522=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36523=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36524=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36525=DIRECTION('',(0.,-1.,0.)); #36526=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36527=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36528=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36529=DIRECTION('',(0.,-1.,0.)); #36530=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36531=DIRECTION('',(0.,1.,0.)); #36532=DIRECTION('',(0.,1.,0.)); #36533=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36534=DIRECTION('',(0.,1.,0.)); #36535=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36536=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36537=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36538=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36539=DIRECTION('',(0.,-1.,0.)); #36540=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36541=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36542=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36543=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36544=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36545=DIRECTION('',(0.,-1.,0.)); #36546=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36547=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36548=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36549=DIRECTION('',(0.,-1.,0.)); #36550=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36551=DIRECTION('',(0.,1.,0.)); #36552=DIRECTION('',(0.,1.,0.)); #36553=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36554=DIRECTION('',(0.,1.,0.)); #36555=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36556=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36557=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36558=DIRECTION('',(0.,1.,0.)); #36559=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36560=DIRECTION('',(0.,1.,0.)); #36561=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36562=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36563=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36564=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36565=DIRECTION('',(0.,-1.,0.)); #36566=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36567=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36568=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36569=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36570=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36571=DIRECTION('',(0.,-1.,0.)); #36572=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36573=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36574=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36575=DIRECTION('',(0.,-1.,0.)); #36576=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36577=DIRECTION('',(0.,1.,0.)); #36578=DIRECTION('',(0.,1.,0.)); #36579=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36580=DIRECTION('',(0.,1.,0.)); #36581=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36582=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36583=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36584=DIRECTION('',(0.,1.,0.)); #36585=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36586=DIRECTION('',(0.,1.,0.)); #36587=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36588=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36589=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36590=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36591=DIRECTION('',(0.,-1.,0.)); #36592=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36593=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36594=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36595=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36596=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36597=DIRECTION('',(0.,-1.,0.)); #36598=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36599=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36600=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36601=DIRECTION('',(0.,-1.,0.)); #36602=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36603=DIRECTION('',(0.,1.,0.)); #36604=DIRECTION('',(0.,1.,0.)); #36605=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36606=DIRECTION('',(0.,1.,0.)); #36607=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36608=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36609=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36610=DIRECTION('',(0.,1.,0.)); #36611=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36612=DIRECTION('',(0.,1.,0.)); #36613=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36614=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36615=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36616=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36617=DIRECTION('',(0.,-1.,0.)); #36618=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36619=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36620=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36621=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36622=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36623=DIRECTION('',(0.,-1.,0.)); #36624=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36625=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36626=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36627=DIRECTION('',(0.,-1.,0.)); #36628=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36629=DIRECTION('',(0.,1.,0.)); #36630=DIRECTION('',(0.,1.,0.)); #36631=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36632=DIRECTION('',(0.,1.,0.)); #36633=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36634=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36635=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36636=DIRECTION('',(0.,1.,0.)); #36637=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36638=DIRECTION('',(0.,1.,0.)); #36639=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36640=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36641=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36642=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36643=DIRECTION('',(0.,-1.,0.)); #36644=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36645=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36646=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36647=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36648=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36649=DIRECTION('',(0.,-1.,0.)); #36650=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36651=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36652=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36653=DIRECTION('',(0.,-1.,0.)); #36654=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36655=DIRECTION('',(0.,1.,0.)); #36656=DIRECTION('',(0.,1.,0.)); #36657=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36658=DIRECTION('',(0.,1.,0.)); #36659=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36660=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36661=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36662=DIRECTION('',(0.,1.,0.)); #36663=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36664=DIRECTION('',(0.,1.,0.)); #36665=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36666=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36667=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36668=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36669=DIRECTION('',(0.,-1.,0.)); #36670=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36671=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36672=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36673=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36674=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36675=DIRECTION('',(0.,-1.,0.)); #36676=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36677=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36678=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36679=DIRECTION('',(0.,-1.,0.)); #36680=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36681=DIRECTION('',(0.,1.,0.)); #36682=DIRECTION('',(0.,1.,0.)); #36683=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36684=DIRECTION('',(0.,1.,0.)); #36685=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36686=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36687=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36688=DIRECTION('',(0.,1.,0.)); #36689=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36690=DIRECTION('',(0.,1.,0.)); #36691=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36692=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36693=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36694=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36695=DIRECTION('',(0.,-1.,0.)); #36696=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36697=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36698=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36699=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36700=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36701=DIRECTION('',(0.,-1.,0.)); #36702=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36703=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36704=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36705=DIRECTION('',(0.,-1.,0.)); #36706=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36707=DIRECTION('',(0.,1.,0.)); #36708=DIRECTION('',(0.,1.,0.)); #36709=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36710=DIRECTION('',(0.,1.,0.)); #36711=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36712=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36713=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36714=DIRECTION('',(0.,1.,0.)); #36715=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36716=DIRECTION('',(0.,1.,0.)); #36717=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36718=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36719=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36720=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36721=DIRECTION('',(0.,-1.,0.)); #36722=DIRECTION('',(-1.,0.,4.10529061282683E-017)); #36723=DIRECTION('',(4.10529061282683E-017,0.,1.)); #36724=DIRECTION('',(-1.,0.,4.11996825544492E-017)); #36725=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36726=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36727=DIRECTION('',(0.,-1.,0.)); #36728=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36729=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36730=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36731=DIRECTION('',(0.,-1.,0.)); #36732=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #36733=DIRECTION('',(0.,1.,0.)); #36734=DIRECTION('',(0.,1.,0.)); #36735=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36736=DIRECTION('',(0.,1.,0.)); #36737=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36738=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36739=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36740=DIRECTION('',(0.,1.,0.)); #36741=DIRECTION('',(1.,0.,6.12303176911189E-017)); #36742=DIRECTION('',(0.,1.,0.)); #36743=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #36744=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #36745=DIRECTION('',(1.,0.,6.11490025281825E-017)); #36746=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36747=DIRECTION('',(0.,-1.,0.)); #36748=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36749=DIRECTION('',(0.,-1.,0.)); #36750=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36751=DIRECTION('',(0.,1.,0.)); #36752=DIRECTION('',(0.,1.,0.)); #36753=DIRECTION('',(-1.,0.,0.)); #36754=DIRECTION('',(0.,-1.,0.)); #36755=DIRECTION('',(0.,1.,0.)); #36756=DIRECTION('',(-1.,0.,0.)); #36757=DIRECTION('',(0.,-1.,0.)); #36758=DIRECTION('',(-1.,0.,0.)); #36759=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36760=DIRECTION('',(0.,-1.,0.)); #36761=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36762=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36763=DIRECTION('',(0.,-1.,0.)); #36764=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36765=DIRECTION('',(0.,-1.,0.)); #36766=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36767=DIRECTION('',(0.,-1.,0.)); #36768=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36769=DIRECTION('',(0.,1.,0.)); #36770=DIRECTION('',(0.,1.,0.)); #36771=DIRECTION('',(-1.,0.,0.)); #36772=DIRECTION('',(0.,-1.,0.)); #36773=DIRECTION('',(0.,1.,0.)); #36774=DIRECTION('',(-1.,0.,0.)); #36775=DIRECTION('',(0.,-1.,0.)); #36776=DIRECTION('',(-1.,0.,0.)); #36777=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36778=DIRECTION('',(0.,-1.,0.)); #36779=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36780=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36781=DIRECTION('',(0.,-1.,0.)); #36782=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36783=DIRECTION('',(0.,-1.,0.)); #36784=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36785=DIRECTION('',(0.,-1.,0.)); #36786=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36787=DIRECTION('',(0.,1.,0.)); #36788=DIRECTION('',(0.,1.,0.)); #36789=DIRECTION('',(-1.,0.,0.)); #36790=DIRECTION('',(0.,-1.,0.)); #36791=DIRECTION('',(0.,1.,0.)); #36792=DIRECTION('',(-1.,0.,0.)); #36793=DIRECTION('',(0.,-1.,0.)); #36794=DIRECTION('',(-1.,0.,0.)); #36795=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36796=DIRECTION('',(0.,-1.,0.)); #36797=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36798=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36799=DIRECTION('',(0.,-1.,0.)); #36800=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36801=DIRECTION('',(0.,-1.,0.)); #36802=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36803=DIRECTION('',(0.,-1.,0.)); #36804=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36805=DIRECTION('',(0.,1.,0.)); #36806=DIRECTION('',(0.,1.,0.)); #36807=DIRECTION('',(-1.,0.,0.)); #36808=DIRECTION('',(0.,-1.,0.)); #36809=DIRECTION('',(0.,1.,0.)); #36810=DIRECTION('',(-1.,0.,0.)); #36811=DIRECTION('',(0.,-1.,0.)); #36812=DIRECTION('',(-1.,0.,0.)); #36813=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36814=DIRECTION('',(0.,-1.,0.)); #36815=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36816=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36817=DIRECTION('',(0.,-1.,0.)); #36818=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36819=DIRECTION('',(0.,-1.,0.)); #36820=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36821=DIRECTION('',(0.,-1.,0.)); #36822=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36823=DIRECTION('',(0.,1.,0.)); #36824=DIRECTION('',(0.,1.,0.)); #36825=DIRECTION('',(-1.,0.,0.)); #36826=DIRECTION('',(0.,-1.,0.)); #36827=DIRECTION('',(0.,1.,0.)); #36828=DIRECTION('',(-1.,0.,0.)); #36829=DIRECTION('',(0.,-1.,0.)); #36830=DIRECTION('',(-1.,0.,0.)); #36831=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36832=DIRECTION('',(0.,-1.,0.)); #36833=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36834=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36835=DIRECTION('',(0.,-1.,0.)); #36836=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36837=DIRECTION('',(0.,-1.,0.)); #36838=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36839=DIRECTION('',(0.,-1.,0.)); #36840=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36841=DIRECTION('',(0.,1.,0.)); #36842=DIRECTION('',(0.,1.,0.)); #36843=DIRECTION('',(-1.,0.,0.)); #36844=DIRECTION('',(0.,-1.,0.)); #36845=DIRECTION('',(0.,1.,0.)); #36846=DIRECTION('',(-1.,0.,0.)); #36847=DIRECTION('',(0.,-1.,0.)); #36848=DIRECTION('',(-1.,0.,0.)); #36849=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36850=DIRECTION('',(0.,-1.,0.)); #36851=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36852=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36853=DIRECTION('',(0.,-1.,0.)); #36854=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36855=DIRECTION('',(0.,-1.,0.)); #36856=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36857=DIRECTION('',(0.,-1.,0.)); #36858=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36859=DIRECTION('',(0.,1.,0.)); #36860=DIRECTION('',(0.,1.,0.)); #36861=DIRECTION('',(-1.,0.,0.)); #36862=DIRECTION('',(0.,-1.,0.)); #36863=DIRECTION('',(0.,1.,0.)); #36864=DIRECTION('',(-1.,0.,0.)); #36865=DIRECTION('',(0.,-1.,0.)); #36866=DIRECTION('',(-1.,0.,0.)); #36867=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36868=DIRECTION('',(0.,-1.,0.)); #36869=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36870=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36871=DIRECTION('',(0.,-1.,0.)); #36872=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36873=DIRECTION('',(0.,-1.,0.)); #36874=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36875=DIRECTION('',(0.,-1.,0.)); #36876=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36877=DIRECTION('',(0.,1.,0.)); #36878=DIRECTION('',(0.,1.,0.)); #36879=DIRECTION('',(-1.,0.,0.)); #36880=DIRECTION('',(0.,-1.,0.)); #36881=DIRECTION('',(0.,1.,0.)); #36882=DIRECTION('',(-1.,0.,0.)); #36883=DIRECTION('',(0.,-1.,0.)); #36884=DIRECTION('',(-1.,0.,0.)); #36885=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36886=DIRECTION('',(0.,-1.,0.)); #36887=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36888=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36889=DIRECTION('',(0.,-1.,0.)); #36890=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36891=DIRECTION('',(0.,-1.,0.)); #36892=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36893=DIRECTION('',(0.,-1.,0.)); #36894=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36895=DIRECTION('',(0.,1.,0.)); #36896=DIRECTION('',(0.,1.,0.)); #36897=DIRECTION('',(-1.,0.,0.)); #36898=DIRECTION('',(0.,-1.,0.)); #36899=DIRECTION('',(0.,1.,0.)); #36900=DIRECTION('',(-1.,0.,0.)); #36901=DIRECTION('',(0.,-1.,0.)); #36902=DIRECTION('',(-1.,0.,0.)); #36903=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36904=DIRECTION('',(0.,-1.,0.)); #36905=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36906=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36907=DIRECTION('',(0.,-1.,0.)); #36908=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36909=DIRECTION('',(0.,-1.,0.)); #36910=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36911=DIRECTION('',(0.,-1.,0.)); #36912=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36913=DIRECTION('',(0.,1.,0.)); #36914=DIRECTION('',(0.,1.,0.)); #36915=DIRECTION('',(-1.,0.,0.)); #36916=DIRECTION('',(0.,-1.,0.)); #36917=DIRECTION('',(0.,1.,0.)); #36918=DIRECTION('',(-1.,0.,0.)); #36919=DIRECTION('',(0.,-1.,0.)); #36920=DIRECTION('',(-1.,0.,0.)); #36921=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36922=DIRECTION('',(0.,-1.,0.)); #36923=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36924=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36925=DIRECTION('',(0.,-1.,0.)); #36926=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36927=DIRECTION('',(0.,-1.,0.)); #36928=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36929=DIRECTION('',(0.,-1.,0.)); #36930=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36931=DIRECTION('',(0.,1.,0.)); #36932=DIRECTION('',(0.,1.,0.)); #36933=DIRECTION('',(-1.,0.,0.)); #36934=DIRECTION('',(0.,-1.,0.)); #36935=DIRECTION('',(0.,1.,0.)); #36936=DIRECTION('',(-1.,0.,0.)); #36937=DIRECTION('',(0.,-1.,0.)); #36938=DIRECTION('',(-1.,0.,0.)); #36939=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36940=DIRECTION('',(0.,-1.,0.)); #36941=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36942=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36943=DIRECTION('',(0.,-1.,0.)); #36944=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36945=DIRECTION('',(0.,-1.,0.)); #36946=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36947=DIRECTION('',(0.,-1.,0.)); #36948=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36949=DIRECTION('',(0.,1.,0.)); #36950=DIRECTION('',(0.,1.,0.)); #36951=DIRECTION('',(-1.,0.,0.)); #36952=DIRECTION('',(0.,-1.,0.)); #36953=DIRECTION('',(0.,1.,0.)); #36954=DIRECTION('',(-1.,0.,0.)); #36955=DIRECTION('',(0.,-1.,0.)); #36956=DIRECTION('',(-1.,0.,0.)); #36957=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36958=DIRECTION('',(0.,-1.,0.)); #36959=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36960=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36961=DIRECTION('',(0.,-1.,0.)); #36962=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36963=DIRECTION('',(0.,-1.,0.)); #36964=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36965=DIRECTION('',(0.,-1.,0.)); #36966=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36967=DIRECTION('',(0.,1.,0.)); #36968=DIRECTION('',(0.,1.,0.)); #36969=DIRECTION('',(-1.,0.,0.)); #36970=DIRECTION('',(0.,-1.,0.)); #36971=DIRECTION('',(0.,1.,0.)); #36972=DIRECTION('',(-1.,0.,0.)); #36973=DIRECTION('',(0.,-1.,0.)); #36974=DIRECTION('',(-1.,0.,0.)); #36975=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36976=DIRECTION('',(0.,-1.,0.)); #36977=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36978=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36979=DIRECTION('',(0.,-1.,0.)); #36980=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36981=DIRECTION('',(0.,-1.,0.)); #36982=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36983=DIRECTION('',(0.,-1.,0.)); #36984=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #36985=DIRECTION('',(0.,1.,0.)); #36986=DIRECTION('',(0.,1.,0.)); #36987=DIRECTION('',(-1.,0.,0.)); #36988=DIRECTION('',(0.,-1.,0.)); #36989=DIRECTION('',(0.,1.,0.)); #36990=DIRECTION('',(-1.,0.,0.)); #36991=DIRECTION('',(0.,-1.,0.)); #36992=DIRECTION('',(-1.,0.,0.)); #36993=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36994=DIRECTION('',(0.,-1.,0.)); #36995=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #36996=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #36997=DIRECTION('',(0.,-1.,0.)); #36998=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #36999=DIRECTION('',(0.,-1.,0.)); #37000=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #37001=DIRECTION('',(0.,-1.,0.)); #37002=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #37003=DIRECTION('',(0.,1.,0.)); #37004=DIRECTION('',(0.,1.,0.)); #37005=DIRECTION('',(-1.,0.,0.)); #37006=DIRECTION('',(0.,-1.,0.)); #37007=DIRECTION('',(0.,1.,0.)); #37008=DIRECTION('',(-1.,0.,0.)); #37009=DIRECTION('',(0.,-1.,0.)); #37010=DIRECTION('',(-1.,0.,0.)); #37011=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #37012=DIRECTION('',(0.,-1.,0.)); #37013=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #37014=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #37015=DIRECTION('',(0.,-1.,0.)); #37016=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #37017=DIRECTION('',(0.,-1.,0.)); #37018=DIRECTION('',(-0.766044443118979,0.,-0.642787609686538)); #37019=DIRECTION('',(0.,-1.,0.)); #37020=DIRECTION('',(-0.642787609686538,0.,0.766044443118979)); #37021=DIRECTION('',(0.,1.,0.)); #37022=DIRECTION('',(0.,1.,0.)); #37023=DIRECTION('',(-1.,0.,0.)); #37024=DIRECTION('',(0.,-1.,0.)); #37025=DIRECTION('',(0.,1.,0.)); #37026=DIRECTION('',(-1.,0.,0.)); #37027=DIRECTION('',(0.,-1.,0.)); #37028=DIRECTION('',(-1.,0.,0.)); #37029=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #37030=DIRECTION('',(0.,-1.,0.)); #37031=DIRECTION('',(-0.57357643635104,0.,0.819152044288996)); #37032=DIRECTION('',(0.819152044288996,0.,0.57357643635104)); #37033=DIRECTION('',(0.,-1.,0.)); #37034=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37035=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37036=DIRECTION('',(0.,-1.,0.)); #37037=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37038=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37039=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37040=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37041=DIRECTION('',(0.,-1.,0.)); #37042=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37043=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37044=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37045=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37046=DIRECTION('',(0.,-1.,0.)); #37047=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37048=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37049=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37050=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37051=DIRECTION('',(0.,-1.,0.)); #37052=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37053=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37054=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37055=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37056=DIRECTION('',(0.,-1.,0.)); #37057=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37058=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37059=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37060=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37061=DIRECTION('',(0.,-1.,0.)); #37062=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37063=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37064=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37065=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37066=DIRECTION('',(0.,-1.,0.)); #37067=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37068=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37069=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37070=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37071=DIRECTION('',(0.,-1.,0.)); #37072=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37073=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37074=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37075=DIRECTION('',(0.,-1.,0.)); #37076=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37077=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37078=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37079=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37080=DIRECTION('',(0.,-1.,0.)); #37081=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37082=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37083=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37084=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37085=DIRECTION('',(0.,-1.,0.)); #37086=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37087=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37088=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37089=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37090=DIRECTION('',(0.,-1.,0.)); #37091=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37092=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37093=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37094=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37095=DIRECTION('',(0.,-1.,0.)); #37096=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37097=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37098=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37099=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37100=DIRECTION('',(0.,-1.,0.)); #37101=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37102=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37103=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37104=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37105=DIRECTION('',(0.,-1.,0.)); #37106=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37107=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37108=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37109=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37110=DIRECTION('',(0.,-1.,0.)); #37111=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37112=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37113=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37114=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37115=DIRECTION('',(0.,-1.,0.)); #37116=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37117=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37118=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37119=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37120=DIRECTION('',(0.,-1.,0.)); #37121=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37122=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37123=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37124=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37125=DIRECTION('',(0.,-1.,0.)); #37126=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37127=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37128=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37129=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37130=DIRECTION('',(0.,-1.,0.)); #37131=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37132=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37133=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37134=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37135=DIRECTION('',(0.,-1.,0.)); #37136=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37137=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37138=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37139=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37140=DIRECTION('',(0.,-1.,0.)); #37141=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37142=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37143=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37144=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37145=DIRECTION('',(0.,-1.,0.)); #37146=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37147=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37148=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37149=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37150=DIRECTION('',(0.,-1.,0.)); #37151=DIRECTION('',(-1.,0.,8.65488328020315E-017)); #37152=DIRECTION('',(8.65488328020315E-017,0.,1.)); #37153=DIRECTION('',(-1.,0.,8.67361737988404E-017)); #37154=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37155=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37156=DIRECTION('',(0.,-1.,0.)); #37157=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37158=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37159=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37160=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37161=DIRECTION('',(0.,-1.,0.)); #37162=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37163=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37164=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37165=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37166=DIRECTION('',(0.,-1.,0.)); #37167=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37168=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37169=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37170=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37171=DIRECTION('',(0.,-1.,0.)); #37172=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37173=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37174=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37175=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37176=DIRECTION('',(0.,-1.,0.)); #37177=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37178=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37179=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37180=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37181=DIRECTION('',(0.,-1.,0.)); #37182=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37183=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37184=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37185=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37186=DIRECTION('',(0.,-1.,0.)); #37187=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37188=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37189=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37190=DIRECTION('',(1.,0.,-8.77051649615615E-017)); #37191=DIRECTION('',(0.,-1.,0.)); #37192=DIRECTION('',(-8.77051649615615E-017,0.,-1.)); #37193=DIRECTION('',(1.,0.,-8.76035355368288E-017)); #37194=DIRECTION('',(-1.19470044389799E-016,0.,-1.)); #37195=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37196=DIRECTION('',(-1.19470044389799E-016,0.,-1.)); #37197=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37198=DIRECTION('',(-1.,1.26161707343768E-015,1.19470044389799E-016)); #37199=DIRECTION('',(1.26114396703514E-015,1.,0.)); #37200=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37201=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37202=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37203=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #37204=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37205=DIRECTION('',(-7.42949538695691E-017,0.,-1.)); #37206=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37207=DIRECTION('',(-7.42949538695691E-017,0.,-1.)); #37208=DIRECTION('',(-1.,1.26161707343768E-015,7.42949538695691E-017)); #37209=DIRECTION('',(1.26114396703514E-015,1.,0.)); #37210=DIRECTION('',(-1.,0.,4.80870768551633E-016)); #37211=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37212=DIRECTION('',(-1.,0.,4.80870768551633E-016)); #37213=DIRECTION('',(4.80870768551633E-016,-6.83923985932967E-031,1.)); #37214=DIRECTION('',(-1.,0.,4.8095208371457E-016)); #37215=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #37216=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37217=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #37218=DIRECTION('',(-1.,1.26161707343768E-015,-6.12303176911189E-017)); #37219=DIRECTION('',(1.26114396703514E-015,1.,0.)); #37220=DIRECTION('',(-0.784882765533415,3.58303831384334E-015,-0.619644288579035)); #37221=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37222=DIRECTION('',(-0.784882765533415,3.58303831384334E-015,-0.619644288579035)); #37223=DIRECTION('',(-0.619644288579035,7.81753813929454E-016,0.784882765533415)); #37224=DIRECTION('',(1.26114396703514E-015,1.,0.)); #37225=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37226=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37227=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37228=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37229=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37230=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37231=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37232=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37233=DIRECTION('',(1.,-1.26161707343768E-015,6.12303176911189E-017)); #37234=DIRECTION('',(-1.26114396703514E-015,-1.,0.)); #37235=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37236=DIRECTION('',(1.,-1.92747052886312E-015,6.12303176911189E-017)); #37237=DIRECTION('',(1.,-1.92747052886312E-015,6.12303176911189E-017)); #37238=DIRECTION('',(1.,0.,-5.17074086094581E-017)); #37239=DIRECTION('',(-1.92747052886312E-015,-1.,-1.18019632822558E-031)); #37240=DIRECTION('',(-1.,1.92727778181023E-015,-6.11490025281825E-017)); #37241=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37242=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #37243=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37244=DIRECTION('',(1.,6.67201336914155E-016,6.12303176911189E-017)); #37245=DIRECTION('',(6.67868538251071E-016,-1.,0.)); #37246=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37247=DIRECTION('',(0.,1.,0.)); #37248=DIRECTION('',(-0.999999999999999,0.,0.)); #37249=DIRECTION('',(0.,1.,0.)); #37250=DIRECTION('',(-0.999999999999999,0.,0.)); #37251=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37252=DIRECTION('',(0.,1.,0.)); #37253=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37254=DIRECTION('',(0.,1.,0.)); #37255=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37256=DIRECTION('',(0.,1.,0.)); #37257=DIRECTION('',(-1.,-6.30808536718839E-016,-6.12303176911189E-017)); #37258=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #37259=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37260=DIRECTION('',(0.,-1.,0.)); #37261=DIRECTION('',(1.,6.30808536718839E-016,6.12303176911189E-017)); #37262=DIRECTION('',(0.,-1.,0.)); #37263=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37264=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37265=DIRECTION('',(0.,-1.,0.)); #37266=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37267=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37268=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37269=DIRECTION('',(0.,1.,0.)); #37270=DIRECTION('',(0.,1.,0.)); #37271=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37272=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37273=DIRECTION('',(0.,-1.,0.)); #37274=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37275=DIRECTION('',(0.,-1.,0.)); #37276=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37277=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37278=DIRECTION('',(0.,1.,0.)); #37279=DIRECTION('',(0.,1.,0.)); #37280=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37281=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37282=DIRECTION('',(0.,1.,0.)); #37283=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37284=DIRECTION('',(0.,-1.,0.)); #37285=DIRECTION('',(0.999999999999999,0.,0.)); #37286=DIRECTION('',(0.,-1.,0.)); #37287=DIRECTION('',(0.,-1.,0.)); #37288=DIRECTION('',(0.999999999999999,0.,0.)); #37289=DIRECTION('',(0.,-1.,0.)); #37290=DIRECTION('',(0.,-1.,0.)); #37291=DIRECTION('',(-0.999999999999999,0.,0.)); #37292=DIRECTION('',(0.,-1.,0.)); #37293=DIRECTION('',(0.999999999999999,0.,0.)); #37294=DIRECTION('',(0.,-1.,0.)); #37295=DIRECTION('',(0.,-1.,0.)); #37296=DIRECTION('',(0.999999999999999,0.,0.)); #37297=DIRECTION('',(0.,-1.,0.)); #37298=DIRECTION('',(-0.999999999999999,0.,0.)); #37299=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37300=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37301=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37302=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37303=DIRECTION('',(0.,1.,0.)); #37304=DIRECTION('',(0.,1.,0.)); #37305=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37306=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37307=DIRECTION('',(0.,-1.,0.)); #37308=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37309=DIRECTION('',(0.,-1.,0.)); #37310=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37311=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37312=DIRECTION('',(0.,1.,0.)); #37313=DIRECTION('',(0.,1.,0.)); #37314=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37315=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37316=DIRECTION('',(0.,1.,0.)); #37317=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37318=DIRECTION('',(0.,-1.,0.)); #37319=DIRECTION('',(0.999999999999999,0.,0.)); #37320=DIRECTION('',(0.,-1.,0.)); #37321=DIRECTION('',(0.,-1.,0.)); #37322=DIRECTION('',(0.999999999999999,0.,0.)); #37323=DIRECTION('',(0.,-1.,0.)); #37324=DIRECTION('',(0.,-1.,0.)); #37325=DIRECTION('',(-0.999999999999999,0.,0.)); #37326=DIRECTION('',(0.,-1.,0.)); #37327=DIRECTION('',(0.999999999999999,0.,0.)); #37328=DIRECTION('',(0.,-1.,0.)); #37329=DIRECTION('',(0.,-1.,0.)); #37330=DIRECTION('',(0.999999999999999,0.,0.)); #37331=DIRECTION('',(0.,-1.,0.)); #37332=DIRECTION('',(-0.999999999999999,0.,0.)); #37333=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37334=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37335=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37336=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37337=DIRECTION('',(0.,1.,0.)); #37338=DIRECTION('',(0.,1.,0.)); #37339=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37340=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37341=DIRECTION('',(0.,-1.,0.)); #37342=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37343=DIRECTION('',(0.,-1.,0.)); #37344=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37345=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37346=DIRECTION('',(0.,1.,0.)); #37347=DIRECTION('',(0.,1.,0.)); #37348=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37349=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37350=DIRECTION('',(0.,1.,0.)); #37351=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37352=DIRECTION('',(0.,-1.,0.)); #37353=DIRECTION('',(0.999999999999999,0.,0.)); #37354=DIRECTION('',(0.,-1.,0.)); #37355=DIRECTION('',(0.,-1.,0.)); #37356=DIRECTION('',(0.999999999999999,0.,0.)); #37357=DIRECTION('',(0.,-1.,0.)); #37358=DIRECTION('',(0.,-1.,0.)); #37359=DIRECTION('',(-0.999999999999999,0.,0.)); #37360=DIRECTION('',(0.,-1.,0.)); #37361=DIRECTION('',(0.999999999999999,0.,0.)); #37362=DIRECTION('',(0.,-1.,0.)); #37363=DIRECTION('',(0.,-1.,0.)); #37364=DIRECTION('',(0.999999999999999,0.,0.)); #37365=DIRECTION('',(0.,-1.,0.)); #37366=DIRECTION('',(-0.999999999999999,0.,0.)); #37367=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37368=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37369=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37370=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37371=DIRECTION('',(0.,1.,0.)); #37372=DIRECTION('',(0.,1.,0.)); #37373=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37374=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37375=DIRECTION('',(0.,-1.,0.)); #37376=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37377=DIRECTION('',(0.,-1.,0.)); #37378=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37379=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37380=DIRECTION('',(0.,1.,0.)); #37381=DIRECTION('',(0.,1.,0.)); #37382=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37383=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37384=DIRECTION('',(0.,1.,0.)); #37385=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37386=DIRECTION('',(0.,-1.,0.)); #37387=DIRECTION('',(0.999999999999999,0.,0.)); #37388=DIRECTION('',(0.,-1.,0.)); #37389=DIRECTION('',(0.,-1.,0.)); #37390=DIRECTION('',(0.999999999999999,0.,0.)); #37391=DIRECTION('',(0.,-1.,0.)); #37392=DIRECTION('',(0.,-1.,0.)); #37393=DIRECTION('',(-0.999999999999999,0.,0.)); #37394=DIRECTION('',(0.,-1.,0.)); #37395=DIRECTION('',(0.999999999999999,0.,0.)); #37396=DIRECTION('',(0.,-1.,0.)); #37397=DIRECTION('',(0.,-1.,0.)); #37398=DIRECTION('',(0.999999999999999,0.,0.)); #37399=DIRECTION('',(0.,-1.,0.)); #37400=DIRECTION('',(-0.999999999999999,0.,0.)); #37401=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37402=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37403=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37404=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37405=DIRECTION('',(0.,1.,0.)); #37406=DIRECTION('',(0.,1.,0.)); #37407=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37408=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37409=DIRECTION('',(0.,-1.,0.)); #37410=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37411=DIRECTION('',(0.,-1.,0.)); #37412=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37413=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37414=DIRECTION('',(0.,1.,0.)); #37415=DIRECTION('',(0.,1.,0.)); #37416=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37417=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37418=DIRECTION('',(0.,1.,0.)); #37419=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37420=DIRECTION('',(0.,-1.,0.)); #37421=DIRECTION('',(0.999999999999999,0.,0.)); #37422=DIRECTION('',(0.,-1.,0.)); #37423=DIRECTION('',(0.,-1.,0.)); #37424=DIRECTION('',(0.999999999999999,0.,0.)); #37425=DIRECTION('',(0.,-1.,0.)); #37426=DIRECTION('',(0.,-1.,0.)); #37427=DIRECTION('',(-0.999999999999999,0.,0.)); #37428=DIRECTION('',(0.,-1.,0.)); #37429=DIRECTION('',(0.999999999999999,0.,0.)); #37430=DIRECTION('',(0.,-1.,0.)); #37431=DIRECTION('',(0.,-1.,0.)); #37432=DIRECTION('',(0.999999999999999,0.,0.)); #37433=DIRECTION('',(0.,-1.,0.)); #37434=DIRECTION('',(-0.999999999999999,0.,0.)); #37435=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37436=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37437=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37438=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37439=DIRECTION('',(0.,1.,0.)); #37440=DIRECTION('',(0.,1.,0.)); #37441=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37442=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37443=DIRECTION('',(0.,-1.,0.)); #37444=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37445=DIRECTION('',(0.,-1.,0.)); #37446=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37447=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37448=DIRECTION('',(0.,1.,0.)); #37449=DIRECTION('',(0.,1.,0.)); #37450=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37451=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37452=DIRECTION('',(0.,1.,0.)); #37453=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37454=DIRECTION('',(0.,-1.,0.)); #37455=DIRECTION('',(0.999999999999999,0.,0.)); #37456=DIRECTION('',(0.,-1.,0.)); #37457=DIRECTION('',(0.,-1.,0.)); #37458=DIRECTION('',(0.999999999999999,0.,0.)); #37459=DIRECTION('',(0.,-1.,0.)); #37460=DIRECTION('',(0.,-1.,0.)); #37461=DIRECTION('',(-0.999999999999999,0.,0.)); #37462=DIRECTION('',(0.,-1.,0.)); #37463=DIRECTION('',(0.999999999999999,0.,0.)); #37464=DIRECTION('',(0.,-1.,0.)); #37465=DIRECTION('',(0.,-1.,0.)); #37466=DIRECTION('',(0.999999999999999,0.,0.)); #37467=DIRECTION('',(0.,-1.,0.)); #37468=DIRECTION('',(-0.999999999999999,0.,0.)); #37469=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37470=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37471=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37472=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37473=DIRECTION('',(0.,1.,0.)); #37474=DIRECTION('',(0.,1.,0.)); #37475=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37476=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37477=DIRECTION('',(0.,-1.,0.)); #37478=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37479=DIRECTION('',(0.,-1.,0.)); #37480=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37481=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37482=DIRECTION('',(0.,1.,0.)); #37483=DIRECTION('',(0.,1.,0.)); #37484=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37485=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37486=DIRECTION('',(0.,1.,0.)); #37487=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37488=DIRECTION('',(0.,-1.,0.)); #37489=DIRECTION('',(0.999999999999999,0.,0.)); #37490=DIRECTION('',(0.,-1.,0.)); #37491=DIRECTION('',(0.,-1.,0.)); #37492=DIRECTION('',(0.999999999999999,0.,0.)); #37493=DIRECTION('',(0.,-1.,0.)); #37494=DIRECTION('',(0.,-1.,0.)); #37495=DIRECTION('',(-0.999999999999999,0.,0.)); #37496=DIRECTION('',(0.,-1.,0.)); #37497=DIRECTION('',(0.999999999999999,0.,0.)); #37498=DIRECTION('',(0.,-1.,0.)); #37499=DIRECTION('',(0.,-1.,0.)); #37500=DIRECTION('',(0.999999999999999,0.,0.)); #37501=DIRECTION('',(0.,-1.,0.)); #37502=DIRECTION('',(-0.999999999999999,0.,0.)); #37503=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37504=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37505=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37506=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37507=DIRECTION('',(0.,1.,0.)); #37508=DIRECTION('',(0.,1.,0.)); #37509=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37510=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37511=DIRECTION('',(0.,-1.,0.)); #37512=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37513=DIRECTION('',(0.,-1.,0.)); #37514=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37515=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37516=DIRECTION('',(0.,1.,0.)); #37517=DIRECTION('',(0.,1.,0.)); #37518=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37519=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37520=DIRECTION('',(0.,1.,0.)); #37521=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37522=DIRECTION('',(0.,-1.,0.)); #37523=DIRECTION('',(0.,-1.,0.)); #37524=DIRECTION('',(0.999999999999999,0.,0.)); #37525=DIRECTION('',(0.,-1.,0.)); #37526=DIRECTION('',(0.,-1.,0.)); #37527=DIRECTION('',(0.999999999999999,0.,0.)); #37528=DIRECTION('',(0.,-1.,0.)); #37529=DIRECTION('',(-0.999999999999999,0.,0.)); #37530=DIRECTION('',(0.,-1.,0.)); #37531=DIRECTION('',(0.999999999999999,0.,0.)); #37532=DIRECTION('',(0.,-1.,0.)); #37533=DIRECTION('',(0.,-1.,0.)); #37534=DIRECTION('',(0.999999999999999,0.,0.)); #37535=DIRECTION('',(0.,-1.,0.)); #37536=DIRECTION('',(-0.999999999999999,0.,0.)); #37537=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37538=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37539=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37540=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37541=DIRECTION('',(0.,1.,0.)); #37542=DIRECTION('',(0.,1.,0.)); #37543=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37544=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37545=DIRECTION('',(0.,-1.,0.)); #37546=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37547=DIRECTION('',(0.,-1.,0.)); #37548=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37549=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37550=DIRECTION('',(0.,1.,0.)); #37551=DIRECTION('',(0.,1.,0.)); #37552=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37553=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37554=DIRECTION('',(0.,1.,0.)); #37555=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37556=DIRECTION('',(0.,-1.,0.)); #37557=DIRECTION('',(0.999999999999999,0.,0.)); #37558=DIRECTION('',(0.,-1.,0.)); #37559=DIRECTION('',(0.,-1.,0.)); #37560=DIRECTION('',(0.999999999999999,0.,0.)); #37561=DIRECTION('',(0.,-1.,0.)); #37562=DIRECTION('',(0.,-1.,0.)); #37563=DIRECTION('',(-0.999999999999999,0.,0.)); #37564=DIRECTION('',(0.,-1.,0.)); #37565=DIRECTION('',(0.999999999999999,0.,0.)); #37566=DIRECTION('',(0.,-1.,0.)); #37567=DIRECTION('',(0.,-1.,0.)); #37568=DIRECTION('',(0.999999999999999,0.,0.)); #37569=DIRECTION('',(0.,-1.,0.)); #37570=DIRECTION('',(-0.999999999999999,0.,0.)); #37571=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37572=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37573=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37574=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37575=DIRECTION('',(0.,1.,0.)); #37576=DIRECTION('',(0.,1.,0.)); #37577=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37578=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37579=DIRECTION('',(0.,-1.,0.)); #37580=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37581=DIRECTION('',(0.,-1.,0.)); #37582=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37583=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37584=DIRECTION('',(0.,1.,0.)); #37585=DIRECTION('',(0.,1.,0.)); #37586=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37587=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37588=DIRECTION('',(0.,1.,0.)); #37589=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37590=DIRECTION('',(0.,-1.,0.)); #37591=DIRECTION('',(0.999999999999999,0.,0.)); #37592=DIRECTION('',(0.,-1.,0.)); #37593=DIRECTION('',(0.,-1.,0.)); #37594=DIRECTION('',(0.999999999999999,0.,0.)); #37595=DIRECTION('',(0.,-1.,0.)); #37596=DIRECTION('',(0.,-1.,0.)); #37597=DIRECTION('',(-0.999999999999999,0.,0.)); #37598=DIRECTION('',(0.,-1.,0.)); #37599=DIRECTION('',(0.999999999999999,0.,0.)); #37600=DIRECTION('',(0.,-1.,0.)); #37601=DIRECTION('',(0.,-1.,0.)); #37602=DIRECTION('',(0.999999999999999,0.,0.)); #37603=DIRECTION('',(0.,-1.,0.)); #37604=DIRECTION('',(-0.999999999999999,0.,0.)); #37605=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37606=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37607=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37608=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37609=DIRECTION('',(0.,1.,0.)); #37610=DIRECTION('',(0.,1.,0.)); #37611=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37612=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37613=DIRECTION('',(0.,-1.,0.)); #37614=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37615=DIRECTION('',(0.,-1.,0.)); #37616=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37617=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37618=DIRECTION('',(0.,1.,0.)); #37619=DIRECTION('',(0.,1.,0.)); #37620=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37621=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37622=DIRECTION('',(0.,1.,0.)); #37623=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37624=DIRECTION('',(0.,-1.,0.)); #37625=DIRECTION('',(0.999999999999999,0.,0.)); #37626=DIRECTION('',(0.,-1.,0.)); #37627=DIRECTION('',(0.,-1.,0.)); #37628=DIRECTION('',(0.999999999999999,0.,0.)); #37629=DIRECTION('',(0.,-1.,0.)); #37630=DIRECTION('',(0.,-1.,0.)); #37631=DIRECTION('',(-0.999999999999999,0.,0.)); #37632=DIRECTION('',(0.,-1.,0.)); #37633=DIRECTION('',(0.999999999999999,0.,0.)); #37634=DIRECTION('',(0.,-1.,0.)); #37635=DIRECTION('',(0.,-1.,0.)); #37636=DIRECTION('',(0.999999999999999,0.,0.)); #37637=DIRECTION('',(0.,-1.,0.)); #37638=DIRECTION('',(-0.999999999999999,0.,0.)); #37639=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37640=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37641=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37642=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37643=DIRECTION('',(0.,1.,0.)); #37644=DIRECTION('',(0.,1.,0.)); #37645=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37646=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37647=DIRECTION('',(0.,-1.,0.)); #37648=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37649=DIRECTION('',(0.,-1.,0.)); #37650=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37651=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37652=DIRECTION('',(0.,1.,0.)); #37653=DIRECTION('',(0.,1.,0.)); #37654=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37655=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37656=DIRECTION('',(0.,1.,0.)); #37657=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37658=DIRECTION('',(0.,-1.,0.)); #37659=DIRECTION('',(0.999999999999999,0.,0.)); #37660=DIRECTION('',(0.,-1.,0.)); #37661=DIRECTION('',(0.,-1.,0.)); #37662=DIRECTION('',(0.999999999999999,0.,0.)); #37663=DIRECTION('',(0.,-1.,0.)); #37664=DIRECTION('',(0.,-1.,0.)); #37665=DIRECTION('',(-0.999999999999999,0.,0.)); #37666=DIRECTION('',(0.,-1.,0.)); #37667=DIRECTION('',(0.999999999999999,0.,0.)); #37668=DIRECTION('',(0.,-1.,0.)); #37669=DIRECTION('',(0.,-1.,0.)); #37670=DIRECTION('',(0.999999999999999,0.,0.)); #37671=DIRECTION('',(0.,-1.,0.)); #37672=DIRECTION('',(-0.999999999999999,0.,0.)); #37673=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37674=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37675=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37676=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37677=DIRECTION('',(0.,1.,0.)); #37678=DIRECTION('',(0.,1.,0.)); #37679=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37680=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37681=DIRECTION('',(0.,-1.,0.)); #37682=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37683=DIRECTION('',(0.,-1.,0.)); #37684=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37685=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37686=DIRECTION('',(0.,1.,0.)); #37687=DIRECTION('',(0.,1.,0.)); #37688=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37689=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37690=DIRECTION('',(0.,1.,0.)); #37691=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37692=DIRECTION('',(0.,-1.,0.)); #37693=DIRECTION('',(0.999999999999999,0.,0.)); #37694=DIRECTION('',(0.,-1.,0.)); #37695=DIRECTION('',(0.,-1.,0.)); #37696=DIRECTION('',(0.999999999999999,0.,0.)); #37697=DIRECTION('',(0.,-1.,0.)); #37698=DIRECTION('',(0.,-1.,0.)); #37699=DIRECTION('',(-0.999999999999999,0.,0.)); #37700=DIRECTION('',(0.,-1.,0.)); #37701=DIRECTION('',(0.999999999999999,0.,0.)); #37702=DIRECTION('',(0.,-1.,0.)); #37703=DIRECTION('',(0.,-1.,0.)); #37704=DIRECTION('',(0.999999999999999,0.,0.)); #37705=DIRECTION('',(0.,-1.,0.)); #37706=DIRECTION('',(-0.999999999999999,0.,0.)); #37707=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37708=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37709=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37710=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37711=DIRECTION('',(0.,1.,0.)); #37712=DIRECTION('',(0.,1.,0.)); #37713=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37714=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37715=DIRECTION('',(0.,-1.,0.)); #37716=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37717=DIRECTION('',(0.,-1.,0.)); #37718=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37719=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37720=DIRECTION('',(0.,1.,0.)); #37721=DIRECTION('',(0.,1.,0.)); #37722=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37723=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37724=DIRECTION('',(0.,1.,0.)); #37725=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37726=DIRECTION('',(0.,-1.,0.)); #37727=DIRECTION('',(0.999999999999999,0.,0.)); #37728=DIRECTION('',(0.,-1.,0.)); #37729=DIRECTION('',(0.,-1.,0.)); #37730=DIRECTION('',(0.999999999999999,0.,0.)); #37731=DIRECTION('',(0.,-1.,0.)); #37732=DIRECTION('',(0.,-1.,0.)); #37733=DIRECTION('',(-0.999999999999999,0.,0.)); #37734=DIRECTION('',(0.,-1.,0.)); #37735=DIRECTION('',(0.999999999999999,0.,0.)); #37736=DIRECTION('',(0.,-1.,0.)); #37737=DIRECTION('',(0.,-1.,0.)); #37738=DIRECTION('',(0.999999999999999,0.,0.)); #37739=DIRECTION('',(0.,-1.,0.)); #37740=DIRECTION('',(-0.999999999999999,0.,0.)); #37741=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37742=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37743=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37744=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37745=DIRECTION('',(0.,1.,0.)); #37746=DIRECTION('',(0.,1.,0.)); #37747=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37748=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37749=DIRECTION('',(0.,-1.,0.)); #37750=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37751=DIRECTION('',(0.,-1.,0.)); #37752=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37753=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37754=DIRECTION('',(0.,1.,0.)); #37755=DIRECTION('',(0.,1.,0.)); #37756=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37757=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37758=DIRECTION('',(0.,1.,0.)); #37759=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37760=DIRECTION('',(0.,-1.,0.)); #37761=DIRECTION('',(0.999999999999999,0.,0.)); #37762=DIRECTION('',(0.,-1.,0.)); #37763=DIRECTION('',(0.,-1.,0.)); #37764=DIRECTION('',(0.999999999999999,0.,0.)); #37765=DIRECTION('',(0.,-1.,0.)); #37766=DIRECTION('',(0.,-1.,0.)); #37767=DIRECTION('',(-0.999999999999999,0.,0.)); #37768=DIRECTION('',(0.,-1.,0.)); #37769=DIRECTION('',(0.999999999999999,0.,0.)); #37770=DIRECTION('',(0.,-1.,0.)); #37771=DIRECTION('',(0.,-1.,0.)); #37772=DIRECTION('',(0.999999999999999,0.,0.)); #37773=DIRECTION('',(0.,-1.,0.)); #37774=DIRECTION('',(-0.999999999999999,0.,0.)); #37775=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37776=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37777=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37778=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37779=DIRECTION('',(0.,1.,0.)); #37780=DIRECTION('',(0.,1.,0.)); #37781=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37782=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37783=DIRECTION('',(0.,-1.,0.)); #37784=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37785=DIRECTION('',(0.,-1.,0.)); #37786=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37787=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37788=DIRECTION('',(0.,1.,0.)); #37789=DIRECTION('',(0.,1.,0.)); #37790=DIRECTION('',(1.,0.,6.19544098563145E-017)); #37791=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37792=DIRECTION('',(0.,1.,0.)); #37793=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37794=DIRECTION('',(0.,-1.,0.)); #37795=DIRECTION('',(-3.47940465459123E-015,4.3124271034197E-030,1.)); #37796=DIRECTION('',(0.,1.,0.)); #37797=DIRECTION('',(3.47940465459123E-015,2.15621355170985E-030,-1.)); #37798=DIRECTION('',(1.,0.,3.47940465459123E-015)); #37799=DIRECTION('',(0.,-1.,0.)); #37800=DIRECTION('',(0.,1.,0.)); #37801=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37802=DIRECTION('',(1.,-1.26161707343768E-015,6.12303176911189E-017)); #37803=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #37804=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37805=DIRECTION('',(0.,-1.,0.)); #37806=DIRECTION('',(-1.,1.26161707343768E-015,-6.12303176911189E-017)); #37807=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37808=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37809=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37810=DIRECTION('',(-0.258819045102524,0.,0.965925826289068)); #37811=DIRECTION('',(0.,-1.,0.)); #37812=DIRECTION('',(0.258819045102524,0.,-0.965925826289068)); #37813=DIRECTION('',(-0.965925826289068,0.,-0.258819045102523)); #37814=DIRECTION('',(0.,1.,0.)); #37815=DIRECTION('',(0.,1.,0.)); #37816=DIRECTION('',(-0.999999999999999,0.,0.)); #37817=DIRECTION('',(0.,1.,0.)); #37818=DIRECTION('',(-0.999999999999999,0.,0.)); #37819=DIRECTION('',(0.,-1.,0.)); #37820=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37821=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37822=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37823=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37824=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37825=DIRECTION('',(-1.,-1.26161707343768E-015,-6.12303176911189E-017)); #37826=DIRECTION('',(-1.26114396703514E-015,1.,0.)); #37827=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37828=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37829=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37830=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #37831=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37832=DIRECTION('',(-0.784882765533415,-3.58303831384334E-015,-0.619644288579035)); #37833=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37834=DIRECTION('',(-0.784882765533415,-3.58303831384334E-015,-0.619644288579035)); #37835=DIRECTION('',(0.619644288579035,7.81753813929454E-016,-0.784882765533415)); #37836=DIRECTION('',(1.26114396703514E-015,-1.,0.)); #37837=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #37838=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37839=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #37840=DIRECTION('',(1.,1.26161707343768E-015,6.12303176911189E-017)); #37841=DIRECTION('',(1.26114396703514E-015,-1.,0.)); #37842=DIRECTION('',(-1.,0.,4.80870768551633E-016)); #37843=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37844=DIRECTION('',(-1.,0.,4.80870768551633E-016)); #37845=DIRECTION('',(-4.80870768551633E-016,-6.83923985932967E-031,-1.)); #37846=DIRECTION('',(1.,0.,-4.8095208371457E-016)); #37847=DIRECTION('',(-7.42949538695691E-017,0.,-1.)); #37848=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37849=DIRECTION('',(-7.42949538695691E-017,0.,-1.)); #37850=DIRECTION('',(1.,1.26161707343768E-015,-7.42949538695691E-017)); #37851=DIRECTION('',(1.26114396703514E-015,-1.,0.)); #37852=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37853=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37854=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37855=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37856=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37857=DIRECTION('',(-1.19470044389799E-016,0.,-1.)); #37858=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37859=DIRECTION('',(-1.19470044389799E-016,0.,-1.)); #37860=DIRECTION('',(1.,1.26161707343768E-015,-1.19470044389799E-016)); #37861=DIRECTION('',(1.26114396703514E-015,-1.,0.)); #37862=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37863=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37864=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #37865=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #37866=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37867=DIRECTION('',(0.,-1.,0.)); #37868=DIRECTION('',(1.,0.,-5.17074086094581E-017)); #37869=DIRECTION('',(1.,1.92747052886312E-015,6.12303176911189E-017)); #37870=DIRECTION('',(1.,1.92747052886312E-015,6.12303176911189E-017)); #37871=DIRECTION('',(-1.92747052886312E-015,1.,-1.18019632822558E-031)); #37872=DIRECTION('',(1.,1.92901250528621E-015,6.11490025281825E-017)); #37873=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #37874=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37875=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #37876=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37877=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #37878=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37879=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37880=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37881=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37882=DIRECTION('',(0.,-1.,0.)); #37883=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37884=DIRECTION('',(0.,-1.,0.)); #37885=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37886=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #37887=DIRECTION('',(0.,1.,0.)); #37888=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37889=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37890=DIRECTION('',(0.,-1.,0.)); #37891=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37892=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #37893=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37894=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #37895=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37896=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #37897=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37898=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37899=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37900=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37901=DIRECTION('',(0.,-1.,0.)); #37902=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37903=DIRECTION('',(0.,-1.,0.)); #37904=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37905=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #37906=DIRECTION('',(0.,1.,0.)); #37907=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37908=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37909=DIRECTION('',(0.,-1.,0.)); #37910=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37911=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #37912=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37913=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #37914=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37915=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #37916=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37917=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37918=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37919=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37920=DIRECTION('',(0.,-1.,0.)); #37921=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37922=DIRECTION('',(0.,-1.,0.)); #37923=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37924=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #37925=DIRECTION('',(0.,1.,0.)); #37926=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37927=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37928=DIRECTION('',(0.,-1.,0.)); #37929=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37930=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #37931=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37932=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #37933=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37934=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #37935=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37936=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37937=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37938=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37939=DIRECTION('',(0.,-1.,0.)); #37940=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37941=DIRECTION('',(0.,-1.,0.)); #37942=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37943=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #37944=DIRECTION('',(0.,1.,0.)); #37945=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37946=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37947=DIRECTION('',(0.,-1.,0.)); #37948=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37949=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #37950=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37951=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #37952=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37953=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #37954=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37955=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37956=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37957=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37958=DIRECTION('',(0.,-1.,0.)); #37959=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37960=DIRECTION('',(0.,-1.,0.)); #37961=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37962=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #37963=DIRECTION('',(0.,1.,0.)); #37964=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37965=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37966=DIRECTION('',(0.,-1.,0.)); #37967=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37968=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #37969=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37970=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #37971=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37972=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #37973=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37974=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37975=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37976=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37977=DIRECTION('',(0.,-1.,0.)); #37978=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37979=DIRECTION('',(0.,-1.,0.)); #37980=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37981=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #37982=DIRECTION('',(0.,1.,0.)); #37983=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37984=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37985=DIRECTION('',(0.,-1.,0.)); #37986=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37987=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #37988=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37989=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #37990=DIRECTION('',(1.,0.,6.12303176911189E-017)); #37991=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #37992=DIRECTION('',(1.,0.,6.11490025281825E-017)); #37993=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #37994=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #37995=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #37996=DIRECTION('',(0.,-1.,0.)); #37997=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #37998=DIRECTION('',(0.,-1.,0.)); #37999=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38000=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38001=DIRECTION('',(0.,1.,0.)); #38002=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38003=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38004=DIRECTION('',(0.,-1.,0.)); #38005=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38006=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38007=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38008=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38009=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38010=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38011=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38012=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38013=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38014=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38015=DIRECTION('',(0.,-1.,0.)); #38016=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38017=DIRECTION('',(0.,-1.,0.)); #38018=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38019=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38020=DIRECTION('',(0.,1.,0.)); #38021=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38022=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38023=DIRECTION('',(0.,-1.,0.)); #38024=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38025=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38026=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38027=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38028=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38029=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38030=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38031=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38032=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38033=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38034=DIRECTION('',(0.,-1.,0.)); #38035=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38036=DIRECTION('',(0.,-1.,0.)); #38037=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38038=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38039=DIRECTION('',(0.,1.,0.)); #38040=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38041=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38042=DIRECTION('',(0.,-1.,0.)); #38043=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38044=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38045=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38046=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38047=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38048=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38049=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38050=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38051=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38052=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38053=DIRECTION('',(0.,-1.,0.)); #38054=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38055=DIRECTION('',(0.,-1.,0.)); #38056=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38057=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38058=DIRECTION('',(0.,1.,0.)); #38059=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38060=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38061=DIRECTION('',(0.,-1.,0.)); #38062=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38063=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38064=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38065=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38066=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38067=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38068=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38069=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38070=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38071=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38072=DIRECTION('',(0.,-1.,0.)); #38073=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38074=DIRECTION('',(0.,-1.,0.)); #38075=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38076=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38077=DIRECTION('',(0.,1.,0.)); #38078=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38079=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38080=DIRECTION('',(0.,-1.,0.)); #38081=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38082=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38083=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38084=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38085=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38086=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38087=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38088=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38089=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38090=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38091=DIRECTION('',(0.,-1.,0.)); #38092=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38093=DIRECTION('',(0.,-1.,0.)); #38094=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38095=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38096=DIRECTION('',(0.,1.,0.)); #38097=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38098=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38099=DIRECTION('',(0.,-1.,0.)); #38100=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38101=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38102=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38103=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38104=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38105=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38106=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38107=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38108=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38109=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38110=DIRECTION('',(0.,-1.,0.)); #38111=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38112=DIRECTION('',(0.,-1.,0.)); #38113=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38114=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38115=DIRECTION('',(0.,1.,0.)); #38116=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38117=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38118=DIRECTION('',(0.,-1.,0.)); #38119=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38120=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38121=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38122=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38123=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38124=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38125=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38126=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38127=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38128=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38129=DIRECTION('',(0.,-1.,0.)); #38130=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38131=DIRECTION('',(0.,-1.,0.)); #38132=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38133=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38134=DIRECTION('',(0.,1.,0.)); #38135=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38136=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38137=DIRECTION('',(0.,-1.,0.)); #38138=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38139=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38140=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38141=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38142=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38143=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38144=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38145=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38146=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38147=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38148=DIRECTION('',(0.,-1.,0.)); #38149=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38150=DIRECTION('',(0.,-1.,0.)); #38151=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38152=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38153=DIRECTION('',(0.,1.,0.)); #38154=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38155=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38156=DIRECTION('',(0.,-1.,0.)); #38157=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38158=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38159=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38160=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38161=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38162=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38163=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38164=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38165=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38166=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38167=DIRECTION('',(0.,-1.,0.)); #38168=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38169=DIRECTION('',(0.,-1.,0.)); #38170=DIRECTION('',(6.24032059915542E-016,0.,-1.)); #38171=DIRECTION('',(-1.,0.,-6.24032059915542E-016)); #38172=DIRECTION('',(0.,1.,0.)); #38173=DIRECTION('',(-1.61009056409898E-015,0.,1.)); #38174=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38175=DIRECTION('',(0.,-1.,0.)); #38176=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38177=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38178=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38179=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38180=DIRECTION('',(0.,1.,0.)); #38181=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38182=DIRECTION('',(0.,-1.,0.)); #38183=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38184=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38185=DIRECTION('',(0.,-1.,0.)); #38186=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38187=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38188=DIRECTION('',(0.,-1.,0.)); #38189=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38190=DIRECTION('',(0.,1.,0.)); #38191=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38192=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38193=DIRECTION('',(0.,1.,0.)); #38194=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38195=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38196=DIRECTION('',(0.,1.,0.)); #38197=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38198=DIRECTION('',(0.,-1.,0.)); #38199=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38200=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38201=DIRECTION('',(0.,-1.,0.)); #38202=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38203=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38204=DIRECTION('',(0.,-1.,0.)); #38205=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38206=DIRECTION('',(0.,1.,0.)); #38207=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38208=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38209=DIRECTION('',(0.,1.,0.)); #38210=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38211=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38212=DIRECTION('',(0.,1.,0.)); #38213=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38214=DIRECTION('',(0.,-1.,0.)); #38215=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38216=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38217=DIRECTION('',(0.,-1.,0.)); #38218=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38219=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38220=DIRECTION('',(0.,-1.,0.)); #38221=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38222=DIRECTION('',(0.,1.,0.)); #38223=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38224=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38225=DIRECTION('',(0.,1.,0.)); #38226=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38227=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38228=DIRECTION('',(0.,1.,0.)); #38229=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38230=DIRECTION('',(0.,-1.,0.)); #38231=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38232=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38233=DIRECTION('',(0.,-1.,0.)); #38234=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38235=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38236=DIRECTION('',(0.,-1.,0.)); #38237=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38238=DIRECTION('',(0.,1.,0.)); #38239=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38240=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38241=DIRECTION('',(0.,1.,0.)); #38242=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38243=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38244=DIRECTION('',(0.,1.,0.)); #38245=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38246=DIRECTION('',(0.,-1.,0.)); #38247=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38248=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38249=DIRECTION('',(0.,-1.,0.)); #38250=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38251=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38252=DIRECTION('',(0.,-1.,0.)); #38253=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38254=DIRECTION('',(0.,1.,0.)); #38255=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38256=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38257=DIRECTION('',(0.,1.,0.)); #38258=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38259=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38260=DIRECTION('',(0.,1.,0.)); #38261=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38262=DIRECTION('',(0.,-1.,0.)); #38263=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38264=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38265=DIRECTION('',(0.,-1.,0.)); #38266=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38267=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38268=DIRECTION('',(0.,-1.,0.)); #38269=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38270=DIRECTION('',(0.,1.,0.)); #38271=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38272=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38273=DIRECTION('',(0.,1.,0.)); #38274=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38275=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38276=DIRECTION('',(0.,1.,0.)); #38277=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38278=DIRECTION('',(0.,-1.,0.)); #38279=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38280=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38281=DIRECTION('',(0.,-1.,0.)); #38282=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38283=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38284=DIRECTION('',(0.,-1.,0.)); #38285=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38286=DIRECTION('',(0.,1.,0.)); #38287=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38288=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38289=DIRECTION('',(0.,1.,0.)); #38290=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38291=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38292=DIRECTION('',(0.,1.,0.)); #38293=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38294=DIRECTION('',(0.,-1.,0.)); #38295=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38296=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38297=DIRECTION('',(0.,-1.,0.)); #38298=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38299=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38300=DIRECTION('',(0.,-1.,0.)); #38301=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38302=DIRECTION('',(0.,1.,0.)); #38303=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38304=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38305=DIRECTION('',(0.,1.,0.)); #38306=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38307=DIRECTION('',(1.,-1.92747052886312E-015,6.12303176911189E-017)); #38308=DIRECTION('',(1.,-2.0171203209033E-015,6.12303176911189E-017)); #38309=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38310=DIRECTION('',(-1.,1.97127667724639E-015,-6.31553925712423E-017)); #38311=DIRECTION('',(-2.0171203209033E-015,-1.,-1.23508918070121E-031)); #38312=DIRECTION('',(-1.,2.01748340256103E-015,-6.11490025281825E-017)); #38313=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38314=DIRECTION('',(-1.,-1.97127667724639E-015,-6.31553925712423E-017)); #38315=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38316=DIRECTION('',(1.,2.0171203209033E-015,6.12303176911189E-017)); #38317=DIRECTION('',(1.,1.92747052886312E-015,6.12303176911189E-017)); #38318=DIRECTION('',(-2.0171203209033E-015,1.,-1.23508918070121E-031)); #38319=DIRECTION('',(1.,2.01574867908505E-015,6.11490025281825E-017)); #38320=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38321=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38322=DIRECTION('',(0.,1.,0.)); #38323=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38324=DIRECTION('',(0.,1.,0.)); #38325=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38326=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38327=DIRECTION('',(0.,1.,0.)); #38328=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38329=DIRECTION('',(0.,-1.,0.)); #38330=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38331=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38332=DIRECTION('',(0.,-1.,0.)); #38333=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38334=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38335=DIRECTION('',(0.,-1.,0.)); #38336=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38337=DIRECTION('',(0.,1.,0.)); #38338=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38339=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38340=DIRECTION('',(0.,1.,0.)); #38341=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38342=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38343=DIRECTION('',(0.,1.,0.)); #38344=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38345=DIRECTION('',(0.,-1.,0.)); #38346=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38347=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38348=DIRECTION('',(0.,-1.,0.)); #38349=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38350=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38351=DIRECTION('',(0.,-1.,0.)); #38352=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38353=DIRECTION('',(0.,1.,0.)); #38354=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38355=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38356=DIRECTION('',(0.,1.,0.)); #38357=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38358=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38359=DIRECTION('',(0.,1.,0.)); #38360=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38361=DIRECTION('',(0.,-1.,0.)); #38362=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38363=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38364=DIRECTION('',(0.,-1.,0.)); #38365=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38366=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38367=DIRECTION('',(0.,-1.,0.)); #38368=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38369=DIRECTION('',(0.,1.,0.)); #38370=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38371=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38372=DIRECTION('',(0.,1.,0.)); #38373=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38374=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38375=DIRECTION('',(0.,1.,0.)); #38376=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38377=DIRECTION('',(0.,-1.,0.)); #38378=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38379=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38380=DIRECTION('',(0.,-1.,0.)); #38381=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38382=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38383=DIRECTION('',(0.,-1.,0.)); #38384=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38385=DIRECTION('',(0.,1.,0.)); #38386=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38387=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38388=DIRECTION('',(0.,1.,0.)); #38389=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38390=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38391=DIRECTION('',(0.,1.,0.)); #38392=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38393=DIRECTION('',(0.,-1.,0.)); #38394=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38395=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38396=DIRECTION('',(0.,-1.,0.)); #38397=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38398=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38399=DIRECTION('',(0.,-1.,0.)); #38400=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38401=DIRECTION('',(0.,1.,0.)); #38402=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38403=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38404=DIRECTION('',(0.,1.,0.)); #38405=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38406=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38407=DIRECTION('',(0.,1.,0.)); #38408=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38409=DIRECTION('',(0.,-1.,0.)); #38410=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38411=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38412=DIRECTION('',(0.,-1.,0.)); #38413=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38414=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38415=DIRECTION('',(0.,-1.,0.)); #38416=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38417=DIRECTION('',(0.,1.,0.)); #38418=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38419=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38420=DIRECTION('',(0.,1.,0.)); #38421=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38422=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38423=DIRECTION('',(0.,1.,0.)); #38424=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38425=DIRECTION('',(0.,-1.,0.)); #38426=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38427=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38428=DIRECTION('',(0.,-1.,0.)); #38429=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38430=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38431=DIRECTION('',(0.,-1.,0.)); #38432=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38433=DIRECTION('',(0.,1.,0.)); #38434=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38435=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38436=DIRECTION('',(0.,1.,0.)); #38437=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38438=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38439=DIRECTION('',(0.,1.,0.)); #38440=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38441=DIRECTION('',(0.,-1.,0.)); #38442=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38443=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38444=DIRECTION('',(0.,-1.,0.)); #38445=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38446=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38447=DIRECTION('',(0.,-1.,0.)); #38448=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38449=DIRECTION('',(0.,1.,0.)); #38450=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38451=DIRECTION('',(0.,1.,0.)); #38452=DIRECTION('',(-6.88911771782619E-033,1.,1.12511546201326E-016)); #38453=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38454=DIRECTION('',(-1.11655224779204E-016,1.,1.32968190965203E-016)); #38455=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38456=DIRECTION('',(6.12303176911189E-017,1.32968190965203E-016,-1.)); #38457=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38458=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38459=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38460=DIRECTION('',(0.,-1.,0.)); #38461=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38462=DIRECTION('',(0.,1.,0.)); #38463=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38464=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38465=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38466=DIRECTION('',(0.,1.,0.)); #38467=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38468=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38469=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38470=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38471=DIRECTION('',(0.,1.,0.)); #38472=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38473=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38474=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38475=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38476=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38477=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38478=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38479=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38480=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38481=DIRECTION('',(0.,-1.,0.)); #38482=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38483=DIRECTION('',(0.,1.,0.)); #38484=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38485=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38486=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38487=DIRECTION('',(0.,1.,0.)); #38488=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38489=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38490=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38491=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38492=DIRECTION('',(0.,1.,0.)); #38493=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38494=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38495=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38496=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38497=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38498=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38499=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38500=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38501=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38502=DIRECTION('',(0.,-1.,0.)); #38503=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38504=DIRECTION('',(0.,1.,0.)); #38505=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38506=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38507=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38508=DIRECTION('',(0.,1.,0.)); #38509=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38510=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38511=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38512=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38513=DIRECTION('',(0.,1.,0.)); #38514=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38515=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38516=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38517=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38518=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38519=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38520=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38521=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38522=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38523=DIRECTION('',(0.,-1.,0.)); #38524=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38525=DIRECTION('',(0.,1.,0.)); #38526=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38527=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38528=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38529=DIRECTION('',(0.,1.,0.)); #38530=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38531=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38532=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38533=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38534=DIRECTION('',(0.,1.,0.)); #38535=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38536=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38537=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38538=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38539=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38540=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38541=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38542=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38543=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38544=DIRECTION('',(0.,-1.,0.)); #38545=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38546=DIRECTION('',(0.,1.,0.)); #38547=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38548=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38549=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38550=DIRECTION('',(0.,1.,0.)); #38551=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38552=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38553=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38554=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38555=DIRECTION('',(0.,1.,0.)); #38556=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38557=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38558=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38559=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38560=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38561=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38562=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38563=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38564=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38565=DIRECTION('',(0.,-1.,0.)); #38566=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38567=DIRECTION('',(0.,1.,0.)); #38568=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38569=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38570=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38571=DIRECTION('',(0.,1.,0.)); #38572=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38573=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38574=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38575=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38576=DIRECTION('',(0.,1.,0.)); #38577=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38578=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38579=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38580=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38581=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38582=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38583=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38584=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38585=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38586=DIRECTION('',(0.,-1.,0.)); #38587=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38588=DIRECTION('',(0.,1.,0.)); #38589=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38590=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38591=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38592=DIRECTION('',(0.,1.,0.)); #38593=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38594=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38595=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38596=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38597=DIRECTION('',(0.,1.,0.)); #38598=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38599=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38600=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38601=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38602=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38603=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38604=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38605=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38606=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38607=DIRECTION('',(0.,-1.,0.)); #38608=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38609=DIRECTION('',(0.,1.,0.)); #38610=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38611=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38612=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38613=DIRECTION('',(0.,1.,0.)); #38614=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38615=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38616=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38617=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38618=DIRECTION('',(0.,1.,0.)); #38619=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38620=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38621=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38622=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38623=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38624=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38625=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38626=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38627=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38628=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38629=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38630=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38631=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38632=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38633=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38634=DIRECTION('',(0.,-1.,0.)); #38635=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38636=DIRECTION('',(0.,1.,0.)); #38637=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38638=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38639=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38640=DIRECTION('',(0.,1.,0.)); #38641=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38642=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38643=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38644=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38645=DIRECTION('',(0.,1.,0.)); #38646=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38647=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38648=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38649=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38650=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38651=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38652=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38653=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38654=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38655=DIRECTION('',(0.,-1.,0.)); #38656=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38657=DIRECTION('',(0.,1.,0.)); #38658=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38659=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38660=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38661=DIRECTION('',(0.,1.,0.)); #38662=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38663=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38664=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38665=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38666=DIRECTION('',(0.,1.,0.)); #38667=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38668=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38669=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38670=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38671=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38672=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38673=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38674=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38675=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38676=DIRECTION('',(0.,-1.,0.)); #38677=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38678=DIRECTION('',(0.,1.,0.)); #38679=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38680=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38681=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38682=DIRECTION('',(0.,1.,0.)); #38683=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38684=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38685=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38686=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38687=DIRECTION('',(0.,1.,0.)); #38688=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38689=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38690=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38691=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38692=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38693=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38694=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38695=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38696=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38697=DIRECTION('',(0.,-1.,0.)); #38698=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38699=DIRECTION('',(0.,1.,0.)); #38700=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38701=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38702=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38703=DIRECTION('',(0.,1.,0.)); #38704=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38705=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38706=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38707=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38708=DIRECTION('',(0.,1.,0.)); #38709=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38710=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38711=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38712=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38713=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38714=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38715=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38716=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38717=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38718=DIRECTION('',(0.,-1.,0.)); #38719=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38720=DIRECTION('',(0.,1.,0.)); #38721=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38722=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38723=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38724=DIRECTION('',(0.,1.,0.)); #38725=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38726=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38727=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38728=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38729=DIRECTION('',(0.,1.,0.)); #38730=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38731=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38732=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38733=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38734=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38735=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38736=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38737=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38738=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38739=DIRECTION('',(0.,-1.,0.)); #38740=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38741=DIRECTION('',(0.,1.,0.)); #38742=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38743=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38744=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38745=DIRECTION('',(0.,1.,0.)); #38746=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38747=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38748=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38749=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38750=DIRECTION('',(0.,1.,0.)); #38751=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38752=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38753=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38754=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38755=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38756=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38757=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38758=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38759=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38760=DIRECTION('',(0.,-1.,0.)); #38761=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38762=DIRECTION('',(0.,1.,0.)); #38763=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38764=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38765=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38766=DIRECTION('',(0.,1.,0.)); #38767=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38768=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38769=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38770=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38771=DIRECTION('',(0.,1.,0.)); #38772=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38773=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38774=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38775=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38776=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38777=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38778=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38779=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38780=DIRECTION('',(-1.48804342755188E-016,0.,-1.)); #38781=DIRECTION('',(0.,-1.,0.)); #38782=DIRECTION('',(-1.,0.,1.48804342755188E-016)); #38783=DIRECTION('',(0.,1.,0.)); #38784=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38785=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38786=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38787=DIRECTION('',(0.,1.,0.)); #38788=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38789=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38790=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38791=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38792=DIRECTION('',(0.,1.,0.)); #38793=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38794=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38795=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38796=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38797=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38798=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38799=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38800=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38801=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38802=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38803=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38804=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38805=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38806=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38807=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38808=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38809=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38810=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38811=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38812=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38813=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38814=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38815=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38816=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38817=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38818=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38819=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38820=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38821=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38822=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38823=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38824=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38825=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38826=DIRECTION('',(-6.12303176911189E-017,-2.09002828430941E-015,1.)); #38827=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38828=DIRECTION('',(0.,1.,0.)); #38829=DIRECTION('',(0.,1.,0.)); #38830=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38831=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38832=DIRECTION('',(0.,1.,0.)); #38833=DIRECTION('',(0.,1.,0.)); #38834=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38835=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38836=DIRECTION('',(0.,1.,0.)); #38837=DIRECTION('',(0.,1.,0.)); #38838=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38839=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38840=DIRECTION('',(0.,1.,0.)); #38841=DIRECTION('',(0.,1.,0.)); #38842=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38843=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38844=DIRECTION('',(0.,1.,0.)); #38845=DIRECTION('',(0.,1.,0.)); #38846=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38847=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38848=DIRECTION('',(0.,1.,0.)); #38849=DIRECTION('',(0.,1.,0.)); #38850=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38851=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38852=DIRECTION('',(0.,1.,0.)); #38853=DIRECTION('',(0.,1.,0.)); #38854=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38855=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38856=DIRECTION('',(0.,1.,0.)); #38857=DIRECTION('',(0.,1.,0.)); #38858=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38859=DIRECTION('',(7.51540114671947E-033,-1.,-1.22739868583265E-016)); #38860=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38861=DIRECTION('',(0.,1.,0.)); #38862=DIRECTION('',(0.,1.,0.)); #38863=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38864=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38865=DIRECTION('',(0.,1.,0.)); #38866=DIRECTION('',(0.,1.,0.)); #38867=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38868=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38869=DIRECTION('',(0.,1.,0.)); #38870=DIRECTION('',(0.,1.,0.)); #38871=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38872=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38873=DIRECTION('',(0.,1.,0.)); #38874=DIRECTION('',(0.,1.,0.)); #38875=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38876=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38877=DIRECTION('',(0.,1.,0.)); #38878=DIRECTION('',(0.,1.,0.)); #38879=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38880=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38881=DIRECTION('',(0.,1.,0.)); #38882=DIRECTION('',(0.,1.,0.)); #38883=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38884=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38885=DIRECTION('',(0.,1.,0.)); #38886=DIRECTION('',(0.,1.,0.)); #38887=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38888=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38889=DIRECTION('',(0.,1.,0.)); #38890=DIRECTION('',(0.,1.,0.)); #38891=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38892=DIRECTION('',(6.12303176911189E-017,-2.09002828430941E-015,-1.)); #38893=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38894=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38895=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38896=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38897=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38898=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38899=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38900=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38901=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38902=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38903=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38904=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38905=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38906=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38907=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38908=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38909=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38910=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38911=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38912=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38913=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38914=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38915=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38916=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38917=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38918=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38919=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38920=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38921=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38922=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38923=DIRECTION('',(9.22028381426234E-033,-1.,-1.50583635067431E-016)); #38924=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38925=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38926=DIRECTION('',(0.,-1.,0.)); #38927=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38928=DIRECTION('',(2.54746751053926E-018,-1.,-1.22739868583265E-016)); #38929=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38930=DIRECTION('',(-6.12303176911189E-017,-1.22739868583265E-016,1.)); #38931=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38932=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38933=DIRECTION('',(-0.999999999999994,0.,0.)); #38934=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #38935=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38936=DIRECTION('',(-0.999999999999994,0.,0.)); #38937=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38938=DIRECTION('',(-0.999999999999994,0.,0.)); #38939=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38940=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38941=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38942=DIRECTION('',(0.707106781186549,1.47787317270686E-015,0.707106781186546)); #38943=DIRECTION('',(6.12303176911191E-017,-2.09002828430941E-015,-1.)); #38944=DIRECTION('',(-1.27973095831689E-031,-1.,2.09002828430941E-015)); #38945=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #38946=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #38947=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #38948=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38949=DIRECTION('',(-1.,1.26161707343768E-015,-6.12303176911189E-017)); #38950=DIRECTION('',(1.26114396703514E-015,1.,0.)); #38951=DIRECTION('',(-0.707106781186539,-0.707106781186556,-4.32963728535963E-017)); #38952=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38953=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38954=DIRECTION('',(0.707106781186539,0.707106781186556,4.32963728535963E-017)); #38955=DIRECTION('',(1.39000278523783E-016,-1.,8.51103121316525E-033)); #38956=DIRECTION('',(1.,-1.92747052886312E-015,6.12303176911189E-017)); #38957=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38958=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38959=DIRECTION('',(-0.577350269189621,-0.577350269189635,-0.577350269189622)); #38960=DIRECTION('',(-1.39000278523783E-016,1.,-8.51103121316525E-033)); #38961=DIRECTION('',(0.707106781186547,0.,0.707106781186548)); #38962=DIRECTION('',(1.39000278523783E-016,-1.,8.51103121316525E-033)); #38963=DIRECTION('',(-0.707106781186548,-9.82880395309861E-017,0.707106781186547)); #38964=DIRECTION('',(-1.38777878078145E-016,1.,0.)); #38965=DIRECTION('',(1.,-1.92747052886312E-015,6.12303176911189E-017)); #38966=DIRECTION('',(1.,0.,6.12303176911189E-017)); #38967=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #38968=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38969=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38970=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38971=DIRECTION('',(1.,0.,-5.17074086094581E-017)); #38972=DIRECTION('',(-1.92747052886312E-015,-1.,-1.18019632822558E-031)); #38973=DIRECTION('',(-1.,1.92727778181023E-015,-6.11490025281825E-017)); #38974=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #38975=DIRECTION('',(1.,-2.0171203209033E-015,6.12303176911189E-017)); #38976=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #38977=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #38978=DIRECTION('',(1.,0.,6.11490025281825E-017)); #38979=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #38980=DIRECTION('',(-1.26161707343768E-015,-1.,-7.72492142111285E-032)); #38981=DIRECTION('',(1.,-1.26161707343768E-015,6.12303176911189E-017)); #38982=DIRECTION('',(-1.26114396703514E-015,-1.,0.)); #38983=DIRECTION('',(0.,-1.,0.)); #38984=DIRECTION('',(0.,1.,0.)); #38985=DIRECTION('',(-0.999999999999999,0.,0.)); #38986=DIRECTION('',(0.,-1.,0.)); #38987=DIRECTION('',(0.,-1.,0.)); #38988=DIRECTION('',(0.999999999999999,0.,0.)); #38989=DIRECTION('',(0.,-1.,0.)); #38990=DIRECTION('',(-0.999999999999999,0.,0.)); #38991=DIRECTION('',(6.30808536718839E-016,-1.,3.86246071055643E-032)); #38992=DIRECTION('',(0.999999999999999,0.,0.)); #38993=DIRECTION('',(0.,-1.,0.)); #38994=DIRECTION('',(0.,-1.,0.)); #38995=DIRECTION('',(0.999999999999999,0.,0.)); #38996=DIRECTION('',(0.,1.,0.)); #38997=DIRECTION('',(-0.999999999999999,0.,0.)); #38998=DIRECTION('',(0.,-1.,0.)); #38999=DIRECTION('',(-0.999999999999999,0.,0.)); #39000=DIRECTION('',(-1.,-6.30808536718839E-016,-6.12303176911189E-017)); #39001=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39002=DIRECTION('',(-1.,-6.30808536718839E-016,-6.12303176911189E-017)); #39003=DIRECTION('',(6.30808536718839E-016,-1.,3.86246071055643E-032)); #39004=DIRECTION('',(-1.,-6.30571983517569E-016,-6.11490025281825E-017)); #39005=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39006=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39007=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39008=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39009=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39010=DIRECTION('',(0.,1.,0.)); #39011=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39012=DIRECTION('',(0.,-1.,0.)); #39013=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39014=DIRECTION('',(0.,-1.,0.)); #39015=DIRECTION('',(0.,1.,0.)); #39016=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39017=DIRECTION('',(0.,-1.,0.)); #39018=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39019=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39020=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39021=DIRECTION('',(0.,-1.,0.)); #39022=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39023=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39024=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39025=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39026=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39027=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39028=DIRECTION('',(0.,1.,0.)); #39029=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39030=DIRECTION('',(0.,-1.,0.)); #39031=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39032=DIRECTION('',(0.,-1.,0.)); #39033=DIRECTION('',(0.,1.,0.)); #39034=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39035=DIRECTION('',(0.,-1.,0.)); #39036=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39037=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39038=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39039=DIRECTION('',(0.,-1.,0.)); #39040=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39041=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39042=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39043=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39044=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39045=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39046=DIRECTION('',(0.,1.,0.)); #39047=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39048=DIRECTION('',(0.,-1.,0.)); #39049=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39050=DIRECTION('',(0.,-1.,0.)); #39051=DIRECTION('',(0.,1.,0.)); #39052=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39053=DIRECTION('',(0.,-1.,0.)); #39054=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39055=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39056=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39057=DIRECTION('',(0.,-1.,0.)); #39058=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39059=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39060=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39061=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39062=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39063=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39064=DIRECTION('',(0.,1.,0.)); #39065=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39066=DIRECTION('',(0.,-1.,0.)); #39067=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39068=DIRECTION('',(0.,-1.,0.)); #39069=DIRECTION('',(0.,1.,0.)); #39070=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39071=DIRECTION('',(0.,-1.,0.)); #39072=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39073=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39074=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39075=DIRECTION('',(0.,-1.,0.)); #39076=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39077=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39078=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39079=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39080=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39081=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39082=DIRECTION('',(0.,1.,0.)); #39083=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39084=DIRECTION('',(0.,-1.,0.)); #39085=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39086=DIRECTION('',(0.,-1.,0.)); #39087=DIRECTION('',(0.,1.,0.)); #39088=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39089=DIRECTION('',(0.,-1.,0.)); #39090=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39091=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39092=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39093=DIRECTION('',(0.,-1.,0.)); #39094=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39095=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39096=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39097=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39098=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39099=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39100=DIRECTION('',(0.,1.,0.)); #39101=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39102=DIRECTION('',(0.,-1.,0.)); #39103=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39104=DIRECTION('',(0.,-1.,0.)); #39105=DIRECTION('',(0.,1.,0.)); #39106=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39107=DIRECTION('',(0.,-1.,0.)); #39108=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39109=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39110=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39111=DIRECTION('',(0.,-1.,0.)); #39112=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39113=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39114=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39115=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39116=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39117=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39118=DIRECTION('',(0.,1.,0.)); #39119=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39120=DIRECTION('',(0.,-1.,0.)); #39121=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39122=DIRECTION('',(0.,-1.,0.)); #39123=DIRECTION('',(0.,1.,0.)); #39124=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39125=DIRECTION('',(0.,-1.,0.)); #39126=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39127=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39128=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39129=DIRECTION('',(0.,-1.,0.)); #39130=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39131=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39132=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39133=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39134=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39135=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39136=DIRECTION('',(0.,1.,0.)); #39137=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39138=DIRECTION('',(0.,-1.,0.)); #39139=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39140=DIRECTION('',(0.,-1.,0.)); #39141=DIRECTION('',(0.,1.,0.)); #39142=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39143=DIRECTION('',(0.,-1.,0.)); #39144=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39145=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39146=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39147=DIRECTION('',(0.,-1.,0.)); #39148=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39149=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39150=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39151=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39152=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39153=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39154=DIRECTION('',(0.,1.,0.)); #39155=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39156=DIRECTION('',(0.,-1.,0.)); #39157=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39158=DIRECTION('',(0.,-1.,0.)); #39159=DIRECTION('',(0.,1.,0.)); #39160=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39161=DIRECTION('',(0.,-1.,0.)); #39162=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39163=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39164=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39165=DIRECTION('',(0.,-1.,0.)); #39166=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39167=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39168=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39169=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39170=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39171=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39172=DIRECTION('',(0.,1.,0.)); #39173=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39174=DIRECTION('',(0.,-1.,0.)); #39175=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39176=DIRECTION('',(0.,-1.,0.)); #39177=DIRECTION('',(0.,1.,0.)); #39178=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39179=DIRECTION('',(0.,-1.,0.)); #39180=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39181=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39182=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39183=DIRECTION('',(0.,-1.,0.)); #39184=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39185=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39186=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39187=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39188=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39189=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39190=DIRECTION('',(0.,1.,0.)); #39191=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39192=DIRECTION('',(0.,-1.,0.)); #39193=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39194=DIRECTION('',(0.,-1.,0.)); #39195=DIRECTION('',(0.,1.,0.)); #39196=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39197=DIRECTION('',(0.,-1.,0.)); #39198=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39199=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39200=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39201=DIRECTION('',(0.,-1.,0.)); #39202=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39203=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39204=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39205=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39206=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39207=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39208=DIRECTION('',(0.,1.,0.)); #39209=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39210=DIRECTION('',(0.,-1.,0.)); #39211=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39212=DIRECTION('',(0.,-1.,0.)); #39213=DIRECTION('',(0.,1.,0.)); #39214=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39215=DIRECTION('',(0.,-1.,0.)); #39216=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39217=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39218=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39219=DIRECTION('',(0.,-1.,0.)); #39220=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39221=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39222=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39223=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39224=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39225=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39226=DIRECTION('',(0.,1.,0.)); #39227=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39228=DIRECTION('',(0.,-1.,0.)); #39229=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39230=DIRECTION('',(0.,-1.,0.)); #39231=DIRECTION('',(0.,1.,0.)); #39232=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39233=DIRECTION('',(0.,-1.,0.)); #39234=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39235=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39236=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39237=DIRECTION('',(0.,-1.,0.)); #39238=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39239=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39240=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39241=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39242=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39243=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39244=DIRECTION('',(0.,1.,0.)); #39245=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39246=DIRECTION('',(0.,-1.,0.)); #39247=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39248=DIRECTION('',(0.,-1.,0.)); #39249=DIRECTION('',(0.,1.,0.)); #39250=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39251=DIRECTION('',(0.,-1.,0.)); #39252=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39253=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39254=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39255=DIRECTION('',(0.,-1.,0.)); #39256=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39257=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39258=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39259=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39260=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39261=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39262=DIRECTION('',(0.,1.,0.)); #39263=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39264=DIRECTION('',(0.,-1.,0.)); #39265=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39266=DIRECTION('',(0.,-1.,0.)); #39267=DIRECTION('',(0.,1.,0.)); #39268=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39269=DIRECTION('',(0.,-1.,0.)); #39270=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39271=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39272=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39273=DIRECTION('',(0.,-1.,0.)); #39274=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39275=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39276=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39277=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39278=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39279=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39280=DIRECTION('',(0.,1.,0.)); #39281=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39282=DIRECTION('',(0.,-1.,0.)); #39283=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39284=DIRECTION('',(0.,-1.,0.)); #39285=DIRECTION('',(0.,1.,0.)); #39286=DIRECTION('',(1.,0.,6.19544098563145E-017)); #39287=DIRECTION('',(0.,-1.,0.)); #39288=DIRECTION('',(-1.,0.,-6.19544098563145E-017)); #39289=DIRECTION('',(0.,-1.,0.)); #39290=DIRECTION('',(0.999999999999999,0.,0.)); #39291=DIRECTION('',(0.,-1.,0.)); #39292=DIRECTION('',(0.999999999999999,0.,0.)); #39293=DIRECTION('',(2.59299137313801E-014,0.,-1.)); #39294=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39295=DIRECTION('',(0.,-1.,0.)); #39296=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39297=DIRECTION('',(1.25826170888066E-016,-1.,7.7043764173333E-033)); #39298=DIRECTION('',(1.,-1.26161707343768E-015,6.12303176911189E-017)); #39299=DIRECTION('',(0.,-1.,0.)); #39300=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39301=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39302=DIRECTION('',(1.26161707343768E-015,1.,7.72492142111288E-032)); #39303=DIRECTION('',(-0.999999999999999,0.,0.)); #39304=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39305=DIRECTION('',(1.,-1.26161707343768E-015,6.12303176911189E-017)); #39306=DIRECTION('',(1.26161707343768E-015,1.,7.72492142111288E-032)); #39307=DIRECTION('',(1.,-1.26287869051112E-015,6.11490025281825E-017)); #39308=DIRECTION('',(0.,-1.,0.)); #39309=DIRECTION('',(0.,-1.,0.)); #39310=DIRECTION('',(0.999999999999999,0.,0.)); #39311=DIRECTION('',(0.,-1.,0.)); #39312=DIRECTION('',(0.,-1.,0.)); #39313=DIRECTION('',(-0.999999999999999,0.,0.)); #39314=DIRECTION('',(0.,-1.,0.)); #39315=DIRECTION('',(0.999999999999999,0.,0.)); #39316=DIRECTION('',(0.,-1.,0.)); #39317=DIRECTION('',(-0.999999999999999,0.,0.)); #39318=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39319=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #39320=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #39321=DIRECTION('',(-1.,-1.26161707343768E-015,-6.12303176911189E-017)); #39322=DIRECTION('',(-1.26114396703514E-015,1.,0.)); #39323=DIRECTION('',(1.,2.0171203209033E-015,6.12303176911189E-017)); #39324=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #39325=DIRECTION('',(1.,0.,-5.17074086094581E-017)); #39326=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39327=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39328=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39329=DIRECTION('',(1.,1.92747052886312E-015,6.12303176911189E-017)); #39330=DIRECTION('',(1.,1.92747052886312E-015,6.12303176911189E-017)); #39331=DIRECTION('',(-1.92747052886312E-015,1.,-1.18019632822558E-031)); #39332=DIRECTION('',(1.,1.92901250528621E-015,6.11490025281825E-017)); #39333=DIRECTION('',(1.39000278523783E-016,-1.,8.51103121316525E-033)); #39334=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #39335=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39336=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39337=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39338=DIRECTION('',(0.577350269189624,-0.577350269189633,0.577350269189621)); #39339=DIRECTION('',(1.39000278523783E-016,-1.,8.51103121316525E-033)); #39340=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39341=DIRECTION('',(-1.39000278523783E-016,1.,-8.51103121316525E-033)); #39342=DIRECTION('',(0.707106781186546,9.82880395309858E-017,-0.707106781186549)); #39343=DIRECTION('',(1.38777878078145E-016,-1.,0.)); #39344=DIRECTION('',(0.707106781186542,-0.707106781186553,4.32963728535964E-017)); #39345=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39346=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39347=DIRECTION('',(0.707106781186542,-0.707106781186553,4.32963728535964E-017)); #39348=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #39349=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39350=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39351=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39352=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #39353=DIRECTION('',(1.,1.26161707343768E-015,6.12303176911189E-017)); #39354=DIRECTION('',(1.26114396703514E-015,-1.,0.)); #39355=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39356=DIRECTION('',(0.904431263292268,-0.361772505316911,-0.226107815823067)); #39357=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39358=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39359=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39360=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39361=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39362=DIRECTION('',(0.,-1.,0.)); #39363=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39364=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39365=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39366=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39367=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39368=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39369=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39370=DIRECTION('',(0.,1.,0.)); #39371=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39372=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39373=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39374=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39375=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39376=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39377=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39378=DIRECTION('',(0.,-1.,0.)); #39379=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39380=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39381=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39382=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39383=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39384=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39385=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39386=DIRECTION('',(0.,1.,0.)); #39387=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39388=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39389=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39390=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39391=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39392=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39393=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39394=DIRECTION('',(0.,-1.,0.)); #39395=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39396=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39397=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39398=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39399=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39400=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39401=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39402=DIRECTION('',(0.,1.,0.)); #39403=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39404=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39405=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39406=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39407=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39408=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39409=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39410=DIRECTION('',(0.,-1.,0.)); #39411=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39412=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39413=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39414=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39415=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39416=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39417=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39418=DIRECTION('',(0.,1.,0.)); #39419=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39420=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39421=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39422=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39423=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39424=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39425=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39426=DIRECTION('',(0.,-1.,0.)); #39427=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39428=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39429=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39430=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39431=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39432=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39433=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39434=DIRECTION('',(0.,1.,0.)); #39435=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39436=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39437=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39438=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39439=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39440=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39441=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39442=DIRECTION('',(0.,-1.,0.)); #39443=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39444=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39445=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39446=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39447=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39448=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39449=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39450=DIRECTION('',(0.,1.,0.)); #39451=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39452=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39453=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39454=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39455=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39456=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39457=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39458=DIRECTION('',(0.,-1.,0.)); #39459=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39460=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39461=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39462=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39463=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39464=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39465=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39466=DIRECTION('',(0.,1.,0.)); #39467=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39468=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39469=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39470=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39471=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39472=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39473=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39474=DIRECTION('',(0.,-1.,0.)); #39475=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39476=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39477=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39478=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39479=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39480=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39481=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39482=DIRECTION('',(0.,1.,0.)); #39483=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39484=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39485=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39486=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39487=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39488=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39489=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39490=DIRECTION('',(0.,-1.,0.)); #39491=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39492=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39493=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39494=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39495=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39496=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39497=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39498=DIRECTION('',(0.,1.,0.)); #39499=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39500=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39501=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39502=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39503=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39504=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39505=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39506=DIRECTION('',(0.,-1.,0.)); #39507=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39508=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39509=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39510=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39511=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39512=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39513=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39514=DIRECTION('',(0.,1.,0.)); #39515=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39516=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39517=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39518=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39519=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39520=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39521=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39522=DIRECTION('',(0.,-1.,0.)); #39523=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39524=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39525=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39526=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39527=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39528=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39529=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39530=DIRECTION('',(0.,1.,0.)); #39531=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39532=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39533=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39534=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39535=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39536=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39537=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39538=DIRECTION('',(0.,-1.,0.)); #39539=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39540=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39541=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39542=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39543=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39544=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39545=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39546=DIRECTION('',(0.,1.,0.)); #39547=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39548=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39549=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39550=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39551=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39552=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39553=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39554=DIRECTION('',(0.,-1.,0.)); #39555=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39556=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39557=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39558=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39559=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39560=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39561=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39562=DIRECTION('',(0.,1.,0.)); #39563=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39564=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39565=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39566=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39567=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39568=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39569=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39570=DIRECTION('',(0.,-1.,0.)); #39571=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39572=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39573=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39574=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39575=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39576=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39577=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39578=DIRECTION('',(0.,1.,0.)); #39579=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39580=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39581=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39582=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39583=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39584=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39585=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39586=DIRECTION('',(0.,-1.,0.)); #39587=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39588=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39589=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39590=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39591=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39592=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39593=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39594=DIRECTION('',(0.,1.,0.)); #39595=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39596=DIRECTION('',(0.970142500145332,0.,-0.242535625036333)); #39597=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39598=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39599=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39600=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39601=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39602=DIRECTION('',(0.,-1.,0.)); #39603=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39604=DIRECTION('',(-9.22028381426234E-033,1.,1.50583635067431E-016)); #39605=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39606=DIRECTION('',(6.12303176911189E-017,1.50583635067431E-016,-1.)); #39607=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39608=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39609=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39610=DIRECTION('',(0.,1.,0.)); #39611=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39612=DIRECTION('',(1.18276600634782E-016,-1.,7.2421138322933E-033)); #39613=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39614=DIRECTION('',(0.,-1.,0.)); #39615=DIRECTION('',(-1.,-1.26161707343768E-015,-6.12303176911189E-017)); #39616=DIRECTION('',(-0.707106781186542,0.707106781186553,-4.32963728535964E-017)); #39617=DIRECTION('',(-1.11655224779204E-016,1.,-6.83668488510395E-033)); #39618=DIRECTION('',(0.707106781186539,0.707106781186556,4.32963728535963E-017)); #39619=DIRECTION('',(1.,6.30808536718839E-016,6.12303176911189E-017)); #39620=DIRECTION('',(0.,-1.,0.)); #39621=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #39622=DIRECTION('',(1.18276600634782E-016,-1.,7.2421138322933E-033)); #39623=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39624=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #39625=DIRECTION('',(-6.12303176911186E-017,-2.09002828430941E-015,1.)); #39626=DIRECTION('',(-0.707106781186549,1.47787317270686E-015,-0.707106781186546)); #39627=DIRECTION('',(-1.27973095831689E-031,1.,2.09002828430941E-015)); #39628=DIRECTION('',(1.,0.,6.11490025281825E-017)); #39629=DIRECTION('',(1.11655224779204E-016,-1.,-1.32968190965203E-016)); #39630=DIRECTION('',(-0.904431263292268,-0.36177250531691,0.226107815823067)); #39631=DIRECTION('',(0.242535625036333,-1.01917723492388E-016,0.970142500145332)); #39632=DIRECTION('',(-0.970142500145332,0.,0.242535625036333)); #39633=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39634=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39635=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39636=DIRECTION('',(0.,1.,0.)); #39637=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39638=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39639=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39640=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39641=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39642=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39643=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39644=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39645=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39646=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39647=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39648=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39649=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39650=DIRECTION('',(0.,-1.,0.)); #39651=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39652=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39653=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39654=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39655=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39656=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39657=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39658=DIRECTION('',(0.,1.,0.)); #39659=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39660=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39661=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39662=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39663=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39664=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39665=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39666=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39667=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39668=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39669=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39670=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39671=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39672=DIRECTION('',(0.,-1.,0.)); #39673=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39674=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39675=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39676=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39677=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39678=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39679=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39680=DIRECTION('',(0.,1.,0.)); #39681=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39682=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39683=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39684=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39685=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39686=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39687=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39688=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39689=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39690=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39691=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39692=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39693=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39694=DIRECTION('',(0.,-1.,0.)); #39695=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39696=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39697=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39698=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39699=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39700=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39701=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39702=DIRECTION('',(0.,1.,0.)); #39703=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39704=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39705=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39706=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39707=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39708=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39709=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39710=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39711=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39712=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39713=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39714=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39715=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39716=DIRECTION('',(0.,-1.,0.)); #39717=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39718=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39719=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39720=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39721=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39722=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39723=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39724=DIRECTION('',(0.,1.,0.)); #39725=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39726=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39727=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39728=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39729=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39730=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39731=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39732=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39733=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39734=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39735=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39736=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39737=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39738=DIRECTION('',(0.,-1.,0.)); #39739=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39740=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39741=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39742=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39743=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39744=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39745=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39746=DIRECTION('',(0.,1.,0.)); #39747=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39748=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39749=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39750=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39751=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39752=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39753=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39754=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39755=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39756=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39757=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39758=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39759=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39760=DIRECTION('',(0.,-1.,0.)); #39761=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39762=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39763=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39764=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39765=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39766=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39767=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39768=DIRECTION('',(0.,1.,0.)); #39769=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39770=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39771=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39772=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39773=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39774=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39775=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39776=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39777=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39778=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39779=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39780=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39781=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39782=DIRECTION('',(0.,-1.,0.)); #39783=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39784=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39785=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39786=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39787=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39788=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39789=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39790=DIRECTION('',(0.,1.,0.)); #39791=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39792=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39793=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39794=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39795=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39796=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39797=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39798=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39799=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39800=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39801=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39802=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39803=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39804=DIRECTION('',(0.,-1.,0.)); #39805=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39806=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39807=DIRECTION('',(0.680413817439773,-0.27216552697591,0.68041381743977)); #39808=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39809=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39810=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39811=DIRECTION('',(-0.680413817439773,-0.27216552697591,-0.68041381743977)); #39812=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39813=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39814=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39815=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39816=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39817=DIRECTION('',(0.,1.,0.)); #39818=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39819=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39820=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39821=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39822=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39823=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39824=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39825=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39826=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39827=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39828=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39829=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39830=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39831=DIRECTION('',(0.,-1.,0.)); #39832=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39833=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39834=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39835=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39836=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39837=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39838=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39839=DIRECTION('',(0.,1.,0.)); #39840=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39841=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39842=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39843=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39844=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39845=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39846=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39847=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39848=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39849=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39850=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39851=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39852=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39853=DIRECTION('',(0.,-1.,0.)); #39854=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39855=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39856=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39857=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39858=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39859=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39860=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39861=DIRECTION('',(0.,1.,0.)); #39862=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39863=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39864=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39865=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39866=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39867=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39868=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39869=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39870=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39871=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39872=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39873=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39874=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39875=DIRECTION('',(0.,-1.,0.)); #39876=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39877=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39878=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39879=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39880=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39881=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39882=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39883=DIRECTION('',(0.,1.,0.)); #39884=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39885=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39886=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39887=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39888=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39889=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39890=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39891=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39892=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39893=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39894=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39895=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39896=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39897=DIRECTION('',(0.,-1.,0.)); #39898=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39899=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39900=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39901=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39902=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39903=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39904=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39905=DIRECTION('',(0.,1.,0.)); #39906=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39907=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39908=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39909=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39910=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39911=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39912=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39913=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39914=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39915=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39916=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39917=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39918=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39919=DIRECTION('',(0.,-1.,0.)); #39920=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39921=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39922=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39923=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39924=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39925=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39926=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39927=DIRECTION('',(0.,1.,0.)); #39928=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39929=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39930=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39931=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39932=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39933=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39934=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39935=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39936=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39937=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39938=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39939=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39940=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39941=DIRECTION('',(0.,-1.,0.)); #39942=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39943=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39944=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39945=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39946=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39947=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39948=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39949=DIRECTION('',(0.,1.,0.)); #39950=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39951=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39952=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39953=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39954=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39955=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39956=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39957=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39958=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39959=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39960=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39961=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39962=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39963=DIRECTION('',(0.,-1.,0.)); #39964=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39965=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39966=DIRECTION('',(0.707106781186549,0.,0.707106781186546)); #39967=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39968=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39969=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39970=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39971=DIRECTION('',(0.,1.,0.)); #39972=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39973=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39974=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39975=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39976=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39977=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39978=DIRECTION('',(1.,0.,6.15826833971767E-017)); #39979=DIRECTION('',(-2.54746751053925E-018,1.,-1.55982244978122E-034)); #39980=DIRECTION('',(1.,0.,6.12303176911189E-017)); #39981=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39982=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39983=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39984=DIRECTION('',(-0.707106781186549,0.,-0.707106781186546)); #39985=DIRECTION('',(0.,-1.,0.)); #39986=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #39987=DIRECTION('',(-2.54746751053926E-018,1.,1.22739868583265E-016)); #39988=DIRECTION('',(-0.707106781186546,-8.85915249487271E-017,0.707106781186549)); #39989=DIRECTION('',(-1.2490009027033E-016,1.,0.)); #39990=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39991=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39992=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39993=DIRECTION('',(-0.999999999999994,0.,0.)); #39994=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39995=DIRECTION('',(-0.999999999999994,0.,0.)); #39996=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #39997=DIRECTION('',(-0.999999999999994,0.,0.)); #39998=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #39999=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40000=DIRECTION('',(-0.999999999999994,0.,0.)); #40001=DIRECTION('',(1.,0.,6.12303176911189E-017)); #40002=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40003=DIRECTION('',(0.,-1.,0.)); #40004=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #40005=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #40006=DIRECTION('',(-0.999999999999994,0.,0.)); #40007=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40008=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40009=DIRECTION('',(-0.999999999999994,0.,0.)); #40010=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #40011=DIRECTION('',(0.,1.,0.)); #40012=DIRECTION('',(1.,0.,6.11490025281825E-017)); #40013=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40014=DIRECTION('',(0.928476690885255,-0.371390676354115,5.68509227517029E-017)); #40015=DIRECTION('',(-6.12303176911191E-017,2.09002828430941E-015,1.)); #40016=DIRECTION('',(0.371390676354106,0.928476690885258,-1.91780217617151E-015)); #40017=DIRECTION('',(0.928476690885258,-0.371390676354106,5.68121938382404E-017)); #40018=DIRECTION('',(0.707106781186539,0.707106781186556,4.32963728535963E-017)); #40019=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40020=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40021=DIRECTION('',(0.707106781186556,-0.707106781186539,4.32963728535973E-017)); #40022=DIRECTION('',(-0.707106781186539,-0.707106781186556,-4.33680868994202E-017)); #40023=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40024=DIRECTION('',(-1.39000278523783E-016,1.,-8.51103121316525E-033)); #40025=DIRECTION('',(1.,1.39000278523783E-016,6.12303176911189E-017)); #40026=DIRECTION('',(1.38777878078145E-016,-1.,0.)); #40027=DIRECTION('',(1.,0.,6.12303176911189E-017)); #40028=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40029=DIRECTION('',(0.,-1.,0.)); #40030=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #40031=DIRECTION('',(-6.67201336914155E-016,1.,-4.08529498231929E-032)); #40032=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40033=DIRECTION('',(1.,6.67201336914155E-016,6.12303176911189E-017)); #40034=DIRECTION('',(6.67868538251071E-016,-1.,0.)); #40035=DIRECTION('',(1.,6.30808536718839E-016,6.12303176911189E-017)); #40036=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40037=DIRECTION('',(6.30808536718839E-016,-1.,3.86246071055643E-032)); #40038=DIRECTION('',(-1.,-6.30571983517569E-016,-6.11490025281825E-017)); #40039=DIRECTION('',(0.,-1.,0.)); #40040=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40041=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #40042=DIRECTION('',(0.,1.,0.)); #40043=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #40044=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40045=DIRECTION('',(0.,1.,0.)); #40046=DIRECTION('',(1.,0.,6.11490025281825E-017)); #40047=DIRECTION('',(1.18276600634782E-016,-1.,7.2421138322933E-033)); #40048=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40049=DIRECTION('',(-1.,-1.18276600634782E-016,-6.12303176911189E-017)); #40050=DIRECTION('',(-1.17961196366423E-016,1.,0.)); #40051=DIRECTION('',(1.,0.,6.12303176911189E-017)); #40052=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40053=DIRECTION('',(0.,-1.,0.)); #40054=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #40055=DIRECTION('',(0.,-1.,0.)); #40056=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40057=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #40058=DIRECTION('',(0.,1.,0.)); #40059=DIRECTION('',(-1.,-1.26161707343768E-015,-6.12303176911189E-017)); #40060=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40061=DIRECTION('',(-1.26161707343768E-015,1.,-7.72492142111285E-032)); #40062=DIRECTION('',(1.,1.26287869051112E-015,6.11490025281825E-017)); #40063=DIRECTION('',(0.,1.,0.)); #40064=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40065=DIRECTION('',(1.,0.,6.12303176911189E-017)); #40066=DIRECTION('',(0.,-1.,0.)); #40067=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40068=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #40069=DIRECTION('',(0.,1.,0.)); #40070=DIRECTION('',(1.,0.,6.11490025281825E-017)); #40071=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40072=DIRECTION('',(-1.39000278523783E-016,1.,-8.51103121316525E-033)); #40073=DIRECTION('',(1.,1.39000278523783E-016,6.12303176911189E-017)); #40074=DIRECTION('',(1.38777878078145E-016,-1.,0.)); #40075=DIRECTION('',(-0.707106781186542,0.707106781186553,-4.32963728535964E-017)); #40076=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40077=DIRECTION('',(0.707106781186553,0.707106781186542,4.32963728535971E-017)); #40078=DIRECTION('',(0.707106781186542,-0.707106781186553,4.33680868994202E-017)); #40079=DIRECTION('',(-1.11655224779204E-016,1.,-6.83668488510395E-033)); #40080=DIRECTION('',(6.12303176911186E-017,2.09002828430941E-015,-1.)); #40081=DIRECTION('',(-1.11655224779204E-016,1.,-6.83668488510395E-033)); #40082=DIRECTION('',(1.,1.11655224779204E-016,6.12303176911189E-017)); #40083=DIRECTION('',(1.11022302462516E-016,-1.,0.)); #40084=DIRECTION('',(-0.928476690885258,-0.371390676354107,-5.68509227517031E-017)); #40085=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40086=DIRECTION('',(0.371390676354106,-0.928476690885259,-1.91780217617151E-015)); #40087=DIRECTION('',(-0.928476690885259,-0.371390676354106,-5.68121938382404E-017)); #40088=DIRECTION('',(2.54746751053925E-018,-1.,1.55982244978122E-034)); #40089=DIRECTION('',(-1.,-2.54746751053925E-018,-6.12303176911189E-017)); #40090=DIRECTION('',(-1.73472347597681E-018,1.,0.)); #40091=DIRECTION('',(1.,0.,6.12303176911189E-017)); #40092=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40093=DIRECTION('',(1.,0.,6.12303176911189E-017)); #40094=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40095=DIRECTION('',(0.,-1.,0.)); #40096=DIRECTION('',(-1.,0.,-6.11490025281825E-017)); #40097=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40098=DIRECTION('',(-0.999999999999994,0.,0.)); #40099=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40100=DIRECTION('',(-0.999999999999994,0.,0.)); #40101=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40102=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40103=DIRECTION('',(-0.999999999999994,0.,0.)); #40104=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #40105=DIRECTION('',(-1.,0.,-6.12303176911189E-017)); #40106=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40107=DIRECTION('',(0.,1.,0.)); #40108=DIRECTION('',(1.,0.,6.11490025281825E-017)); #40109=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40110=DIRECTION('',(-0.999999999999994,0.,0.)); #40111=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40112=DIRECTION('',(-0.999999999999994,0.,0.)); #40113=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40114=DIRECTION('',(-1.,0.,-6.15826833971767E-017)); #40115=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40116=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40117=DIRECTION('',(-0.999999999999994,0.,0.)); #40118=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40119=DIRECTION('',(-0.999999999999994,0.,0.)); #40120=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40121=DIRECTION('',(-0.999999999999994,0.,0.)); #40122=DIRECTION('',(6.12303176911189E-017,0.,-1.)); #40123=DIRECTION('',(1.,0.,6.11490025281825E-017)); #40124=DIRECTION('',(-6.12303176911189E-017,0.,1.)); #40125=DIRECTION('',(-0.999999999999994,0.,0.)); #40126=DIRECTION('',(0.,0.,1.)); #40127=DIRECTION('',(1.,0.,0.)); #40128=DIRECTION('',(1.,0.,0.)); #40129=DIRECTION('',(0.,1.,0.)); #40130=DIRECTION('',(1.,0.,0.)); #40131=DIRECTION('',(0.,-1.,0.)); #40132=DIRECTION('',(-0.707106781186548,0.707106781186547,0.)); #40133=DIRECTION('',(1.,0.,0.)); #40134=DIRECTION('',(0.,-1.,0.)); #40135=DIRECTION('',(0.,0.,-1.)); #40136=DIRECTION('',(1.,0.,0.)); #40137=DIRECTION('',(-1.,0.,0.)); #40138=DIRECTION('',(0.,1.,0.)); #40139=DIRECTION('',(0.707106781186548,-0.707106781186548,0.)); #40140=DIRECTION('',(-1.,0.,0.)); #40141=DIRECTION('',(0.,1.,0.)); #40142=DIRECTION('',(0.,0.,-1.)); #40143=DIRECTION('',(1.,0.,0.)); #40144=DIRECTION('',(1.,0.,0.)); #40145=DIRECTION('',(0.,-1.,0.)); #40146=DIRECTION('',(0.,0.,-1.)); #40147=DIRECTION('',(-1.,0.,0.)); #40148=DIRECTION('',(0.,0.,1.)); #40149=DIRECTION('',(1.,0.,0.)); #40150=DIRECTION('',(0.,1.,0.)); #40151=DIRECTION('',(-0.999999999999999,0.,0.)); #40152=DIRECTION('',(0.,0.,-1.)); #40153=DIRECTION('',(1.,0.,0.)); #40154=DIRECTION('',(0.,-1.,0.)); #40155=DIRECTION('',(0.,0.,-1.)); #40156=DIRECTION('',(1.,0.,0.)); #40157=DIRECTION('',(0.,0.,-1.)); #40158=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #40159=DIRECTION('',(-1.,0.,0.)); #40160=DIRECTION('',(0.,0.,-0.999999999999999)); #40161=DIRECTION('',(0.,-1.,0.)); #40162=DIRECTION('',(0.,0.,1.)); #40163=DIRECTION('',(0.,1.,0.)); #40164=DIRECTION('',(1.,0.,0.)); #40165=DIRECTION('',(0.,0.,-1.)); #40166=DIRECTION('',(0.,0.707106781186548,0.707106781186548)); #40167=DIRECTION('',(-1.,0.,0.)); #40168=DIRECTION('',(0.,0.,-1.)); #40169=DIRECTION('',(0.,1.,0.)); #40170=DIRECTION('',(1.,0.,0.)); #40171=DIRECTION('',(0.,0.,-1.)); #40172=DIRECTION('',(0.,0.,-1.)); #40173=DIRECTION('',(-1.,0.,0.)); #40174=DIRECTION('',(0.,0.,-1.)); #40175=DIRECTION('',(-1.,0.,0.)); #40176=DIRECTION('',(-1.,0.,0.)); #40177=DIRECTION('',(0.,-1.,0.)); #40178=DIRECTION('',(0.,0.,-1.)); #40179=DIRECTION('',(-1.,0.,0.)); #40180=DIRECTION('',(-1.,0.,0.)); #40181=DIRECTION('',(0.,-1.,0.)); #40182=DIRECTION('',(0.,0.,-1.)); #40183=DIRECTION('',(-1.,0.,0.)); #40184=DIRECTION('',(0.,0.,-1.)); #40185=DIRECTION('',(-1.,0.,0.)); #40186=DIRECTION('',(0.,1.,0.)); #40187=DIRECTION('',(0.,0.,1.)); #40188=DIRECTION('',(-1.,0.,0.)); #40189=DIRECTION('',(0.,0.,1.)); #40190=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #40191=DIRECTION('',(1.,0.,0.)); #40192=DIRECTION('',(0.,0.,0.999999999999999)); #40193=DIRECTION('',(0.,-1.,0.)); #40194=DIRECTION('',(0.,0.,1.)); #40195=DIRECTION('',(-1.,0.,0.)); #40196=DIRECTION('',(0.,0.,1.)); #40197=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #40198=DIRECTION('',(1.,0.,0.)); #40199=DIRECTION('',(0.,0.,1.)); #40200=DIRECTION('',(-1.,0.,0.)); #40201=DIRECTION('',(0.,0.,1.)); #40202=DIRECTION('',(0.,0.,-1.)); #40203=DIRECTION('',(1.,0.,0.)); #40204=DIRECTION('',(0.,-1.,0.)); #40205=DIRECTION('',(0.,0.,1.)); #40206=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #40207=DIRECTION('',(0.,1.,0.)); #40208=DIRECTION('',(0.,0.,1.)); #40209=DIRECTION('',(0.,0.,1.)); #40210=DIRECTION('',(1.,0.,0.)); #40211=DIRECTION('',(0.,-1.,0.)); #40212=DIRECTION('',(0.,0.,0.999999999999999)); #40213=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #40214=DIRECTION('',(0.,1.,0.)); #40215=DIRECTION('',(0.,0.,1.)); #40216=DIRECTION('',(0.,1.,0.)); #40217=DIRECTION('',(0.,0.,1.)); #40218=DIRECTION('',(0.,0.,1.)); #40219=DIRECTION('',(0.,0.,1.)); #40220=DIRECTION('',(0.,0.,-1.)); #40221=DIRECTION('',(-1.,0.,0.)); #40222=DIRECTION('',(0.,0.,-1.)); #40223=DIRECTION('',(-1.,0.,0.)); #40224=DIRECTION('',(0.,0.,-1.)); #40225=DIRECTION('',(1.,0.,0.)); #40226=DIRECTION('',(0.,1.,0.)); #40227=DIRECTION('',(0.,0.,-1.)); #40228=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #40229=DIRECTION('',(0.,-1.,0.)); #40230=DIRECTION('',(0.,0.,-1.)); #40231=DIRECTION('',(1.,0.,0.)); #40232=DIRECTION('',(0.,0.,1.)); #40233=DIRECTION('',(1.,0.,0.)); #40234=DIRECTION('',(0.,1.,0.)); #40235=DIRECTION('',(0.,0.,-0.999999999999999)); #40236=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #40237=DIRECTION('',(0.,-1.,0.)); #40238=DIRECTION('',(0.,0.,-1.)); #40239=DIRECTION('',(0.,-1.,0.)); #40240=DIRECTION('',(0.,0.,-1.)); #40241=DIRECTION('',(0.,0.,-1.)); #40242=DIRECTION('',(-1.,0.,0.)); #40243=DIRECTION('',(0.,0.,-1.)); #40244=DIRECTION('',(-1.,0.,0.)); #40245=DIRECTION('',(0.,1.,0.)); #40246=DIRECTION('',(0.,0.,-1.)); #40247=DIRECTION('',(-1.,0.,0.)); #40248=DIRECTION('',(1.,0.,0.)); #40249=DIRECTION('',(0.,0.,-1.)); #40250=DIRECTION('',(-1.,0.,0.)); #40251=DIRECTION('',(0.,0.,-1.)); #40252=DIRECTION('',(-1.,0.,0.)); #40253=DIRECTION('',(0.,0.,-1.)); #40254=DIRECTION('',(0.,1.,0.)); #40255=DIRECTION('',(0.,0.,1.)); #40256=DIRECTION('',(1.,0.,0.)); #40257=DIRECTION('',(0.,0.,-1.)); #40258=DIRECTION('',(0.,0.,1.)); #40259=DIRECTION('',(0.,0.,1.)); #40260=DIRECTION('',(0.,0.,-1.)); #40261=DIRECTION('',(-1.,0.,0.)); #40262=DIRECTION('',(0.,0.,-1.)); #40263=DIRECTION('',(-1.,0.,0.)); #40264=DIRECTION('',(0.,0.,1.)); #40265=DIRECTION('',(1.,0.,0.)); #40266=DIRECTION('',(-1.,0.,0.)); #40267=DIRECTION('',(0.,0.,1.)); #40268=DIRECTION('',(-1.,0.,0.)); #40269=DIRECTION('',(0.,-1.,0.)); #40270=DIRECTION('',(0.,0.,1.)); #40271=DIRECTION('',(1.,0.,0.)); #40272=DIRECTION('',(0.,0.,-1.)); #40273=DIRECTION('',(-1.,0.,0.)); #40274=DIRECTION('',(0.,0.,1.)); #40275=DIRECTION('',(1.,0.,0.)); #40276=DIRECTION('',(1.,0.,0.)); #40277=DIRECTION('',(0.,0.,1.)); #40278=DIRECTION('',(-1.,0.,0.)); #40279=DIRECTION('',(0.,1.,0.)); #40280=DIRECTION('',(0.,0.,1.)); #40281=DIRECTION('',(1.,0.,0.)); #40282=DIRECTION('',(0.,0.,-1.)); #40283=DIRECTION('',(-1.,0.,0.)); #40284=DIRECTION('',(0.,0.,1.)); #40285=DIRECTION('',(1.,0.,0.)); #40286=DIRECTION('',(0.,1.,0.)); #40287=DIRECTION('',(1.,0.,0.)); #40288=DIRECTION('',(-1.,0.,0.)); #40289=DIRECTION('',(0.,0.,1.)); #40290=DIRECTION('',(1.,0.,0.)); #40291=DIRECTION('',(0.,-1.,0.)); #40292=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #40293=DIRECTION('',(1.,0.,0.)); #40294=DIRECTION('',(0.,0.,1.)); #40295=DIRECTION('',(1.,0.,0.)); #40296=DIRECTION('',(0.,1.,0.)); #40297=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #40298=DIRECTION('',(1.,0.,0.)); #40299=DIRECTION('',(1.,0.,0.)); #40300=DIRECTION('',(0.,0.,1.)); #40301=DIRECTION('',(1.,0.,0.)); #40302=DIRECTION('',(-1.,0.,0.)); #40303=DIRECTION('',(0.,0.707106781186547,0.707106781186547)); #40304=DIRECTION('',(1.,0.,0.)); #40305=DIRECTION('',(0.,-0.707106781186547,0.707106781186547)); #40306=DIRECTION('',(0.,-0.707106781186548,-0.707106781186548)); #40307=DIRECTION('',(0.,0.,1.)); #40308=DIRECTION('',(-1.,0.,0.)); #40309=DIRECTION('',(-0.707106781186547,0.,0.707106781186547)); #40310=DIRECTION('',(0.,0.,-1.)); #40311=DIRECTION('',(-1.,0.,0.)); #40312=DIRECTION('',(0.,0.,1.)); #40313=DIRECTION('',(1.,0.,8.12187340233772E-017)); #40314=DIRECTION('',(0.,-1.,0.)); #40315=DIRECTION('',(0.,1.,0.)); #40316=DIRECTION('',(0.707106781186547,0.,0.707106781186547)); #40317=DIRECTION('',(0.707106781186548,0.,-0.707106781186548)); #40318=DIRECTION('',(-0.707106781186547,0.,-0.707106781186547)); #40319=DIRECTION('',(0.,1.,0.)); #40320=DIRECTION('',(0.,-1.,0.)); #40321=DIRECTION('',(-0.707106781186547,0.,0.707106781186547)); #40322=DIRECTION('',(0.707106781186548,0.,0.707106781186548)); #40323=DIRECTION('',(0.,0.707106781186547,-0.707106781186547)); #40324=DIRECTION('',(0.,0.,1.)); #40325=DIRECTION('',(-1.,0.,0.)); #40326=DIRECTION('',(0.,0.,-1.)); #40327=DIRECTION('',(-1.,0.,0.)); #40328=DIRECTION('',(0.,0.,1.)); #40329=DIRECTION('',(1.,0.,8.12187340233772E-017)); #40330=DIRECTION('',(1.,0.,0.)); #40331=DIRECTION('',(-1.,0.,0.)); #40332=DIRECTION('',(0.,0.707106781186547,0.707106781186547)); #40333=DIRECTION('',(0.,-0.707106781186548,0.707106781186548)); #40334=DIRECTION('',(1.,0.,0.)); #40335=DIRECTION('',(0.,0.707106781186547,-0.707106781186547)); #40336=DIRECTION('',(-1.,0.,0.)); #40337=DIRECTION('',(0.,-0.707106781186547,-0.707106781186547)); #40338=DIRECTION('',(0.,0.707106781186548,-0.707106781186548)); #40339=DIRECTION('',(0.,0.,1.)); #40340=DIRECTION('',(1.,0.,0.)); #40341=DIRECTION('',(-0.707106781186547,0.,-0.707106781186547)); #40342=DIRECTION('',(0.,0.,-1.)); #40343=DIRECTION('',(1.,0.,0.)); #40344=DIRECTION('',(0.,0.,1.)); #40345=DIRECTION('',(1.,0.,-8.46326966080437E-017)); #40346=DIRECTION('',(0.,1.,0.)); #40347=DIRECTION('',(0.,-1.,0.)); #40348=DIRECTION('',(0.707106781186547,0.,-0.707106781186547)); #40349=DIRECTION('',(-0.707106781186548,0.,-0.707106781186548)); #40350=DIRECTION('',(-0.707106781186547,0.,0.707106781186547)); #40351=DIRECTION('',(0.,-1.,0.)); #40352=DIRECTION('',(0.,1.,0.)); #40353=DIRECTION('',(-0.707106781186547,0.,-0.707106781186547)); #40354=DIRECTION('',(-0.707106781186548,0.,0.707106781186548)); #40355=DIRECTION('',(0.,0.707106781186547,0.707106781186547)); #40356=DIRECTION('',(0.,0.,1.)); #40357=DIRECTION('',(1.,0.,0.)); #40358=DIRECTION('',(0.,0.,-1.)); #40359=DIRECTION('',(1.,0.,0.)); #40360=DIRECTION('',(0.,0.,1.)); #40361=DIRECTION('',(1.,0.,-8.46326966080437E-017)); #40362=DIRECTION('',(-1.,0.,0.)); #40363=DIRECTION('',(1.,0.,0.)); #40364=DIRECTION('',(0.,0.707106781186547,-0.707106781186547)); #40365=DIRECTION('',(0.,0.707106781186548,0.707106781186548)); #40366=DIRECTION('',(0.,-1.,0.)); #40367=DIRECTION('',(0.,0.,-0.999999999999999)); #40368=DIRECTION('',(0.,-1.,0.)); #40369=DIRECTION('',(0.,0.,-0.999999999999999)); #40370=DIRECTION('',(-1.,0.,0.)); #40371=DIRECTION('',(0.,0.,0.999999999999999)); #40372=DIRECTION('',(0.,0.,-1.)); #40373=DIRECTION('',(-1.,0.,0.)); #40374=DIRECTION('',(1.,0.,0.)); #40375=DIRECTION('',(0.,0.,-0.999999999999999)); #40376=DIRECTION('',(0.,-1.,0.)); #40377=DIRECTION('',(0.,0.,-1.)); #40378=DIRECTION('',(0.,-1.,0.)); #40379=DIRECTION('',(0.,0.,-1.)); #40380=DIRECTION('',(-1.,0.,0.)); #40381=DIRECTION('',(0.,0.,1.)); #40382=DIRECTION('',(0.,0.,1.)); #40383=DIRECTION('',(1.,0.,0.)); #40384=DIRECTION('',(-1.,0.,0.)); #40385=DIRECTION('',(0.,0.,1.)); #40386=DIRECTION('',(0.,1.,0.)); #40387=DIRECTION('',(0.,0.,1.)); #40388=DIRECTION('',(0.,1.,0.)); #40389=DIRECTION('',(0.,0.,1.)); #40390=DIRECTION('',(1.,0.,0.)); #40391=DIRECTION('',(0.,0.,-1.)); #40392=DIRECTION('',(0.,0.,1.)); #40393=DIRECTION('',(1.,0.,0.)); #40394=DIRECTION('',(1.,0.,0.)); #40395=DIRECTION('',(0.,0.,-1.)); #40396=DIRECTION('',(0.,1.,0.)); #40397=DIRECTION('',(0.,0.,0.999999999999999)); #40398=DIRECTION('',(0.,-1.,0.)); #40399=DIRECTION('',(0.,0.,-0.999999999999999)); #40400=DIRECTION('',(1.,0.,0.)); #40401=DIRECTION('',(0.,0.,-0.999999999999999)); #40402=DIRECTION('',(0.,0.,-1.)); #40403=DIRECTION('',(-1.,0.,0.)); #40404=DIRECTION('',(1.,0.,0.)); #40405=DIRECTION('',(0.,0.,-0.999999999999999)); #40406=DIRECTION('',(1.,0.,0.)); #40407=DIRECTION('',(0.,0.,-1.)); #40408=DIRECTION('',(1.,0.,0.)); #40409=DIRECTION('',(0.,0.,-1.)); #40410=DIRECTION('',(0.,1.,0.)); #40411=DIRECTION('',(0.,0.,1.)); #40412=DIRECTION('',(0.,0.,-1.)); #40413=DIRECTION('',(-1.,0.,0.)); #40414=DIRECTION('',(0.,1.,0.)); #40415=DIRECTION('',(0.,0.,1.)); #40416=DIRECTION('',(-1.,0.,0.)); #40417=DIRECTION('',(0.,0.,1.)); #40418=DIRECTION('',(1.,0.,0.)); #40419=DIRECTION('',(0.,0.,-1.)); #40420=DIRECTION('',(0.,-1.,0.)); #40421=DIRECTION('',(0.,0.,-1.)); #40422=DIRECTION('',(0.,0.,-1.)); #40423=DIRECTION('',(-1.,0.,0.)); #40424=DIRECTION('',(0.,1.,0.)); #40425=DIRECTION('',(0.,0.,1.)); #40426=DIRECTION('',(1.,0.,0.)); #40427=DIRECTION('',(0.,0.,-1.)); #40428=DIRECTION('',(-1.,0.,0.)); #40429=DIRECTION('',(0.,0.,1.)); #40430=DIRECTION('',(0.,1.,0.)); #40431=DIRECTION('',(0.,0.,1.)); #40432=DIRECTION('',(0.,0.,1.)); #40433=DIRECTION('',(1.,0.,0.)); #40434=DIRECTION('',(0.,-1.,0.)); #40435=DIRECTION('',(0.,0.,-1.)); #40436=DIRECTION('',(0.,1.,0.)); #40437=DIRECTION('',(0.,0.,1.)); #40438=DIRECTION('',(0.,1.,0.)); #40439=DIRECTION('',(0.,0.,1.)); #40440=DIRECTION('',(1.,0.,0.)); #40441=DIRECTION('',(0.,0.,-1.)); #40442=DIRECTION('',(0.,0.,1.)); #40443=DIRECTION('',(1.,0.,0.)); #40444=DIRECTION('',(1.,0.,0.)); #40445=DIRECTION('',(0.,0.,-1.)); #40446=DIRECTION('',(0.,0.,1.)); #40447=DIRECTION('',(1.,0.,0.)); #40448=DIRECTION('',(0.,0.,1.)); #40449=DIRECTION('',(1.,0.,0.)); #40450=DIRECTION('',(0.,0.,1.)); #40451=DIRECTION('',(1.,0.,0.)); #40452=DIRECTION('',(0.,0.,1.)); #40453=DIRECTION('',(1.,0.,0.)); #40454=DIRECTION('',(-0.707106781186547,-0.707106781186548,0.)); #40455=DIRECTION('',(0.707106781186548,-0.707106781186547,0.)); #40456=DIRECTION('',(0.707106781186548,0.707106781186548,0.)); #40457=DIRECTION('',(-0.707106781186548,0.707106781186548,0.)); #40458=DIRECTION('',(0.,1.,0.)); #40459=DIRECTION('',(-0.999999999999999,0.,0.)); #40460=DIRECTION('',(0.,1.,0.)); #40461=DIRECTION('',(0.,0.,1.)); #40462=DIRECTION('',(0.,-1.,0.)); #40463=DIRECTION('',(-1.,0.,0.)); #40464=DIRECTION('',(0.,1.,0.)); #40465=DIRECTION('',(-0.999999999999999,0.,0.)); #40466=DIRECTION('',(0.,0.,-1.)); #40467=DIRECTION('',(-1.,0.,2.16840434497101E-016)); #40468=DIRECTION('',(4.95635278850516E-015,0.,1.)); #40469=DIRECTION('',(0.,-1.,0.)); #40470=DIRECTION('',(0.,0.,-1.)); #40471=DIRECTION('',(0.,-1.,0.)); #40472=DIRECTION('',(-1.,0.,0.)); #40473=DIRECTION('',(4.95635278850516E-015,0.,1.)); #40474=DIRECTION('',(-1.,0.,2.16840434497101E-016)); #40475=DIRECTION('',(0.,0.,-1.)); #40476=DIRECTION('',(0.,1.,0.)); #40477=DIRECTION('',(-0.999999999999999,0.,0.)); #40478=DIRECTION('',(0.,-1.,0.)); #40479=DIRECTION('',(0.,0.,-1.)); #40480=DIRECTION('',(0.,-1.,0.)); #40481=DIRECTION('',(0.,-1.,0.)); #40482=DIRECTION('',(-1.,0.,0.)); #40483=DIRECTION('',(0.,0.,1.)); #40484=DIRECTION('',(0.,-1.,0.)); #40485=DIRECTION('',(2.16840434497101E-016,0.,1.)); #40486=DIRECTION('',(1.,0.,-2.16840434497101E-016)); #40487=DIRECTION('',(0.,-1.,0.)); #40488=DIRECTION('',(1.,0.,-4.95635278850516E-015)); #40489=DIRECTION('',(-4.95610497086574E-015,0.,-1.)); #40490=DIRECTION('',(0.,-1.,0.)); #40491=DIRECTION('',(0.,0.,-1.)); #40492=DIRECTION('',(0.,-1.,0.)); #40493=DIRECTION('',(0.,0.,-1.)); #40494=DIRECTION('',(0.,0.,-1.)); #40495=DIRECTION('',(-1.,0.,0.)); #40496=DIRECTION('',(0.,0.,1.)); #40497=DIRECTION('',(0.,0.,1.)); #40498=DIRECTION('',(1.,0.,0.)); #40499=DIRECTION('',(0.,0.,-1.)); #40500=DIRECTION('',(0.,0.,1.)); #40501=DIRECTION('',(0.,-1.,0.)); #40502=DIRECTION('',(0.,0.,-1.)); #40503=DIRECTION('',(0.,0.,1.)); #40504=DIRECTION('',(-1.,0.,0.)); #40505=DIRECTION('',(0.,0.,1.)); #40506=DIRECTION('',(0.,1.,0.)); #40507=DIRECTION('',(0.,0.,1.)); #40508=DIRECTION('',(0.,0.,1.)); #40509=DIRECTION('',(1.,0.,0.)); #40510=DIRECTION('',(0.,0.,1.)); #40511=DIRECTION('',(0.,0.,1.)); #40512=DIRECTION('',(0.,1.,0.)); #40513=DIRECTION('',(0.,0.,1.)); #40514=DIRECTION('',(0.,0.,1.)); #40515=DIRECTION('',(1.,0.,0.)); #40516=DIRECTION('',(0.,0.,-1.)); #40517=DIRECTION('',(0.,0.,1.)); #40518=DIRECTION('',(0.,-1.,0.)); #40519=DIRECTION('',(0.,0.,-1.)); #40520=DIRECTION('',(-1.,0.,0.)); #40521=DIRECTION('',(0.,0.,1.)); #40522=DIRECTION('',(0.,0.,1.)); #40523=DIRECTION('',(1.,0.,0.)); #40524=DIRECTION('',(0.,0.,1.)); #40525=DIRECTION('',(0.,0.,1.)); #40526=DIRECTION('',(0.,1.,0.)); #40527=DIRECTION('',(0.,0.,1.)); #40528=DIRECTION('',(0.,0.,1.)); #40529=DIRECTION('',(1.,0.,0.)); #40530=DIRECTION('',(0.,0.,-1.)); #40531=DIRECTION('',(0.,0.,1.)); #40532=DIRECTION('',(0.,-1.,0.)); #40533=DIRECTION('',(0.,0.,-1.)); #40534=DIRECTION('',(-1.,0.,0.)); #40535=DIRECTION('',(0.,0.,1.)); #40536=DIRECTION('',(0.,0.,1.)); #40537=DIRECTION('',(1.,0.,0.)); #40538=DIRECTION('',(0.,0.,1.)); #40539=DIRECTION('',(0.,0.,1.)); #40540=DIRECTION('',(0.,1.,0.)); #40541=DIRECTION('',(0.,0.,1.)); #40542=DIRECTION('',(0.,0.,1.)); #40543=DIRECTION('',(1.,0.,0.)); #40544=DIRECTION('',(0.,0.,-1.)); #40545=DIRECTION('',(0.,0.,1.)); #40546=DIRECTION('',(0.,-1.,0.)); #40547=DIRECTION('',(0.,0.,-1.)); #40548=DIRECTION('',(-1.,0.,0.)); #40549=DIRECTION('',(0.,0.,1.)); #40550=DIRECTION('',(0.,0.,1.)); #40551=DIRECTION('',(1.,0.,0.)); #40552=DIRECTION('',(0.,0.,1.)); #40553=DIRECTION('',(0.,0.,1.)); #40554=DIRECTION('',(0.,1.,0.)); #40555=DIRECTION('',(0.,0.,1.)); #40556=DIRECTION('',(0.,0.,1.)); #40557=DIRECTION('',(1.,0.,0.)); #40558=DIRECTION('',(0.,0.,-1.)); #40559=DIRECTION('',(0.,0.,1.)); #40560=DIRECTION('',(0.,-1.,0.)); #40561=DIRECTION('',(0.,0.,-1.)); #40562=DIRECTION('',(-1.,0.,0.)); #40563=DIRECTION('',(0.,0.,1.)); #40564=DIRECTION('',(0.,0.,1.)); #40565=DIRECTION('',(1.,0.,0.)); #40566=DIRECTION('',(0.,0.,1.)); #40567=DIRECTION('',(0.,0.,1.)); #40568=DIRECTION('',(0.,1.,0.)); #40569=DIRECTION('',(0.,0.,1.)); #40570=DIRECTION('',(0.,0.,1.)); #40571=DIRECTION('',(1.,0.,0.)); #40572=DIRECTION('',(0.,0.,-1.)); #40573=DIRECTION('',(0.,0.,1.)); #40574=DIRECTION('',(0.,-1.,0.)); #40575=DIRECTION('',(0.,0.,-1.)); #40576=DIRECTION('',(-1.,0.,0.)); #40577=DIRECTION('',(0.,0.,1.)); #40578=DIRECTION('',(0.,0.,1.)); #40579=DIRECTION('',(1.,0.,0.)); #40580=DIRECTION('',(0.,0.,1.)); #40581=DIRECTION('',(0.,0.,1.)); #40582=DIRECTION('',(0.,1.,0.)); #40583=DIRECTION('',(0.,0.,1.)); #40584=DIRECTION('',(0.,0.,1.)); #40585=DIRECTION('',(1.,0.,0.)); #40586=DIRECTION('',(0.,0.,-1.)); #40587=DIRECTION('',(0.,0.,1.)); #40588=DIRECTION('',(0.,-1.,0.)); #40589=DIRECTION('',(0.,0.,-1.)); #40590=DIRECTION('',(-1.,0.,0.)); #40591=DIRECTION('',(0.,0.,1.)); #40592=DIRECTION('',(0.,0.,1.)); #40593=DIRECTION('',(1.,0.,0.)); #40594=DIRECTION('',(0.,0.,1.)); #40595=DIRECTION('',(0.,0.,1.)); #40596=DIRECTION('',(0.,1.,0.)); #40597=DIRECTION('',(0.,0.,1.)); #40598=DIRECTION('',(0.,0.,1.)); #40599=DIRECTION('',(1.,0.,0.)); #40600=DIRECTION('',(0.,0.,-1.)); #40601=DIRECTION('',(0.,0.,1.)); #40602=DIRECTION('',(0.,-1.,0.)); #40603=DIRECTION('',(0.,0.,-1.)); #40604=DIRECTION('',(-1.,0.,0.)); #40605=DIRECTION('',(0.,0.,1.)); #40606=DIRECTION('',(0.,0.,1.)); #40607=DIRECTION('',(1.,0.,0.)); #40608=DIRECTION('',(0.,0.,1.)); #40609=DIRECTION('',(0.,0.,1.)); #40610=DIRECTION('',(0.,1.,0.)); #40611=DIRECTION('',(0.,0.,1.)); #40612=DIRECTION('',(0.,0.,1.)); #40613=DIRECTION('',(1.,0.,0.)); #40614=DIRECTION('',(0.,0.,-1.)); #40615=DIRECTION('',(0.,0.,1.)); #40616=DIRECTION('',(0.,-1.,0.)); #40617=DIRECTION('',(0.,0.,-1.)); #40618=DIRECTION('',(-1.,0.,0.)); #40619=DIRECTION('',(0.,0.,1.)); #40620=DIRECTION('',(0.,0.,1.)); #40621=DIRECTION('',(1.,0.,0.)); #40622=DIRECTION('',(0.,0.,1.)); #40623=DIRECTION('',(0.,0.,1.)); #40624=DIRECTION('',(-1.,0.,0.)); #40625=DIRECTION('',(0.,0.,1.)); #40626=DIRECTION('',(0.,0.,1.)); #40627=DIRECTION('',(0.,-1.,0.)); #40628=DIRECTION('',(0.,0.,-1.)); #40629=DIRECTION('',(0.,0.,1.)); #40630=DIRECTION('',(1.,0.,0.)); #40631=DIRECTION('',(0.,0.,-1.)); #40632=DIRECTION('',(0.,1.,0.)); #40633=DIRECTION('',(0.,0.,1.)); #40634=DIRECTION('',(0.,0.,-1.)); #40635=DIRECTION('',(-1.,0.,0.)); #40636=DIRECTION('',(0.,0.,1.)); #40637=DIRECTION('',(0.,0.,1.)); #40638=DIRECTION('',(0.,1.,0.)); #40639=DIRECTION('',(0.,0.,1.)); #40640=DIRECTION('',(0.,0.,1.)); #40641=DIRECTION('',(1.,0.,0.)); #40642=DIRECTION('',(0.,0.,-1.)); #40643=DIRECTION('',(0.,0.,1.)); #40644=DIRECTION('',(0.,-1.,0.)); #40645=DIRECTION('',(0.,0.,-1.)); #40646=DIRECTION('',(-1.,0.,0.)); #40647=DIRECTION('',(0.,0.,1.)); #40648=DIRECTION('',(0.,0.,1.)); #40649=DIRECTION('',(1.,0.,0.)); #40650=DIRECTION('',(0.,0.,1.)); #40651=DIRECTION('',(0.,0.,1.)); #40652=DIRECTION('',(0.,1.,0.)); #40653=DIRECTION('',(0.,0.,1.)); #40654=DIRECTION('',(0.,0.,1.)); #40655=DIRECTION('',(1.,0.,0.)); #40656=DIRECTION('',(0.,0.,-1.)); #40657=DIRECTION('',(0.,0.,1.)); #40658=DIRECTION('',(0.,-1.,0.)); #40659=DIRECTION('',(0.,0.,-1.)); #40660=DIRECTION('',(-1.,0.,0.)); #40661=DIRECTION('',(0.,0.,1.)); #40662=DIRECTION('',(0.,0.,1.)); #40663=DIRECTION('',(1.,0.,0.)); #40664=DIRECTION('',(0.,0.,1.)); #40665=DIRECTION('',(0.,0.,1.)); #40666=DIRECTION('',(0.,1.,0.)); #40667=DIRECTION('',(0.,0.,1.)); #40668=DIRECTION('',(0.,0.,1.)); #40669=DIRECTION('',(1.,0.,0.)); #40670=DIRECTION('',(0.,0.,-1.)); #40671=DIRECTION('',(0.,0.,1.)); #40672=DIRECTION('',(0.,-1.,0.)); #40673=DIRECTION('',(0.,0.,-1.)); #40674=DIRECTION('',(-1.,0.,0.)); #40675=DIRECTION('',(0.,0.,1.)); #40676=DIRECTION('',(0.,0.,1.)); #40677=DIRECTION('',(1.,0.,0.)); #40678=DIRECTION('',(0.,0.,1.)); #40679=DIRECTION('',(0.,0.,1.)); #40680=DIRECTION('',(0.,1.,0.)); #40681=DIRECTION('',(0.,0.,1.)); #40682=DIRECTION('',(0.,0.,1.)); #40683=DIRECTION('',(1.,0.,0.)); #40684=DIRECTION('',(0.,0.,-1.)); #40685=DIRECTION('',(0.,0.,1.)); #40686=DIRECTION('',(0.,-1.,0.)); #40687=DIRECTION('',(0.,0.,-1.)); #40688=DIRECTION('',(-1.,0.,0.)); #40689=DIRECTION('',(0.,0.,1.)); #40690=DIRECTION('',(0.,0.,1.)); #40691=DIRECTION('',(1.,0.,0.)); #40692=DIRECTION('',(0.,0.,1.)); #40693=DIRECTION('',(0.,0.,1.)); #40694=DIRECTION('',(0.,1.,0.)); #40695=DIRECTION('',(0.,0.,1.)); #40696=DIRECTION('',(0.,0.,1.)); #40697=DIRECTION('',(1.,0.,0.)); #40698=DIRECTION('',(0.,0.,-1.)); #40699=DIRECTION('',(0.,0.,1.)); #40700=DIRECTION('',(0.,-1.,0.)); #40701=DIRECTION('',(0.,0.,-1.)); #40702=DIRECTION('',(0.,0.,1.)); #40703=DIRECTION('',(1.,0.,0.)); #40704=DIRECTION('',(0.,0.,1.)); #40705=DIRECTION('',(0.,0.,1.)); #40706=DIRECTION('',(0.,1.,0.)); #40707=DIRECTION('',(0.,0.,1.)); #40708=DIRECTION('',(0.,0.,1.)); #40709=DIRECTION('',(1.,0.,0.)); #40710=DIRECTION('',(0.,0.,-1.)); #40711=DIRECTION('',(0.,0.,1.)); #40712=DIRECTION('',(0.,-1.,0.)); #40713=DIRECTION('',(0.,0.,-1.)); #40714=DIRECTION('',(-1.,0.,0.)); #40715=DIRECTION('',(0.,0.,1.)); #40716=DIRECTION('',(0.,0.,1.)); #40717=DIRECTION('',(1.,0.,0.)); #40718=DIRECTION('',(0.,0.,1.)); #40719=DIRECTION('',(0.,0.,1.)); #40720=DIRECTION('',(0.,1.,0.)); #40721=DIRECTION('',(0.,0.,1.)); #40722=DIRECTION('',(0.,0.,1.)); #40723=DIRECTION('',(1.,0.,0.)); #40724=DIRECTION('',(0.,0.,-1.)); #40725=DIRECTION('',(0.,0.,1.)); #40726=DIRECTION('',(0.,-1.,0.)); #40727=DIRECTION('',(0.,0.,-1.)); #40728=DIRECTION('',(-1.,0.,0.)); #40729=DIRECTION('',(0.,0.,1.)); #40730=DIRECTION('',(0.,0.,1.)); #40731=DIRECTION('',(1.,0.,0.)); #40732=DIRECTION('',(0.,0.,1.)); #40733=DIRECTION('',(0.,0.,1.)); #40734=DIRECTION('',(0.,1.,0.)); #40735=DIRECTION('',(0.,0.,1.)); #40736=DIRECTION('',(0.,0.,1.)); #40737=DIRECTION('',(1.,0.,0.)); #40738=DIRECTION('',(0.,0.,-1.)); #40739=DIRECTION('',(0.,0.,1.)); #40740=DIRECTION('',(0.,-1.,0.)); #40741=DIRECTION('',(0.,0.,-1.)); #40742=DIRECTION('',(-1.,0.,0.)); #40743=DIRECTION('',(0.,0.,1.)); #40744=DIRECTION('',(0.,0.,1.)); #40745=DIRECTION('',(1.,0.,0.)); #40746=DIRECTION('',(0.,0.,1.)); #40747=DIRECTION('',(0.,0.,1.)); #40748=DIRECTION('',(0.,1.,0.)); #40749=DIRECTION('',(0.,0.,1.)); #40750=DIRECTION('',(0.,0.,1.)); #40751=DIRECTION('',(1.,0.,0.)); #40752=DIRECTION('',(0.,0.,-1.)); #40753=DIRECTION('',(0.,0.,1.)); #40754=DIRECTION('',(0.,-1.,0.)); #40755=DIRECTION('',(0.,0.,-1.)); #40756=DIRECTION('',(-1.,0.,0.)); #40757=DIRECTION('',(0.,0.,1.)); #40758=DIRECTION('',(0.,0.,1.)); #40759=DIRECTION('',(1.,0.,0.)); #40760=DIRECTION('',(0.,0.,1.)); #40761=DIRECTION('',(0.,0.,1.)); #40762=DIRECTION('',(0.,1.,0.)); #40763=DIRECTION('',(0.,0.,1.)); #40764=DIRECTION('',(0.,0.,1.)); #40765=DIRECTION('',(-1.,0.,0.)); #40766=DIRECTION('',(0.,0.,1.)); #40767=DIRECTION('',(0.,0.,1.)); #40768=DIRECTION('',(0.,-1.,0.)); #40769=DIRECTION('',(0.,0.,-1.)); #40770=DIRECTION('',(0.,0.,1.)); #40771=DIRECTION('',(-0.543029610052049,0.839713547947584,0.)); #40772=DIRECTION('',(-0.839713547947584,-0.543029610052049,0.)); #40773=DIRECTION('',(0.,0.,1.)); #40774=DIRECTION('',(0.,0.,1.)); #40775=DIRECTION('',(0.,0.,1.)); #40776=DIRECTION('',(1.,0.,0.)); #40777=DIRECTION('',(0.,0.,-1.)); #40778=DIRECTION('',(0.,0.,1.)); #40779=DIRECTION('',(0.,1.,0.)); #40780=DIRECTION('',(0.,0.,1.)); #40781=DIRECTION('',(0.,0.,1.)); #40782=DIRECTION('',(0.5312926868065,-0.847188338532779,0.)); #40783=DIRECTION('',(0.847188338532779,0.5312926868065,0.)); #40784=DIRECTION('',(0.,0.,1.)); #40785=DIRECTION('',(0.,0.,-1.)); #40786=DIRECTION('',(-1.,0.,0.)); #40787=DIRECTION('',(0.,0.,1.)); #40788=DIRECTION('',(0.,0.,1.)); #40789=DIRECTION('',(0.295817631233138,0.955244434190336,0.)); #40790=DIRECTION('',(-0.955244434190336,0.295817631233138,0.)); #40791=DIRECTION('',(0.,0.,1.)); #40792=DIRECTION('',(0.,0.,1.)); #40793=DIRECTION('',(0.,0.,1.)); #40794=DIRECTION('',(0.,0.,1.)); #40795=DIRECTION('',(1.,0.,0.)); #40796=DIRECTION('',(0.,0.,-1.)); #40797=DIRECTION('',(0.,0.,1.)); #40798=DIRECTION('',(0.322106316912246,0.94670350195044,0.)); #40799=DIRECTION('',(-0.946703501950441,0.322106316912246,0.)); #40800=DIRECTION('',(0.,0.,1.)); #40801=DIRECTION('',(1.,0.,0.)); #40802=DIRECTION('',(0.,0.,-1.)); #40803=DIRECTION('',(0.,0.,1.)); #40804=DIRECTION('',(0.296792247205642,-0.954942072587979,0.)); #40805=DIRECTION('',(0.954942072587979,0.296792247205642,0.)); #40806=DIRECTION('',(0.,0.,1.)); #40807=DIRECTION('',(1.,0.,0.)); #40808=DIRECTION('',(0.,0.,-1.)); #40809=DIRECTION('',(0.,0.,1.)); #40810=DIRECTION('',(0.,0.,1.)); #40811=DIRECTION('',(0.,0.,1.)); #40812=DIRECTION('',(-1.,0.,0.)); #40813=DIRECTION('',(0.,0.,1.)); #40814=DIRECTION('',(0.,0.,-1.)); #40815=DIRECTION('',(-1.,0.,0.)); #40816=DIRECTION('',(0.,0.,1.)); #40817=DIRECTION('',(1.,0.,0.)); #40818=DIRECTION('',(0.,0.,1.)); #40819=DIRECTION('',(0.,0.,1.)); #40820=DIRECTION('',(0.,-1.,0.)); #40821=DIRECTION('',(0.,0.,-1.)); #40822=DIRECTION('',(0.,0.,1.)); #40823=DIRECTION('',(1.,0.,0.)); #40824=DIRECTION('',(0.,0.,-1.)); #40825=DIRECTION('',(0.,0.,1.)); #40826=DIRECTION('',(0.,1.,0.)); #40827=DIRECTION('',(0.,0.,1.)); #40828=DIRECTION('',(0.,0.,1.)); #40829=DIRECTION('',(0.,0.,1.)); #40830=DIRECTION('',(0.,0.,1.)); #40831=DIRECTION('',(0.,0.,1.)); #40832=DIRECTION('',(0.,0.,1.)); #40833=DIRECTION('',(1.,0.,0.)); #40834=DIRECTION('',(0.,0.,-1.)); #40835=DIRECTION('',(0.,0.,1.)); #40836=DIRECTION('',(-0.546859676936723,0.837224279235058,0.)); #40837=DIRECTION('',(-0.837224279235058,-0.546859676936723,0.)); #40838=DIRECTION('',(0.,0.,1.)); #40839=DIRECTION('',(0.,0.,1.)); #40840=DIRECTION('',(1.,0.,0.)); #40841=DIRECTION('',(0.,0.,1.)); #40842=DIRECTION('',(0.,0.,1.)); #40843=DIRECTION('',(0.,1.,0.)); #40844=DIRECTION('',(0.,0.,1.)); #40845=DIRECTION('',(0.,0.,1.)); #40846=DIRECTION('',(-1.,0.,0.)); #40847=DIRECTION('',(0.,0.,1.)); #40848=DIRECTION('',(0.,0.,1.)); #40849=DIRECTION('',(0.,0.,1.)); #40850=DIRECTION('',(0.,0.,1.)); #40851=DIRECTION('',(0.,0.,1.)); #40852=DIRECTION('',(0.,0.,1.)); #40853=DIRECTION('',(0.,1.,0.)); #40854=DIRECTION('',(0.,0.,1.)); #40855=DIRECTION('',(0.,0.,1.)); #40856=DIRECTION('',(0.,0.,1.)); #40857=DIRECTION('',(0.,0.,1.)); #40858=DIRECTION('',(0.,1.,0.)); #40859=DIRECTION('',(0.,0.,1.)); #40860=DIRECTION('',(0.,0.,1.)); #40861=DIRECTION('',(0.,0.,1.)); #40862=DIRECTION('',(1.,0.,0.)); #40863=DIRECTION('',(0.,0.,-1.)); #40864=DIRECTION('',(0.,0.,1.)); #40865=DIRECTION('',(1.,0.,0.)); #40866=DIRECTION('',(0.,0.,1.)); #40867=DIRECTION('',(0.,0.,1.)); #40868=DIRECTION('',(0.,-1.,0.)); #40869=DIRECTION('',(0.,0.,-1.)); #40870=DIRECTION('',(0.,0.,1.)); #40871=DIRECTION('',(1.,0.,0.)); #40872=DIRECTION('',(0.,0.,-1.)); #40873=DIRECTION('',(0.,0.,1.)); #40874=DIRECTION('',(0.,1.,0.)); #40875=DIRECTION('',(0.,0.,1.)); #40876=DIRECTION('',(-1.,0.,0.)); #40877=DIRECTION('',(0.,0.,1.)); #40878=DIRECTION('',(0.,0.,-1.)); #40879=DIRECTION('',(-1.,0.,0.)); #40880=DIRECTION('',(0.,0.,1.)); #40881=DIRECTION('',(0.,0.,1.)); #40882=DIRECTION('',(1.,0.,0.)); #40883=DIRECTION('',(0.,0.,-1.)); #40884=DIRECTION('',(0.,0.,1.)); #40885=DIRECTION('',(0.,1.,0.)); #40886=DIRECTION('',(0.,0.,1.)); #40887=DIRECTION('',(0.,0.,1.)); #40888=DIRECTION('',(0.,0.,1.)); #40889=DIRECTION('',(0.,0.,1.)); #40890=DIRECTION('',(0.,-1.,0.)); #40891=DIRECTION('',(0.,0.,-1.)); #40892=DIRECTION('',(0.,0.,1.)); #40893=DIRECTION('',(0.,0.,-1.)); #40894=DIRECTION('',(-1.,0.,0.)); #40895=DIRECTION('',(0.,0.,1.)); #40896=DIRECTION('',(0.,0.,1.)); #40897=DIRECTION('',(1.,0.,0.)); #40898=DIRECTION('',(0.,0.,-1.)); #40899=DIRECTION('',(0.,0.,1.)); #40900=DIRECTION('',(0.,1.,0.)); #40901=DIRECTION('',(0.,0.,1.)); #40902=DIRECTION('',(0.,0.,1.)); #40903=DIRECTION('',(1.,0.,0.)); #40904=DIRECTION('',(0.,0.,-1.)); #40905=DIRECTION('',(0.,0.,1.)); #40906=DIRECTION('',(0.,-1.,0.)); #40907=DIRECTION('',(0.,0.,-1.)); #40908=DIRECTION('',(0.,0.,1.)); #40909=DIRECTION('',(1.,0.,0.)); #40910=DIRECTION('',(0.,0.,-1.)); #40911=DIRECTION('',(0.,0.,1.)); #40912=DIRECTION('',(0.,1.,0.)); #40913=DIRECTION('',(0.,0.,1.)); #40914=DIRECTION('',(0.,0.,1.)); #40915=DIRECTION('',(-1.,0.,0.)); #40916=DIRECTION('',(0.,0.,1.)); #40917=DIRECTION('',(0.,0.,1.)); #40918=DIRECTION('',(0.,-1.,0.)); #40919=DIRECTION('',(0.,0.,-1.)); #40920=DIRECTION('',(0.,0.,1.)); #40921=DIRECTION('',(-1.,0.,0.)); #40922=DIRECTION('',(0.,0.,1.)); #40923=DIRECTION('',(0.,0.,1.)); #40924=DIRECTION('',(0.,1.,0.)); #40925=DIRECTION('',(0.,0.,1.)); #40926=DIRECTION('',(0.,0.,1.)); #40927=DIRECTION('',(-1.,0.,0.)); #40928=DIRECTION('',(0.,0.,1.)); #40929=DIRECTION('',(0.,-1.,0.)); #40930=DIRECTION('',(0.,0.,-1.)); #40931=DIRECTION('',(0.,0.,-1.)); #40932=DIRECTION('',(-1.,0.,0.)); #40933=DIRECTION('',(0.,0.,1.)); #40934=DIRECTION('',(0.,0.,1.)); #40935=DIRECTION('',(-1.,0.,0.)); #40936=DIRECTION('',(0.,0.,1.)); #40937=DIRECTION('',(0.,0.,1.)); #40938=DIRECTION('',(0.,0.,1.)); #40939=DIRECTION('',(0.,0.,1.)); #40940=DIRECTION('',(0.,0.,1.)); #40941=DIRECTION('',(0.,0.,1.)); #40942=DIRECTION('',(0.,0.,1.)); #40943=DIRECTION('',(0.,0.,1.)); #40944=DIRECTION('',(-1.,0.,0.)); #40945=DIRECTION('',(0.,0.,1.)); #40946=DIRECTION('',(0.,0.,1.)); #40947=DIRECTION('',(-0.270291000997559,-0.962778673828901,0.)); #40948=DIRECTION('',(0.962778673828901,-0.27029100099756,0.)); #40949=DIRECTION('',(0.,0.,1.)); #40950=DIRECTION('',(-1.,0.,0.)); #40951=DIRECTION('',(0.,0.,1.)); #40952=DIRECTION('',(0.,0.,1.)); #40953=DIRECTION('',(-0.25440122209711,0.967098763413281,0.)); #40954=DIRECTION('',(-0.967098763413281,-0.25440122209711,0.)); #40955=DIRECTION('',(0.,0.,1.)); #40956=DIRECTION('',(-1.,0.,0.)); #40957=DIRECTION('',(0.,0.,1.)); #40958=DIRECTION('',(0.,0.,1.)); #40959=DIRECTION('',(0.243393493597344,-0.969927629916005,0.)); #40960=DIRECTION('',(0.969927629916006,0.243393493597344,0.)); #40961=DIRECTION('',(0.,0.,1.)); #40962=DIRECTION('',(-0.274873449095284,-0.961480414247977,0.)); #40963=DIRECTION('',(0.961480414247977,-0.274873449095284,0.)); #40964=DIRECTION('',(0.,0.,1.)); #40965=DIRECTION('',(0.,0.,-1.)); #40966=DIRECTION('',(-1.,0.,0.)); #40967=DIRECTION('',(0.,0.,1.)); #40968=DIRECTION('',(0.,0.,1.)); #40969=DIRECTION('',(0.,0.,1.)); #40970=DIRECTION('',(0.,0.,1.)); #40971=DIRECTION('',(0.,0.,1.)); #40972=DIRECTION('',(0.,0.,1.)); #40973=DIRECTION('',(0.,0.,1.)); #40974=DIRECTION('',(0.,0.,1.)); #40975=DIRECTION('',(0.,0.,1.)); #40976=DIRECTION('',(0.,0.,1.)); #40977=DIRECTION('',(0.,0.,-1.)); #40978=DIRECTION('',(-1.,0.,0.)); #40979=DIRECTION('',(0.,0.,1.)); #40980=DIRECTION('',(0.,0.,1.)); #40981=DIRECTION('',(0.,1.,0.)); #40982=DIRECTION('',(0.,0.,1.)); #40983=DIRECTION('',(0.,0.,1.)); #40984=DIRECTION('',(1.,0.,0.)); #40985=DIRECTION('',(0.,0.,-1.)); #40986=DIRECTION('',(0.,0.,1.)); #40987=DIRECTION('',(0.,-1.,0.)); #40988=DIRECTION('',(0.,0.,-1.)); #40989=DIRECTION('',(-1.,0.,0.)); #40990=DIRECTION('',(0.,0.,1.)); #40991=DIRECTION('',(0.,0.,1.)); #40992=DIRECTION('',(1.,0.,0.)); #40993=DIRECTION('',(0.,0.,1.)); #40994=DIRECTION('',(0.,0.,1.)); #40995=DIRECTION('',(-1.,0.,0.)); #40996=DIRECTION('',(0.,0.,1.)); #40997=DIRECTION('',(0.,0.,1.)); #40998=DIRECTION('',(0.,1.,0.)); #40999=DIRECTION('',(0.,0.,1.)); #41000=DIRECTION('',(0.,0.,1.)); #41001=DIRECTION('',(0.,0.,1.)); #41002=DIRECTION('',(0.,0.,1.)); #41003=DIRECTION('',(0.,0.,1.)); #41004=DIRECTION('',(0.,0.,1.)); #41005=DIRECTION('',(0.,0.,1.)); #41006=DIRECTION('',(0.,1.,0.)); #41007=DIRECTION('',(0.,0.,1.)); #41008=DIRECTION('',(0.,0.,1.)); #41009=DIRECTION('',(1.,0.,0.)); #41010=DIRECTION('',(0.,0.,-1.)); #41011=DIRECTION('',(0.,0.,1.)); #41012=DIRECTION('',(0.525429320463166,-0.850837251886408,0.)); #41013=DIRECTION('',(0.850837251886408,0.525429320463166,0.)); #41014=DIRECTION('',(0.,0.,1.)); #41015=DIRECTION('',(1.,0.,0.)); #41016=DIRECTION('',(0.,0.,-1.)); #41017=DIRECTION('',(0.,0.,1.)); #41018=DIRECTION('',(-0.548095128333072,0.836416003133341,0.)); #41019=DIRECTION('',(-0.836416003133341,-0.548095128333072,0.)); #41020=DIRECTION('',(0.,0.,1.)); #41021=DIRECTION('',(0.,0.,1.)); #41022=DIRECTION('',(0.,0.,1.)); #41023=DIRECTION('',(0.,0.,1.)); #41024=DIRECTION('',(0.,0.,1.)); #41025=DIRECTION('',(0.,-1.,0.)); #41026=DIRECTION('',(0.,0.,-1.)); #41027=DIRECTION('',(1.,0.,0.)); #41028=DIRECTION('',(0.,0.,-1.)); #41029=DIRECTION('',(0.,0.,1.)); #41030=DIRECTION('',(0.,0.,-1.)); #41031=DIRECTION('',(-1.,0.,0.)); #41032=DIRECTION('',(0.,0.,1.)); #41033=DIRECTION('',(1.,0.,0.)); #41034=DIRECTION('',(0.,0.,1.)); #41035=DIRECTION('',(-1.,0.,0.)); #41036=DIRECTION('',(0.,0.,1.)); #41037=DIRECTION('',(0.,0.,1.)); #41038=DIRECTION('',(0.,0.,1.)); #41039=DIRECTION('',(1.,0.,0.)); #41040=DIRECTION('',(0.,0.,-1.)); #41041=DIRECTION('',(0.,1.,0.)); #41042=DIRECTION('',(0.,0.,1.)); #41043=DIRECTION('',(0.,0.,1.)); #41044=DIRECTION('',(-1.,0.,0.)); #41045=DIRECTION('',(0.,0.,1.)); #41046=DIRECTION('',(0.,-1.,0.)); #41047=DIRECTION('',(0.,0.,-1.)); #41048=CARTESIAN_POINT('',(0.,0.,0.)); #41049=CARTESIAN_POINT('',(12.7,6.96570627231004,1.4)); #41050=CARTESIAN_POINT('',(12.7,6.96570627231004,1.76114227434982)); #41051=CARTESIAN_POINT('',(12.7,6.96570627231004,2.12228454869964)); #41052=CARTESIAN_POINT('',(12.7,6.96570627231004,2.48342682304946)); #41053=CARTESIAN_POINT('',(12.7,6.96570627231004,1.4)); #41054=CARTESIAN_POINT('',(12.7,6.96570627231004,2.48342682304946)); #41055=CARTESIAN_POINT('',(12.7,6.96570627231004,2.48342682304946)); #41056=CARTESIAN_POINT('',(12.7,6.885206527282,2.48342682304946)); #41057=CARTESIAN_POINT('',(12.7,6.80470678225395,2.48342682304946)); #41058=CARTESIAN_POINT('',(12.7,6.7242070372259,2.48342682304946)); #41059=CARTESIAN_POINT('',(12.7,6.7242070372259,2.48342682304946)); #41060=CARTESIAN_POINT('',(12.7,6.7242070372259,2.48342682304946)); #41061=CARTESIAN_POINT('',(12.7,6.7242070372259,2.52228454869964)); #41062=CARTESIAN_POINT('',(12.7,6.7242070372259,2.56114227434982)); #41063=CARTESIAN_POINT('',(12.7,6.7242070372259,2.6)); #41064=CARTESIAN_POINT('',(12.7,6.7242070372259,2.6)); #41065=CARTESIAN_POINT('',(12.7,6.7242070372259,2.6)); #41066=CARTESIAN_POINT('',(12.7,6.92173890872004,2.6)); #41067=CARTESIAN_POINT('',(12.7,7.11927078021418,2.6)); #41068=CARTESIAN_POINT('',(12.7,7.31680265170831,2.6)); #41069=CARTESIAN_POINT('',(12.7,7.31680265170831,2.6)); #41070=CARTESIAN_POINT('',(12.7,7.31680265170831,2.6)); #41071=CARTESIAN_POINT('',(12.7,7.31680265170831,2.56114227434982)); #41072=CARTESIAN_POINT('',(12.7,7.31680265170831,2.52228454869964)); #41073=CARTESIAN_POINT('',(12.7,7.31680265170831,2.48342682304946)); #41074=CARTESIAN_POINT('',(12.7,7.31680265170831,2.48342682304946)); #41075=CARTESIAN_POINT('',(12.7,7.31680265170831,2.48342682304946)); #41076=CARTESIAN_POINT('',(12.7,7.23648648648649,2.48342682304946)); #41077=CARTESIAN_POINT('',(12.7,7.15617032126466,2.48342682304946)); #41078=CARTESIAN_POINT('',(12.7,7.07585415604283,2.48342682304946)); #41079=CARTESIAN_POINT('',(12.7,7.07585415604283,2.48342682304946)); #41080=CARTESIAN_POINT('',(12.7,7.07585415604283,2.48342682304946)); #41081=CARTESIAN_POINT('',(12.7,7.07585415604283,2.12228454869964)); #41082=CARTESIAN_POINT('',(12.7,7.07585415604283,1.76114227434982)); #41083=CARTESIAN_POINT('',(12.7,7.07585415604283,1.4)); #41084=CARTESIAN_POINT('',(12.7,7.07585415604283,1.4)); #41085=CARTESIAN_POINT('',(12.7,7.07585415604283,1.4)); #41086=CARTESIAN_POINT('',(12.7,7.03913819479857,1.4)); #41087=CARTESIAN_POINT('',(12.7,7.00242223355431,1.4)); #41088=CARTESIAN_POINT('',(12.7,6.96570627231004,1.4)); #41089=CARTESIAN_POINT('',(12.7,8.93873023967364,2.2646608873024)); #41090=CARTESIAN_POINT('',(12.7,8.90366649668536,1.97644059153493)); #41091=CARTESIAN_POINT('',(12.7,8.86860275369709,1.68822029576747)); #41092=CARTESIAN_POINT('',(12.7,8.83353901070882,1.4)); #41093=CARTESIAN_POINT('',(12.7,8.93873023967364,2.2646608873024)); #41094=CARTESIAN_POINT('',(12.7,8.83353901070882,1.4)); #41095=CARTESIAN_POINT('',(12.7,8.83353901070882,1.4)); #41096=CARTESIAN_POINT('',(12.7,8.79884242733299,1.4)); #41097=CARTESIAN_POINT('',(12.7,8.76414584395716,1.4)); #41098=CARTESIAN_POINT('',(12.7,8.72944926058134,1.4)); #41099=CARTESIAN_POINT('',(12.7,8.72944926058134,1.4)); #41100=CARTESIAN_POINT('',(12.7,8.72944926058134,1.4)); #41101=CARTESIAN_POINT('',(12.7,8.78057623661397,1.8)); #41102=CARTESIAN_POINT('',(12.7,8.83170321264661,2.2)); #41103=CARTESIAN_POINT('',(12.7,8.88283018867925,2.6)); #41104=CARTESIAN_POINT('',(12.7,8.88283018867925,2.6)); #41105=CARTESIAN_POINT('',(12.7,8.88283018867925,2.6)); #41106=CARTESIAN_POINT('',(12.7,8.88870474247833,2.6)); #41107=CARTESIAN_POINT('',(12.7,8.89457929627741,2.6)); #41108=CARTESIAN_POINT('',(12.7,8.90045385007649,2.6)); #41109=CARTESIAN_POINT('',(12.7,8.90045385007649,2.6)); #41110=CARTESIAN_POINT('',(12.7,8.90045385007649,2.6)); #41111=CARTESIAN_POINT('',(12.7,9.04685874553799,2.27180010198878)); #41112=CARTESIAN_POINT('',(12.7,9.19326364099949,1.94360020397756)); #41113=CARTESIAN_POINT('',(12.7,9.33966853646099,1.61540030596634)); #41114=CARTESIAN_POINT('',(12.7,9.33966853646099,1.61540030596634)); #41115=CARTESIAN_POINT('',(12.7,9.33966853646099,1.61540030596634)); #41116=CARTESIAN_POINT('',(12.7,9.48451300356961,1.94360020397756)); #41117=CARTESIAN_POINT('',(12.7,9.62935747067823,2.27180010198878)); #41118=CARTESIAN_POINT('',(12.7,9.77420193778685,2.6)); #41119=CARTESIAN_POINT('',(12.7,9.77420193778685,2.6)); #41120=CARTESIAN_POINT('',(12.7,9.77420193778685,2.6)); #41121=CARTESIAN_POINT('',(12.7,9.78007649158593,2.6)); #41122=CARTESIAN_POINT('',(12.7,9.78595104538501,2.6)); #41123=CARTESIAN_POINT('',(12.7,9.79182559918409,2.6)); #41124=CARTESIAN_POINT('',(12.7,9.79182559918409,2.6)); #41125=CARTESIAN_POINT('',(12.7,9.79182559918409,2.6)); #41126=CARTESIAN_POINT('',(12.7,9.84350331463539,2.2)); #41127=CARTESIAN_POINT('',(12.7,9.89518103008669,1.8)); #41128=CARTESIAN_POINT('',(12.7,9.94685874553799,1.4)); #41129=CARTESIAN_POINT('',(12.7,9.94685874553799,1.4)); #41130=CARTESIAN_POINT('',(12.7,9.94685874553799,1.4)); #41131=CARTESIAN_POINT('',(12.7,9.91133605303417,1.4)); #41132=CARTESIAN_POINT('',(12.7,9.87581336053034,1.4)); #41133=CARTESIAN_POINT('',(12.7,9.84029066802652,1.4)); #41134=CARTESIAN_POINT('',(12.7,9.84029066802652,1.4)); #41135=CARTESIAN_POINT('',(12.7,9.84029066802652,1.4)); #41136=CARTESIAN_POINT('',(12.7,9.80504334523202,1.68607853136155)); #41137=CARTESIAN_POINT('',(12.7,9.76979602243753,1.9721570627231)); #41138=CARTESIAN_POINT('',(12.7,9.73454869964304,2.25823559408465)); #41139=CARTESIAN_POINT('',(12.7,9.73454869964304,2.25823559408465)); #41140=CARTESIAN_POINT('',(12.7,9.73454869964304,2.25823559408465)); #41141=CARTESIAN_POINT('',(12.7,9.60732789393167,1.9721570627231)); #41142=CARTESIAN_POINT('',(12.7,9.4801070882203,1.68607853136155)); #41143=CARTESIAN_POINT('',(12.7,9.35288628250893,1.4)); #41144=CARTESIAN_POINT('',(12.7,9.35288628250893,1.4)); #41145=CARTESIAN_POINT('',(12.7,9.35288628250893,1.4)); #41146=CARTESIAN_POINT('',(12.7,9.34379908210097,1.4)); #41147=CARTESIAN_POINT('',(12.7,9.33471188169301,1.4)); #41148=CARTESIAN_POINT('',(12.7,9.32562468128506,1.4)); #41149=CARTESIAN_POINT('',(12.7,9.32562468128506,1.4)); #41150=CARTESIAN_POINT('',(12.7,9.32562468128506,1.4)); #41151=CARTESIAN_POINT('',(12.7,9.19665986741459,1.68822029576747)); #41152=CARTESIAN_POINT('',(12.7,9.06769505354411,1.97644059153493)); #41153=CARTESIAN_POINT('',(12.7,8.93873023967364,2.2646608873024)); #41154=CARTESIAN_POINT('',(12.7,11.1009331973483,1.4)); #41155=CARTESIAN_POINT('',(12.7,11.0651351351351,1.4)); #41156=CARTESIAN_POINT('',(12.7,11.029337072922,1.4)); #41157=CARTESIAN_POINT('',(12.7,10.9935390107088,1.4)); #41158=CARTESIAN_POINT('',(12.7,11.1009331973483,1.4)); #41159=CARTESIAN_POINT('',(12.7,10.9935390107088,1.4)); #41160=CARTESIAN_POINT('',(12.7,10.9935390107088,1.4)); #41161=CARTESIAN_POINT('',(12.7,10.9935390107088,1.8)); #41162=CARTESIAN_POINT('',(12.7,10.9935390107088,2.2)); #41163=CARTESIAN_POINT('',(12.7,10.9935390107088,2.6)); #41164=CARTESIAN_POINT('',(12.7,10.9935390107088,2.6)); #41165=CARTESIAN_POINT('',(12.7,10.9935390107088,2.6)); #41166=CARTESIAN_POINT('',(12.7,11.1739979602244,2.6)); #41167=CARTESIAN_POINT('',(12.7,11.3544569097399,2.6)); #41168=CARTESIAN_POINT('',(12.7,11.5349158592555,2.6)); #41169=CARTESIAN_POINT('',(12.7,11.5349158592555,2.6)); #41170=CARTESIAN_POINT('',(12.7,11.5349158592555,2.6)); #41171=CARTESIAN_POINT('',(12.7,11.5349158592555,2.56063233044365)); #41172=CARTESIAN_POINT('',(12.7,11.5349158592555,2.5212646608873)); #41173=CARTESIAN_POINT('',(12.7,11.5349158592555,2.48189699133095)); #41174=CARTESIAN_POINT('',(12.7,11.5349158592555,2.48189699133095)); #41175=CARTESIAN_POINT('',(12.7,11.5349158592555,2.48189699133095)); #41176=CARTESIAN_POINT('',(12.7,11.3902549719531,2.48189699133095)); #41177=CARTESIAN_POINT('',(12.7,11.2455940846507,2.48189699133095)); #41178=CARTESIAN_POINT('',(12.7,11.1009331973483,2.48189699133095)); #41179=CARTESIAN_POINT('',(12.7,11.1009331973483,2.48189699133095)); #41180=CARTESIAN_POINT('',(12.7,11.1009331973483,2.48189699133095)); #41181=CARTESIAN_POINT('',(12.7,11.1009331973483,2.35675675675676)); #41182=CARTESIAN_POINT('',(12.7,11.1009331973483,2.23161652218256)); #41183=CARTESIAN_POINT('',(12.7,11.1009331973483,2.10647628760836)); #41184=CARTESIAN_POINT('',(12.7,11.1009331973483,2.10647628760836)); #41185=CARTESIAN_POINT('',(12.7,11.1009331973483,2.10647628760836)); #41186=CARTESIAN_POINT('',(12.7,11.2455940846507,2.10647628760836)); #41187=CARTESIAN_POINT('',(12.7,11.3902549719531,2.10647628760836)); #41188=CARTESIAN_POINT('',(12.7,11.5349158592555,2.10647628760836)); #41189=CARTESIAN_POINT('',(12.7,11.5349158592555,2.10647628760836)); #41190=CARTESIAN_POINT('',(12.7,11.5349158592555,2.10647628760836)); #41191=CARTESIAN_POINT('',(12.7,11.5349158592555,2.06700662927078)); #41192=CARTESIAN_POINT('',(12.7,11.5349158592555,2.0275369709332)); #41193=CARTESIAN_POINT('',(12.7,11.5349158592555,1.98806731259561)); #41194=CARTESIAN_POINT('',(12.7,11.5349158592555,1.98806731259561)); #41195=CARTESIAN_POINT('',(12.7,11.5349158592555,1.98806731259561)); #41196=CARTESIAN_POINT('',(12.7,11.3902549719531,1.98806731259561)); #41197=CARTESIAN_POINT('',(12.7,11.2455940846507,1.98806731259561)); #41198=CARTESIAN_POINT('',(12.7,11.1009331973483,1.98806731259561)); #41199=CARTESIAN_POINT('',(12.7,11.1009331973483,1.98806731259561)); #41200=CARTESIAN_POINT('',(12.7,11.1009331973483,1.98806731259561)); #41201=CARTESIAN_POINT('',(12.7,11.1009331973483,1.79204487506374)); #41202=CARTESIAN_POINT('',(12.7,11.1009331973483,1.59602243753187)); #41203=CARTESIAN_POINT('',(12.7,11.1009331973483,1.4)); #41204=CARTESIAN_POINT('',(12.7,14.0226517083121,1.6790413054564)); #41205=CARTESIAN_POINT('',(12.7,13.8506374298827,1.6790413054564)); #41206=CARTESIAN_POINT('',(12.7,13.6786231514533,1.6790413054564)); #41207=CARTESIAN_POINT('',(12.7,13.506608873024,1.6790413054564)); #41208=CARTESIAN_POINT('',(12.7,14.0226517083121,1.6790413054564)); #41209=CARTESIAN_POINT('',(12.7,13.506608873024,1.6790413054564)); #41210=CARTESIAN_POINT('',(12.7,13.506608873024,1.6790413054564)); #41211=CARTESIAN_POINT('',(12.7,13.7072616012239,1.99612442631311)); #41212=CARTESIAN_POINT('',(12.7,13.9079143294238,2.31320754716981)); #41213=CARTESIAN_POINT('',(12.7,14.1085670576237,2.63029066802652)); #41214=CARTESIAN_POINT('',(12.7,14.1085670576237,2.63029066802652)); #41215=CARTESIAN_POINT('',(12.7,14.1085670576237,2.63029066802652)); #41216=CARTESIAN_POINT('',(12.7,14.1159102498725,2.63029066802652)); #41217=CARTESIAN_POINT('',(12.7,14.1232534421214,2.63029066802652)); #41218=CARTESIAN_POINT('',(12.7,14.1305966343702,2.63029066802652)); #41219=CARTESIAN_POINT('',(12.7,14.1305966343702,2.63029066802652)); #41220=CARTESIAN_POINT('',(12.7,14.1305966343702,2.63029066802652)); #41221=CARTESIAN_POINT('',(12.7,14.1305966343702,2.35155532891382)); #41222=CARTESIAN_POINT('',(12.7,14.1305966343702,2.07281998980112)); #41223=CARTESIAN_POINT('',(12.7,14.1305966343702,1.79408465068842)); #41224=CARTESIAN_POINT('',(12.7,14.1305966343702,1.79408465068842)); #41225=CARTESIAN_POINT('',(12.7,14.1305966343702,1.79408465068842)); #41226=CARTESIAN_POINT('',(12.7,14.1741968383478,1.79408465068842)); #41227=CARTESIAN_POINT('',(12.7,14.2177970423253,1.79408465068842)); #41228=CARTESIAN_POINT('',(12.7,14.2613972463029,1.79408465068842)); #41229=CARTESIAN_POINT('',(12.7,14.2613972463029,1.79408465068842)); #41230=CARTESIAN_POINT('',(12.7,14.2613972463029,1.79408465068842)); #41231=CARTESIAN_POINT('',(12.7,14.2613972463029,1.75573686894442)); #41232=CARTESIAN_POINT('',(12.7,14.2613972463029,1.71738908720041)); #41233=CARTESIAN_POINT('',(12.7,14.2613972463029,1.6790413054564)); #41234=CARTESIAN_POINT('',(12.7,14.2613972463029,1.6790413054564)); #41235=CARTESIAN_POINT('',(12.7,14.2613972463029,1.6790413054564)); #41236=CARTESIAN_POINT('',(12.7,14.2177970423253,1.6790413054564)); #41237=CARTESIAN_POINT('',(12.7,14.1741968383478,1.6790413054564)); #41238=CARTESIAN_POINT('',(12.7,14.1305966343702,1.6790413054564)); #41239=CARTESIAN_POINT('',(12.7,14.1305966343702,1.6790413054564)); #41240=CARTESIAN_POINT('',(12.7,14.1305966343702,1.6790413054564)); #41241=CARTESIAN_POINT('',(12.7,14.1305966343702,1.58602753697093)); #41242=CARTESIAN_POINT('',(12.7,14.1305966343702,1.49301376848547)); #41243=CARTESIAN_POINT('',(12.7,14.1305966343702,1.4)); #41244=CARTESIAN_POINT('',(12.7,14.1305966343702,1.4)); #41245=CARTESIAN_POINT('',(12.7,14.1305966343702,1.4)); #41246=CARTESIAN_POINT('',(12.7,14.0946149923508,1.4)); #41247=CARTESIAN_POINT('',(12.7,14.0586333503315,1.4)); #41248=CARTESIAN_POINT('',(12.7,14.0226517083121,1.4)); #41249=CARTESIAN_POINT('',(12.7,14.0226517083121,1.4)); #41250=CARTESIAN_POINT('',(12.7,14.0226517083121,1.4)); #41251=CARTESIAN_POINT('',(12.7,14.0226517083121,1.49301376848547)); #41252=CARTESIAN_POINT('',(12.7,14.0226517083121,1.58602753697093)); #41253=CARTESIAN_POINT('',(12.7,14.0226517083121,1.6790413054564)); #41254=CARTESIAN_POINT('',(12.7,15.4837633860275,2.07465578786333)); #41255=CARTESIAN_POINT('',(12.7,15.5228658847527,2.08934217236104)); #41256=CARTESIAN_POINT('',(12.7,15.5594900560938,2.09668536460989)); #41257=CARTESIAN_POINT('',(12.7,15.6795512493626,2.09668536460989)); #41258=CARTESIAN_POINT('',(12.7,15.7522488526262,2.06241713411525)); #41259=CARTESIAN_POINT('',(12.7,15.8716411394077,1.924868416625)); #41260=CARTESIAN_POINT('',(12.7,15.9014992350841,1.83997960224375)); #41261=CARTESIAN_POINT('',(12.7,15.9014992350841,1.67016828148904)); #41262=CARTESIAN_POINT('',(12.7,15.8869046404895,1.60775114737379)); #41263=CARTESIAN_POINT('',(12.7,15.8295699240831,1.49626697658349)); #41264=CARTESIAN_POINT('',(12.7,15.7883222845487,1.45201427842937)); #41265=CARTESIAN_POINT('',(12.7,15.6818924845016,1.38645303142283)); #41266=CARTESIAN_POINT('',(12.7,15.6247526772055,1.36970933197348)); #41267=CARTESIAN_POINT('',(12.7,15.5044161142274,1.36970933197348)); #41268=CARTESIAN_POINT('',(12.7,15.4496175420704,1.385619581846)); #41269=CARTESIAN_POINT('',(12.7,15.3482814890362,1.44926058133605)); #41270=CARTESIAN_POINT('',(12.7,15.3083528811831,1.49393166751657)); #41271=CARTESIAN_POINT('',(12.7,15.2506475637309,1.60934230242098)); #41272=CARTESIAN_POINT('',(12.7,15.2362060173381,1.67108618052014)); #41273=CARTESIAN_POINT('',(12.7,15.2362060173381,1.78582355940847)); #41274=CARTESIAN_POINT('',(12.7,15.2450178480367,1.83753187149414)); #41275=CARTESIAN_POINT('',(12.7,15.2809075170997,1.94550762799119)); #41276=CARTESIAN_POINT('',(12.7,15.3127587965324,2.01070882202958)); #41277=CARTESIAN_POINT('',(12.7,15.3587455379908,2.08689444161142)); #41278=CARTESIAN_POINT('',(12.7,15.4837633860275,2.07465578786333)); #41279=CARTESIAN_POINT('',(12.7,15.3587455379908,2.08689444161142)); #41280=CARTESIAN_POINT('',(12.7,15.3587455379908,2.08689444161142)); #41281=CARTESIAN_POINT('',(12.7,15.4679755226925,2.26802651708312)); #41282=CARTESIAN_POINT('',(12.7,15.5772055073942,2.44915859255482)); #41283=CARTESIAN_POINT('',(12.7,15.6864354920959,2.63029066802652)); #41284=CARTESIAN_POINT('',(12.7,15.6864354920959,2.63029066802652)); #41285=CARTESIAN_POINT('',(12.7,15.6864354920959,2.63029066802652)); #41286=CARTESIAN_POINT('',(12.7,15.7159918408975,2.60877103518613)); #41287=CARTESIAN_POINT('',(12.7,15.7455481896991,2.58725140234574)); #41288=CARTESIAN_POINT('',(12.7,15.7751045385008,2.56573176950535)); #41289=CARTESIAN_POINT('',(12.7,15.7751045385008,2.56573176950535)); #41290=CARTESIAN_POINT('',(12.7,15.7751045385008,2.56573176950535)); #41291=CARTESIAN_POINT('',(12.7,15.6779908210097,2.40203977562468)); #41292=CARTESIAN_POINT('',(12.7,15.5808771035186,2.23834778174401)); #41293=CARTESIAN_POINT('',(12.7,15.4837633860275,2.07465578786333)); #41294=CARTESIAN_POINT('',(12.7,17.9037123916369,2.48679245283019)); #41295=CARTESIAN_POINT('',(12.7,17.7311473737889,2.48679245283019)); #41296=CARTESIAN_POINT('',(12.7,17.5585823559408,2.48679245283019)); #41297=CARTESIAN_POINT('',(12.7,17.3860173380928,2.48679245283019)); #41298=CARTESIAN_POINT('',(12.7,17.9037123916369,2.48679245283019)); #41299=CARTESIAN_POINT('',(12.7,17.3860173380928,2.48679245283019)); #41300=CARTESIAN_POINT('',(12.7,17.3860173380928,2.48679245283019)); #41301=CARTESIAN_POINT('',(12.7,17.3860173380928,2.52452830188679)); #41302=CARTESIAN_POINT('',(12.7,17.3860173380928,2.5622641509434)); #41303=CARTESIAN_POINT('',(12.7,17.3860173380928,2.6)); #41304=CARTESIAN_POINT('',(12.7,17.3860173380928,2.6)); #41305=CARTESIAN_POINT('',(12.7,17.3860173380928,2.6)); #41306=CARTESIAN_POINT('',(12.7,17.6143906170321,2.6)); #41307=CARTESIAN_POINT('',(12.7,17.8427638959714,2.6)); #41308=CARTESIAN_POINT('',(12.7,18.0711371749108,2.6)); #41309=CARTESIAN_POINT('',(12.7,18.0711371749108,2.6)); #41310=CARTESIAN_POINT('',(12.7,18.0711371749108,2.6)); #41311=CARTESIAN_POINT('',(12.7,17.8784701682815,2.18990311065783)); #41312=CARTESIAN_POINT('',(12.7,17.6858031616522,1.77980622131566)); #41313=CARTESIAN_POINT('',(12.7,17.4931361550229,1.36970933197348)); #41314=CARTESIAN_POINT('',(12.7,17.4931361550229,1.36970933197348)); #41315=CARTESIAN_POINT('',(12.7,17.4931361550229,1.36970933197348)); #41316=CARTESIAN_POINT('',(12.7,17.4635798062213,1.38663946965834)); #41317=CARTESIAN_POINT('',(12.7,17.4340234574197,1.40356960734319)); #41318=CARTESIAN_POINT('',(12.7,17.4044671086181,1.42049974502805)); #41319=CARTESIAN_POINT('',(12.7,17.4044671086181,1.42049974502805)); #41320=CARTESIAN_POINT('',(12.7,17.4044671086181,1.42049974502805)); #41321=CARTESIAN_POINT('',(12.7,17.5708822029577,1.77593064762876)); #41322=CARTESIAN_POINT('',(12.7,17.7372972972973,2.13136155022947)); #41323=CARTESIAN_POINT('',(12.7,17.9037123916369,2.48679245283019)); #41324=CARTESIAN_POINT('',(12.7,10.25,4.9)); #41325=CARTESIAN_POINT('',(12.7,19.5,4.9)); #41326=CARTESIAN_POINT('',(12.7,16.7,4.9)); #41327=CARTESIAN_POINT('',(12.7,16.7,3.05)); #41328=CARTESIAN_POINT('',(12.7,16.7,3.05)); #41329=CARTESIAN_POINT('',(12.7,16.5,3.05)); #41330=CARTESIAN_POINT('',(12.7,7.,3.05)); #41331=CARTESIAN_POINT('',(12.7,7.,4.9)); #41332=CARTESIAN_POINT('',(12.7,7.,4.9)); #41333=CARTESIAN_POINT('',(12.7,10.25,4.9)); #41334=CARTESIAN_POINT('',(12.7,6.40710678118655,4.9)); #41335=CARTESIAN_POINT('',(12.7,6.40710678118655,4.4)); #41336=CARTESIAN_POINT('',(12.7,6.05355339059328,4.75355339059327)); #41337=CARTESIAN_POINT('',(12.7,5.775,4.475)); #41338=CARTESIAN_POINT('',(12.7,5.24289321881345,3.94289321881345)); #41339=CARTESIAN_POINT('',(12.7,4.53578643762691,4.65)); #41340=CARTESIAN_POINT('',(12.7,4.53578643762691,3.65)); #41341=CARTESIAN_POINT('',(12.7,4.95,3.65)); #41342=CARTESIAN_POINT('',(12.7,0.999999999999999,3.65)); #41343=CARTESIAN_POINT('',(12.7,0.999999999999999,0.)); #41344=CARTESIAN_POINT('',(12.7,0.999999999999999,0.)); #41345=CARTESIAN_POINT('',(12.7,10.25,0.)); #41346=CARTESIAN_POINT('',(12.7,5.,0.)); #41347=CARTESIAN_POINT('',(12.7,5.,0.1)); #41348=CARTESIAN_POINT('',(12.7,5.,0.1)); #41349=CARTESIAN_POINT('',(12.7,5.,0.1)); #41350=CARTESIAN_POINT('',(12.7,7.65,0.1)); #41351=CARTESIAN_POINT('',(12.7,8.81666666666667,1.74991582276862)); #41352=CARTESIAN_POINT('',(12.7,8.15,0.807106781186555)); #41353=CARTESIAN_POINT('',(12.7,10.25,0.807106781186555)); #41354=CARTESIAN_POINT('',(12.7,8.35,0.807106781186555)); #41355=CARTESIAN_POINT('',(12.7,9.68333333333334,-1.07851130197757)); #41356=CARTESIAN_POINT('',(12.7,8.85,0.1)); #41357=CARTESIAN_POINT('',(12.7,5.,0.1)); #41358=CARTESIAN_POINT('',(12.7,9.65,0.0999999999999998)); #41359=CARTESIAN_POINT('',(12.7,10.8166666666667,1.74991582276862)); #41360=CARTESIAN_POINT('',(12.7,10.15,0.807106781186555)); #41361=CARTESIAN_POINT('',(12.7,10.25,0.807106781186555)); #41362=CARTESIAN_POINT('',(12.7,10.35,0.807106781186555)); #41363=CARTESIAN_POINT('',(12.7,11.6833333333333,-1.07851130197757)); #41364=CARTESIAN_POINT('',(12.7,10.85,0.0999999999999996)); #41365=CARTESIAN_POINT('',(12.7,5.,0.1)); #41366=CARTESIAN_POINT('',(12.7,11.65,0.0999999999999983)); #41367=CARTESIAN_POINT('',(12.7,12.8166666666667,1.74991582276862)); #41368=CARTESIAN_POINT('',(12.7,12.15,0.807106781186556)); #41369=CARTESIAN_POINT('',(12.7,10.25,0.807106781186556)); #41370=CARTESIAN_POINT('',(12.7,12.35,0.807106781186556)); #41371=CARTESIAN_POINT('',(12.7,13.6833333333333,-1.07851130197757)); #41372=CARTESIAN_POINT('',(12.7,12.85,0.0999999999999987)); #41373=CARTESIAN_POINT('',(12.7,5.,0.1)); #41374=CARTESIAN_POINT('',(12.7,13.65,0.0999999999999998)); #41375=CARTESIAN_POINT('',(12.7,14.8166666666667,1.74991582276862)); #41376=CARTESIAN_POINT('',(12.7,14.15,0.807106781186555)); #41377=CARTESIAN_POINT('',(12.7,10.25,0.807106781186555)); #41378=CARTESIAN_POINT('',(12.7,14.35,0.807106781186555)); #41379=CARTESIAN_POINT('',(12.7,15.6833333333333,-1.07851130197757)); #41380=CARTESIAN_POINT('',(12.7,14.85,0.0999999999999998)); #41381=CARTESIAN_POINT('',(12.7,5.,0.1)); #41382=CARTESIAN_POINT('',(12.7,15.5,0.1)); #41383=CARTESIAN_POINT('',(12.7,15.5,0.1)); #41384=CARTESIAN_POINT('',(12.7,15.5,0.)); #41385=CARTESIAN_POINT('',(12.7,10.25,0.)); #41386=CARTESIAN_POINT('',(12.7,19.5,0.)); #41387=CARTESIAN_POINT('',(12.7,19.5,0.)); #41388=CARTESIAN_POINT('',(12.7,18.3721162672106,2.05843957164712)); #41389=CARTESIAN_POINT('',(12.7,18.3192452830189,2.08873023967364)); #41390=CARTESIAN_POINT('',(12.7,18.2795920448751,2.12667006629271)); #41391=CARTESIAN_POINT('',(12.7,18.2265872848229,2.21685177610366)); #41392=CARTESIAN_POINT('',(12.7,18.2135033146354,2.2652728199898)); #41393=CARTESIAN_POINT('',(12.7,18.2135033146354,2.36930137684855)); #41394=CARTESIAN_POINT('',(12.7,18.2275471698113,2.42009178990311)); #41395=CARTESIAN_POINT('',(12.7,18.283722590515,2.51983681795003)); #41396=CARTESIAN_POINT('',(12.7,18.3228250892402,2.55900050994391)); #41397=CARTESIAN_POINT('',(12.7,18.4219581845997,2.61591024987251)); #41398=CARTESIAN_POINT('',(12.7,18.4756552779194,2.63029066802652)); #41399=CARTESIAN_POINT('',(12.7,18.5899337072922,2.63029066802652)); #41400=CARTESIAN_POINT('',(12.7,18.6419785823559,2.61591024987251)); #41401=CARTESIAN_POINT('',(12.7,18.7379427798309,2.55830721945968)); #41402=CARTESIAN_POINT('',(12.7,18.775532891382,2.51891891891892)); #41403=CARTESIAN_POINT('',(12.7,18.8301218059569,2.42027901716169)); #41404=CARTESIAN_POINT('',(12.7,18.8435492095869,2.36807751147374)); #41405=CARTESIAN_POINT('',(12.7,18.8435492095869,2.25945945945946)); #41406=CARTESIAN_POINT('',(12.7,18.8306068332483,2.21081081081081)); #41407=CARTESIAN_POINT('',(12.7,18.8049974502805,2.16858745537991)); #41408=CARTESIAN_POINT('',(12.7,18.3721162672106,2.05843957164712)); #41409=CARTESIAN_POINT('',(12.7,18.8049974502805,2.16858745537991)); #41410=CARTESIAN_POINT('',(12.7,18.8049974502805,2.16858745537991)); #41411=CARTESIAN_POINT('',(12.7,18.7791126976033,2.1260581336053)); #41412=CARTESIAN_POINT('',(12.7,18.7405609382968,2.08934217236104)); #41413=CARTESIAN_POINT('',(12.7,18.689342172361,2.05843957164712)); #41414=CARTESIAN_POINT('',(12.7,18.689342172361,2.05843957164712)); #41415=CARTESIAN_POINT('',(12.7,18.689342172361,2.05843957164712)); #41416=CARTESIAN_POINT('',(12.7,18.7620397756247,2.01805201427843)); #41417=CARTESIAN_POINT('',(12.7,18.8143600203978,1.9721570627231)); #41418=CARTESIAN_POINT('',(12.7,18.8776950535441,1.86874043855176)); #41419=CARTESIAN_POINT('',(12.7,18.893391126976,1.80999490056094)); #41420=CARTESIAN_POINT('',(12.7,18.893391126976,1.6518103008669)); #41421=CARTESIAN_POINT('',(12.7,18.8661295257522,1.57011728709842)); #41422=CARTESIAN_POINT('',(12.7,18.7455029750571,1.41295579126899)); #41423=CARTESIAN_POINT('',(12.7,18.6499643039266,1.36970933197348)); #41424=CARTESIAN_POINT('',(12.7,18.4068128505864,1.36970933197348)); #41425=CARTESIAN_POINT('',(12.7,18.3162162162162,1.40764915859255)); #41426=CARTESIAN_POINT('',(12.7,18.1928505864355,1.55940846506884)); #41427=CARTESIAN_POINT('',(12.7,18.1620091789903,1.64293727689954)); #41428=CARTESIAN_POINT('',(12.7,18.1620091789903,1.80142784293728)); #41429=CARTESIAN_POINT('',(12.7,18.1782559918409,1.86170321264661)); #41430=CARTESIAN_POINT('',(12.7,18.2426925038246,1.96879143294238)); #41431=CARTESIAN_POINT('',(12.7,18.2966649668536,2.01652218255992)); #41432=CARTESIAN_POINT('',(12.7,18.3721162672106,2.05843957164712)); #41433=CARTESIAN_POINT('',(12.7,16.9225701172871,1.46027536970933)); #41434=CARTESIAN_POINT('',(12.7,16.8344518103009,1.4)); #41435=CARTESIAN_POINT('',(12.7,16.7353187149414,1.36970933197348)); #41436=CARTESIAN_POINT('',(12.7,16.464630290668,1.36970933197348)); #41437=CARTESIAN_POINT('',(12.7,16.329423763386,1.43059663437022)); #41438=CARTESIAN_POINT('',(12.7,16.1092800876961,1.67458920825993)); #41439=CARTESIAN_POINT('',(12.7,16.0540540540541,1.82162162162162)); #41440=CARTESIAN_POINT('',(12.7,16.0540540540541,2.11014788373279)); #41441=CARTESIAN_POINT('',(12.7,16.0793880673126,2.21693013768485)); #41442=CARTESIAN_POINT('',(12.7,16.1801733809281,2.41458439571647)); #41443=CARTESIAN_POINT('',(12.7,16.2487404385518,2.49168791432942)); #41444=CARTESIAN_POINT('',(12.7,16.4225781973583,2.60232305618593)); #41445=CARTESIAN_POINT('',(12.7,16.5177766445691,2.63029066802652)); #41446=CARTESIAN_POINT('',(12.7,16.7818561958185,2.63029066802652)); #41447=CARTESIAN_POINT('',(12.7,16.9167873533911,2.56940336562978)); #41448=CARTESIAN_POINT('',(12.7,17.136532381438,2.32646608873024)); #41449=CARTESIAN_POINT('',(12.7,17.1913309535951,2.17684854665987)); #41450=CARTESIAN_POINT('',(12.7,17.1913309535951,1.90729219785824)); #41451=CARTESIAN_POINT('',(12.7,17.1764609892912,1.82253952065273)); #41452=CARTESIAN_POINT('',(12.7,17.1461703212647,1.74604793472718)); #41453=CARTESIAN_POINT('',(12.7,16.9225701172871,1.46027536970933)); #41454=CARTESIAN_POINT('',(12.7,17.1461703212647,1.74604793472718)); #41455=CARTESIAN_POINT('',(12.7,17.1461703212647,1.74604793472718)); #41456=CARTESIAN_POINT('',(12.7,17.1161550229475,1.66925038245793)); #41457=CARTESIAN_POINT('',(12.7,17.069342172361,1.59734829168791)); #41458=CARTESIAN_POINT('',(12.7,17.0057317695054,1.53064762876084)); #41459=CARTESIAN_POINT('',(12.7,17.0057317695054,1.53064762876084)); #41460=CARTESIAN_POINT('',(12.7,17.0057317695054,1.53064762876084)); #41461=CARTESIAN_POINT('',(12.7,17.0590617032126,1.45558388577257)); #41462=CARTESIAN_POINT('',(12.7,17.1123916369199,1.38052014278429)); #41463=CARTESIAN_POINT('',(12.7,17.1657215706272,1.30545639979602)); #41464=CARTESIAN_POINT('',(12.7,17.1657215706272,1.30545639979602)); #41465=CARTESIAN_POINT('',(12.7,17.1657215706272,1.30545639979602)); #41466=CARTESIAN_POINT('',(12.7,17.1213870474248,1.30545639979602)); #41467=CARTESIAN_POINT('',(12.7,17.0770525242223,1.30545639979602)); #41468=CARTESIAN_POINT('',(12.7,17.0327180010199,1.30545639979602)); #41469=CARTESIAN_POINT('',(12.7,17.0327180010199,1.30545639979602)); #41470=CARTESIAN_POINT('',(12.7,17.0327180010199,1.30545639979602)); #41471=CARTESIAN_POINT('',(12.7,16.9960020397756,1.35706272310046)); #41472=CARTESIAN_POINT('',(12.7,16.9592860785314,1.4086690464049)); #41473=CARTESIAN_POINT('',(12.7,16.9225701172871,1.46027536970933)); #41474=CARTESIAN_POINT('',(12.7,14.4734319224885,1.69036206017338)); #41475=CARTESIAN_POINT('',(12.7,14.4816930137685,1.64416114227435)); #41476=CARTESIAN_POINT('',(12.7,14.4957368689444,1.60652728199898)); #41477=CARTESIAN_POINT('',(12.7,14.5353901070882,1.54900560938297)); #41478=CARTESIAN_POINT('',(12.7,14.5618255991841,1.5257521672616)); #41479=CARTESIAN_POINT('',(12.7,14.6279143294238,1.49026007139215)); #41480=CARTESIAN_POINT('',(12.7,14.6626109127996,1.48169301376849)); #41481=CARTESIAN_POINT('',(12.7,14.7697297297297,1.48169301376849)); #41482=CARTESIAN_POINT('',(12.7,14.8308618052014,1.5113717491076)); #41483=CARTESIAN_POINT('',(12.7,14.9324822051259,1.63099696463428)); #41484=CARTESIAN_POINT('',(12.7,14.9580826109128,1.70596634370219)); #41485=CARTESIAN_POINT('',(12.7,14.9580826109128,1.87669556348802)); #41486=CARTESIAN_POINT('',(12.7,14.9349515553289,1.94247832738399)); #41487=CARTESIAN_POINT('',(12.7,14.8428255442343,2.04361466690902)); #41488=CARTESIAN_POINT('',(12.7,14.781570627231,2.06884242733299)); #41489=CARTESIAN_POINT('',(12.7,14.6411320754717,2.06884242733299)); #41490=CARTESIAN_POINT('',(12.7,14.5640285568587,2.04742478327384)); #41491=CARTESIAN_POINT('',(12.7,14.4734319224885,2.00458949515553)); #41492=CARTESIAN_POINT('',(12.7,14.4734319224885,1.69036206017338)); #41493=CARTESIAN_POINT('',(12.7,14.4734319224885,2.00458949515553)); #41494=CARTESIAN_POINT('',(12.7,14.4734319224885,2.00458949515553)); #41495=CARTESIAN_POINT('',(12.7,14.5067516573177,2.20305966343702)); #41496=CARTESIAN_POINT('',(12.7,14.5400713921469,2.40152983171851)); #41497=CARTESIAN_POINT('',(12.7,14.573391126976,2.6)); #41498=CARTESIAN_POINT('',(12.7,14.573391126976,2.6)); #41499=CARTESIAN_POINT('',(12.7,14.573391126976,2.6)); #41500=CARTESIAN_POINT('',(12.7,14.7292503824579,2.6)); #41501=CARTESIAN_POINT('',(12.7,14.8851096379398,2.6)); #41502=CARTESIAN_POINT('',(12.7,15.0409688934217,2.6)); #41503=CARTESIAN_POINT('',(12.7,15.0409688934217,2.6)); #41504=CARTESIAN_POINT('',(12.7,15.0409688934217,2.6)); #41505=CARTESIAN_POINT('',(12.7,15.0409688934217,2.56195818459969)); #41506=CARTESIAN_POINT('',(12.7,15.0409688934217,2.52391636919939)); #41507=CARTESIAN_POINT('',(12.7,15.0409688934217,2.48587455379908)); #41508=CARTESIAN_POINT('',(12.7,15.0409688934217,2.48587455379908)); #41509=CARTESIAN_POINT('',(12.7,15.0409688934217,2.48587455379908)); #41510=CARTESIAN_POINT('',(12.7,14.9127383987761,2.48587455379908)); #41511=CARTESIAN_POINT('',(12.7,14.7845079041305,2.48587455379908)); #41512=CARTESIAN_POINT('',(12.7,14.656277409485,2.48587455379908)); #41513=CARTESIAN_POINT('',(12.7,14.656277409485,2.48587455379908)); #41514=CARTESIAN_POINT('',(12.7,14.656277409485,2.48587455379908)); #41515=CARTESIAN_POINT('',(12.7,14.6383783783784,2.37684854665987)); #41516=CARTESIAN_POINT('',(12.7,14.6204793472718,2.26782253952065)); #41517=CARTESIAN_POINT('',(12.7,14.6025803161652,2.15879653238144)); #41518=CARTESIAN_POINT('',(12.7,14.6025803161652,2.15879653238144)); #41519=CARTESIAN_POINT('',(12.7,14.6025803161652,2.15879653238144)); #41520=CARTESIAN_POINT('',(12.7,14.649668536461,2.17409484956655)); #41521=CARTESIAN_POINT('',(12.7,14.6920754716981,2.1814380418154)); #41522=CARTESIAN_POINT('',(12.7,14.8281081081081,2.1814380418154)); #41523=CARTESIAN_POINT('',(12.7,14.909342172361,2.14502804691484)); #41524=CARTESIAN_POINT('',(12.7,15.0371137174911,1.99877613462519)); #41525=CARTESIAN_POINT('',(12.7,15.0690566037736,1.90484446710862)); #41526=CARTESIAN_POINT('',(12.7,15.0690566037736,1.71177970423253)); #41527=CARTESIAN_POINT('',(12.7,15.0525344212137,1.63957164711882)); #41528=CARTESIAN_POINT('',(12.7,14.9869964303927,1.50800611932687)); #41529=CARTESIAN_POINT('',(12.7,14.9423865374809,1.45752167261601)); #41530=CARTESIAN_POINT('',(12.7,14.8305260931669,1.38711143125804)); #41531=CARTESIAN_POINT('',(12.7,14.7655991840897,1.36970933197348)); #41532=CARTESIAN_POINT('',(12.7,14.6045079041305,1.36970933197348)); #41533=CARTESIAN_POINT('',(12.7,14.5307088220296,1.3996940336563)); #41534=CARTESIAN_POINT('',(12.7,14.4100830808015,1.51842256637191)); #41535=CARTESIAN_POINT('',(12.7,14.374298827129,1.5958184599694)); #41536=CARTESIAN_POINT('',(12.7,14.3624579296277,1.69036206017338)); #41537=CARTESIAN_POINT('',(12.7,14.3624579296277,1.69036206017338)); #41538=CARTESIAN_POINT('',(12.7,14.3624579296277,1.69036206017338)); #41539=CARTESIAN_POINT('',(12.7,14.3994492605813,1.69036206017338)); #41540=CARTESIAN_POINT('',(12.7,14.4364405915349,1.69036206017338)); #41541=CARTESIAN_POINT('',(12.7,14.4734319224885,1.69036206017338)); #41542=CARTESIAN_POINT('',(12.7,11.6858184599694,1.63284038755737)); #41543=CARTESIAN_POINT('',(12.7,11.6547016828149,1.72004079551249)); #41544=CARTESIAN_POINT('',(12.7,11.639005609383,1.84242733299337)); #41545=CARTESIAN_POINT('',(12.7,11.639005609383,2.15787863335033)); #41546=CARTESIAN_POINT('',(12.7,11.6544263131056,2.28026517083121)); #41547=CARTESIAN_POINT('',(12.7,11.7154623678713,2.45317011075828)); #41548=CARTESIAN_POINT('',(12.7,11.7587914329424,2.51891891891892)); #41549=CARTESIAN_POINT('',(12.7,11.8705724973735,2.60763404941977)); #41550=CARTESIAN_POINT('',(12.7,11.9328250892402,2.63029066802652)); #41551=CARTESIAN_POINT('',(12.7,12.0718867924528,2.63029066802652)); #41552=CARTESIAN_POINT('',(12.7,12.1352218255992,2.60795512493626)); #41553=CARTESIAN_POINT('',(12.7,12.248674145844,2.51800101988781)); #41554=CARTESIAN_POINT('',(12.7,12.2938347781744,2.45068842427333)); #41555=CARTESIAN_POINT('',(12.7,12.3599235084141,2.27139214686384)); #41556=CARTESIAN_POINT('',(12.7,12.376445690974,2.15084140744518)); #41557=CARTESIAN_POINT('',(12.7,12.376445690974,1.84885262621112)); #41558=CARTESIAN_POINT('',(12.7,12.3599235084141,1.72891381947986)); #41559=CARTESIAN_POINT('',(12.7,12.2948376992372,1.55057134800658)); #41560=CARTESIAN_POINT('',(12.7,12.2500509943906,1.483222845487)); #41561=CARTESIAN_POINT('',(12.7,12.1353480314672,1.39253887907318)); #41562=CARTESIAN_POINT('',(12.7,12.0718867924528,1.36970933197348)); #41563=CARTESIAN_POINT('',(12.7,11.9328250892402,1.36970933197348)); #41564=CARTESIAN_POINT('',(12.7,11.8705915349312,1.39173890872004)); #41565=CARTESIAN_POINT('',(12.7,11.7598929117797,1.47985721570627)); #41566=CARTESIAN_POINT('',(12.7,11.7169352371239,1.54563997960224)); #41567=CARTESIAN_POINT('',(12.7,11.6858184599694,1.63284038755737)); #41568=CARTESIAN_POINT('',(12.7,11.6858184599694,1.63284038755737)); #41569=CARTESIAN_POINT('',(12.7,10.5832381438042,1.6790413054564)); #41570=CARTESIAN_POINT('',(12.7,10.4112238653748,1.6790413054564)); #41571=CARTESIAN_POINT('',(12.7,10.2392095869454,1.6790413054564)); #41572=CARTESIAN_POINT('',(12.7,10.0671953085161,1.6790413054564)); #41573=CARTESIAN_POINT('',(12.7,10.5832381438042,1.6790413054564)); #41574=CARTESIAN_POINT('',(12.7,10.0671953085161,1.6790413054564)); #41575=CARTESIAN_POINT('',(12.7,10.0671953085161,1.6790413054564)); #41576=CARTESIAN_POINT('',(12.7,10.267848036716,1.99612442631311)); #41577=CARTESIAN_POINT('',(12.7,10.4685007649159,2.31320754716981)); #41578=CARTESIAN_POINT('',(12.7,10.6691534931158,2.63029066802652)); #41579=CARTESIAN_POINT('',(12.7,10.6691534931158,2.63029066802652)); #41580=CARTESIAN_POINT('',(12.7,10.6691534931158,2.63029066802652)); #41581=CARTESIAN_POINT('',(12.7,10.6764966853646,2.63029066802652)); #41582=CARTESIAN_POINT('',(12.7,10.6838398776135,2.63029066802652)); #41583=CARTESIAN_POINT('',(12.7,10.6911830698623,2.63029066802652)); #41584=CARTESIAN_POINT('',(12.7,10.6911830698623,2.63029066802652)); #41585=CARTESIAN_POINT('',(12.7,10.6911830698623,2.63029066802652)); #41586=CARTESIAN_POINT('',(12.7,10.6911830698623,2.35155532891382)); #41587=CARTESIAN_POINT('',(12.7,10.6911830698623,2.07281998980112)); #41588=CARTESIAN_POINT('',(12.7,10.6911830698623,1.79408465068842)); #41589=CARTESIAN_POINT('',(12.7,10.6911830698623,1.79408465068842)); #41590=CARTESIAN_POINT('',(12.7,10.6911830698623,1.79408465068842)); #41591=CARTESIAN_POINT('',(12.7,10.7347832738399,1.79408465068842)); #41592=CARTESIAN_POINT('',(12.7,10.7783834778174,1.79408465068842)); #41593=CARTESIAN_POINT('',(12.7,10.821983681795,1.79408465068842)); #41594=CARTESIAN_POINT('',(12.7,10.821983681795,1.79408465068842)); #41595=CARTESIAN_POINT('',(12.7,10.821983681795,1.79408465068842)); #41596=CARTESIAN_POINT('',(12.7,10.821983681795,1.75573686894442)); #41597=CARTESIAN_POINT('',(12.7,10.821983681795,1.71738908720041)); #41598=CARTESIAN_POINT('',(12.7,10.821983681795,1.6790413054564)); #41599=CARTESIAN_POINT('',(12.7,10.821983681795,1.6790413054564)); #41600=CARTESIAN_POINT('',(12.7,10.821983681795,1.6790413054564)); #41601=CARTESIAN_POINT('',(12.7,10.7783834778174,1.6790413054564)); #41602=CARTESIAN_POINT('',(12.7,10.7347832738399,1.6790413054564)); #41603=CARTESIAN_POINT('',(12.7,10.6911830698623,1.6790413054564)); #41604=CARTESIAN_POINT('',(12.7,10.6911830698623,1.6790413054564)); #41605=CARTESIAN_POINT('',(12.7,10.6911830698623,1.6790413054564)); #41606=CARTESIAN_POINT('',(12.7,10.6911830698623,1.58602753697093)); #41607=CARTESIAN_POINT('',(12.7,10.6911830698623,1.49301376848547)); #41608=CARTESIAN_POINT('',(12.7,10.6911830698623,1.4)); #41609=CARTESIAN_POINT('',(12.7,10.6911830698623,1.4)); #41610=CARTESIAN_POINT('',(12.7,10.6911830698623,1.4)); #41611=CARTESIAN_POINT('',(12.7,10.6552014278429,1.4)); #41612=CARTESIAN_POINT('',(12.7,10.6192197858236,1.4)); #41613=CARTESIAN_POINT('',(12.7,10.5832381438042,1.4)); #41614=CARTESIAN_POINT('',(12.7,10.5832381438042,1.4)); #41615=CARTESIAN_POINT('',(12.7,10.5832381438042,1.4)); #41616=CARTESIAN_POINT('',(12.7,10.5832381438042,1.49301376848547)); #41617=CARTESIAN_POINT('',(12.7,10.5832381438042,1.58602753697093)); #41618=CARTESIAN_POINT('',(12.7,10.5832381438042,1.6790413054564)); #41619=CARTESIAN_POINT('',(12.7,8.39707802141765,1.5532891381948)); #41620=CARTESIAN_POINT('',(12.7,8.28705328423314,1.43103943021202)); #41621=CARTESIAN_POINT('',(12.7,8.15337582865885,1.36970933197348)); #41622=CARTESIAN_POINT('',(12.7,7.83504844467109,1.36970933197348)); #41623=CARTESIAN_POINT('',(12.7,7.70011728709842,1.43059663437022)); #41624=CARTESIAN_POINT('',(12.7,7.47997361140846,1.67458920825993)); #41625=CARTESIAN_POINT('',(12.7,7.42474757776644,1.82162162162162)); #41626=CARTESIAN_POINT('',(12.7,7.42474757776644,2.11014788373279)); #41627=CARTESIAN_POINT('',(12.7,7.44980622131565,2.21693013768485)); #41628=CARTESIAN_POINT('',(12.7,7.54991718771638,2.41372947334441)); #41629=CARTESIAN_POINT('',(12.7,7.61860785313615,2.49077001529832)); #41630=CARTESIAN_POINT('',(12.7,7.70507394186639,2.54676185619582)); #41631=CARTESIAN_POINT('',(12.7,8.39707802141765,1.5532891381948)); #41632=CARTESIAN_POINT('',(12.7,7.70507394186639,2.54676185619582)); #41633=CARTESIAN_POINT('',(12.7,7.70507394186639,2.54676185619582)); #41634=CARTESIAN_POINT('',(12.7,7.79181540030597,2.60244773074962)); #41635=CARTESIAN_POINT('',(12.7,7.88544110147884,2.63029066802652)); #41636=CARTESIAN_POINT('',(12.7,8.14979602243753,2.63029066802652)); #41637=CARTESIAN_POINT('',(12.7,8.28665476797552,2.56970933197348)); #41638=CARTESIAN_POINT('',(12.7,8.50679836812071,2.32693986181338)); #41639=CARTESIAN_POINT('',(12.7,8.5620244773075,2.17776644569097)); #41640=CARTESIAN_POINT('',(12.7,8.5620244773075,1.82468128505864)); #41641=CARTESIAN_POINT('',(12.7,8.50722590515043,1.67567567567568)); #41642=CARTESIAN_POINT('',(12.7,8.39707802141765,1.5532891381948)); #41643=CARTESIAN_POINT('',(12.7,5.62355430902601,1.4)); #41644=CARTESIAN_POINT('',(12.7,5.79364099949005,1.8)); #41645=CARTESIAN_POINT('',(12.7,5.9637276899541,2.2)); #41646=CARTESIAN_POINT('',(12.7,6.13381438041815,2.6)); #41647=CARTESIAN_POINT('',(12.7,5.62355430902601,1.4)); #41648=CARTESIAN_POINT('',(12.7,6.13381438041815,2.6)); #41649=CARTESIAN_POINT('',(12.7,6.13381438041815,2.6)); #41650=CARTESIAN_POINT('',(12.7,6.14262621111678,2.6)); #41651=CARTESIAN_POINT('',(12.7,6.1514380418154,2.6)); #41652=CARTESIAN_POINT('',(12.7,6.16024987251402,2.6)); #41653=CARTESIAN_POINT('',(12.7,6.16024987251402,2.6)); #41654=CARTESIAN_POINT('',(12.7,6.16024987251402,2.6)); #41655=CARTESIAN_POINT('',(12.7,6.32813360530341,2.2)); #41656=CARTESIAN_POINT('',(12.7,6.49601733809281,1.8)); #41657=CARTESIAN_POINT('',(12.7,6.6639010708822,1.4)); #41658=CARTESIAN_POINT('',(12.7,6.6639010708822,1.4)); #41659=CARTESIAN_POINT('',(12.7,6.6639010708822,1.4)); #41660=CARTESIAN_POINT('',(12.7,6.62525752167261,1.4)); #41661=CARTESIAN_POINT('',(12.7,6.58661397246303,1.4)); #41662=CARTESIAN_POINT('',(12.7,6.54797042325344,1.4)); #41663=CARTESIAN_POINT('',(12.7,6.54797042325344,1.4)); #41664=CARTESIAN_POINT('',(12.7,6.54797042325344,1.4)); #41665=CARTESIAN_POINT('',(12.7,6.49142784293727,1.53166751657318)); #41666=CARTESIAN_POINT('',(12.7,6.43488526262111,1.66333503314635)); #41667=CARTESIAN_POINT('',(12.7,6.37834268230494,1.79500254971953)); #41668=CARTESIAN_POINT('',(12.7,6.37834268230494,1.79500254971953)); #41669=CARTESIAN_POINT('',(12.7,6.37834268230494,1.79500254971953)); #41670=CARTESIAN_POINT('',(12.7,6.22312595614482,1.79500254971953)); #41671=CARTESIAN_POINT('',(12.7,6.0679092299847,1.79500254971953)); #41672=CARTESIAN_POINT('',(12.7,5.91269250382458,1.79500254971953)); #41673=CARTESIAN_POINT('',(12.7,5.91269250382458,1.79500254971953)); #41674=CARTESIAN_POINT('',(12.7,5.91269250382458,1.79500254971953)); #41675=CARTESIAN_POINT('',(12.7,5.85642529321775,1.66333503314635)); #41676=CARTESIAN_POINT('',(12.7,5.80015808261091,1.53166751657318)); #41677=CARTESIAN_POINT('',(12.7,5.74389087200408,1.4)); #41678=CARTESIAN_POINT('',(12.7,5.74389087200408,1.4)); #41679=CARTESIAN_POINT('',(12.7,5.74389087200408,1.4)); #41680=CARTESIAN_POINT('',(12.7,5.70377868434472,1.4)); #41681=CARTESIAN_POINT('',(12.7,5.66366649668536,1.4)); #41682=CARTESIAN_POINT('',(12.7,5.62355430902601,1.4)); #41683=CARTESIAN_POINT('',(12.7,10.25,0.)); #41684=CARTESIAN_POINT('',(2.35,11.75,-0.69)); #41685=CARTESIAN_POINT('',(3.4,11.75,-0.690000000000001)); #41686=CARTESIAN_POINT('',(6.30000000000001,11.75,-0.690000000000001)); #41687=CARTESIAN_POINT('',(3.4,11.95,-0.690000000000001)); #41688=CARTESIAN_POINT('',(3.52,11.79,-0.690000000000001)); #41689=CARTESIAN_POINT('',(3.85,11.35,-0.690000000000001)); #41690=CARTESIAN_POINT('',(3.77320582503543,11.8946123894032,-0.690000000000001)); #41691=CARTESIAN_POINT('',(3.74528067050286,12.0926532582772,-0.690000000000001)); #41692=CARTESIAN_POINT('',(3.89851210195552,11.9641226066217,-0.690000000000001)); #41693=CARTESIAN_POINT('',(4.51143782776615,11.45,-0.690000000000001)); #41694=CARTESIAN_POINT('',(4.51143782776615,12.25,-0.690000000000001)); #41695=CARTESIAN_POINT('',(3.85,12.25,-0.690000000000001)); #41696=CARTESIAN_POINT('',(5.18856217223386,12.25,-0.690000000000001)); #41697=CARTESIAN_POINT('',(5.18856217223386,11.45,-0.690000000000001)); #41698=CARTESIAN_POINT('',(5.80148789804448,11.9641226066217,-0.690000000000001)); #41699=CARTESIAN_POINT('',(5.95471932949714,12.0926532582772,-0.690000000000001)); #41700=CARTESIAN_POINT('',(5.92679417496457,11.8946123894032,-0.690000000000001)); #41701=CARTESIAN_POINT('',(5.85,11.35,-0.690000000000001)); #41702=CARTESIAN_POINT('',(6.18000000000001,11.79,-0.690000000000001)); #41703=CARTESIAN_POINT('',(6.30000000000001,11.95,-0.690000000000001)); #41704=CARTESIAN_POINT('',(5.85,11.35,-0.690000000000001)); #41705=CARTESIAN_POINT('',(7.35,11.75,-0.69)); #41706=CARTESIAN_POINT('',(7.35,11.75,-0.69)); #41707=CARTESIAN_POINT('',(7.35,10.5158253041418,-0.690000000000001)); #41708=CARTESIAN_POINT('',(2.35,11.75,-0.69)); #41709=CARTESIAN_POINT('',(6.56557112297752,11.75,-0.690000000000001)); #41710=CARTESIAN_POINT('',(6.56557112297752,11.95,-0.690000000000001)); #41711=CARTESIAN_POINT('',(6.73475215685018,11.8433333333333,-0.690000000000001)); #41712=CARTESIAN_POINT('',(7.2,11.55,-0.690000000000001)); #41713=CARTESIAN_POINT('',(7.75,11.55,-0.690000000000001)); #41714=CARTESIAN_POINT('',(7.75,11.55,-0.690000000000001)); #41715=CARTESIAN_POINT('',(7.75,11.1791502622129,-0.690000000000001)); #41716=CARTESIAN_POINT('',(7.2,11.1791502622129,-0.690000000000001)); #41717=CARTESIAN_POINT('',(7.45666666666667,10.6927119596275,-0.690000000000001)); #41718=CARTESIAN_POINT('',(7.55,10.5158253041418,-0.690000000000001)); #41719=CARTESIAN_POINT('',(5.85,11.35,-0.690000000000001)); #41720=CARTESIAN_POINT('',(2.5,11.55,-0.930000000000005)); #41721=CARTESIAN_POINT('',(2.96524784314981,11.8433333333333,-1.22333333333334)); #41722=CARTESIAN_POINT('',(2.87749172176354,11.95,-1.33)); #41723=CARTESIAN_POINT('',(2.96524784314981,11.8433333333333,-0.690000000000001)); #41724=CARTESIAN_POINT('',(2.96524784314981,11.8433333333333,-0.690000000000001)); #41725=CARTESIAN_POINT('',(2.5,11.55,-0.690000000000001)); #41726=CARTESIAN_POINT('',(1.95282791837249,11.605702002544,-0.690000000000001)); #41727=CARTESIAN_POINT('',(1.95282791837249,11.605702002544,-0.690000000000001)); #41728=CARTESIAN_POINT('',(1.95282791837249,11.605702002544,-0.79)); #41729=CARTESIAN_POINT('',(2.5,11.55,-0.79)); #41730=CARTESIAN_POINT('',(2.17,11.99,-0.79)); #41731=CARTESIAN_POINT('',(2.17,11.99,-0.690000000000001)); #41732=CARTESIAN_POINT('',(2.17,11.99,-1.33)); #41733=CARTESIAN_POINT('',(2.5,11.55,-1.33)); #41734=CARTESIAN_POINT('',(2.5,11.55,-0.690000000000001)); #41735=CARTESIAN_POINT('',(5.85,11.35,-0.730000000000006)); #41736=CARTESIAN_POINT('',(6.18000000000001,11.79,-1.17)); #41737=CARTESIAN_POINT('',(5.92679417496457,11.8946123894032,-1.27461238940325)); #41738=CARTESIAN_POINT('',(6.18000000000001,11.79,-0.690000000000001)); #41739=CARTESIAN_POINT('',(5.92679417496457,11.8946123894032,-0.690000000000001)); #41740=CARTESIAN_POINT('',(5.85,11.35,-0.690000000000001)); #41741=CARTESIAN_POINT('',(7.2,11.55,-0.930000000000003)); #41742=CARTESIAN_POINT('',(6.82250827823646,11.95,-1.33)); #41743=CARTESIAN_POINT('',(6.73475215685018,11.8433333333333,-1.22333333333334)); #41744=CARTESIAN_POINT('',(7.2,11.55,-1.33)); #41745=CARTESIAN_POINT('',(7.75,11.55,-1.33)); #41746=CARTESIAN_POINT('',(7.75,11.55,-0.690000000000001)); #41747=CARTESIAN_POINT('',(6.73475215685018,11.8433333333333,-0.690000000000001)); #41748=CARTESIAN_POINT('',(7.2,11.55,-0.690000000000001)); #41749=CARTESIAN_POINT('',(3.85,11.35,-0.730000000000006)); #41750=CARTESIAN_POINT('',(3.77320582503543,11.8946123894032,-1.27461238940325)); #41751=CARTESIAN_POINT('',(3.52,11.79,-1.17)); #41752=CARTESIAN_POINT('',(3.77320582503543,11.8946123894032,-0.690000000000001)); #41753=CARTESIAN_POINT('',(3.52,11.79,-0.690000000000001)); #41754=CARTESIAN_POINT('',(3.85,11.35,-0.690000000000001)); #41755=CARTESIAN_POINT('',(6.85,11.95,-1.33)); #41756=CARTESIAN_POINT('',(3.88545929905555,11.95,-1.33)); #41757=CARTESIAN_POINT('',(2.05,12.15,-1.33)); #41758=CARTESIAN_POINT('',(2.05,11.95,-1.33)); #41759=CARTESIAN_POINT('',(2.55,11.95,-1.33)); #41760=CARTESIAN_POINT('',(2.04064064242397,11.95,-1.33)); #41761=CARTESIAN_POINT('',(2.04064064242397,11.15,-1.33)); #41762=CARTESIAN_POINT('',(1.27878047765759,11.3940677966102,-1.33)); #41763=CARTESIAN_POINT('',(4.85,10.25,-1.33)); #41764=CARTESIAN_POINT('',(7.07457627118644,7.23109946939714,-1.33)); #41765=CARTESIAN_POINT('',(6.6,7.87513158259242,-1.33)); #41766=CARTESIAN_POINT('',(7.39995730388209,7.86686647728778,-1.33)); #41767=CARTESIAN_POINT('',(7.59994662985261,7.86480020096162,-1.33)); #41768=CARTESIAN_POINT('',(7.48995730388209,8.0318401607693,-1.33)); #41769=CARTESIAN_POINT('',(7.05,8.7,-1.33)); #41770=CARTESIAN_POINT('',(7.85,8.7,-1.33)); #41771=CARTESIAN_POINT('',(7.85,9.45,-1.33)); #41772=CARTESIAN_POINT('',(7.85,8.78824893593486,-1.33)); #41773=CARTESIAN_POINT('',(7.05,8.78824893593486,-1.33)); #41774=CARTESIAN_POINT('',(7.56664131346241,9.39905312159818,-1.33)); #41775=CARTESIAN_POINT('',(7.69580164182801,9.55175416801401,-1.33)); #41776=CARTESIAN_POINT('',(7.55,9.41485317736655,-1.33)); #41777=CARTESIAN_POINT('',(7.55,11.25,-1.33)); #41778=CARTESIAN_POINT('',(7.55,10.754886193501,-1.33)); #41779=CARTESIAN_POINT('',(7.2,11.1791502622129,-1.33)); #41780=CARTESIAN_POINT('',(7.75,11.1791502622129,-1.33)); #41781=CARTESIAN_POINT('',(7.75,11.55,-1.33)); #41782=CARTESIAN_POINT('',(6.85,11.95,-1.33)); #41783=CARTESIAN_POINT('',(5.81454070094446,11.95,-1.33)); #41784=CARTESIAN_POINT('',(5.95471932949714,12.0926532582772,-1.33)); #41785=CARTESIAN_POINT('',(5.80148789804448,11.9641226066217,-1.33)); #41786=CARTESIAN_POINT('',(5.18856217223386,11.45,-1.33)); #41787=CARTESIAN_POINT('',(5.18856217223386,12.25,-1.33)); #41788=CARTESIAN_POINT('',(3.85,12.25,-1.33)); #41789=CARTESIAN_POINT('',(4.51143782776615,12.25,-1.33)); #41790=CARTESIAN_POINT('',(4.51143782776615,11.45,-1.33)); #41791=CARTESIAN_POINT('',(3.89851210195552,11.9641226066217,-1.33)); #41792=CARTESIAN_POINT('',(3.74528067050286,12.0926532582772,-1.33)); #41793=CARTESIAN_POINT('',(4.85,10.25,-1.33)); #41794=CARTESIAN_POINT('',(3.13442887702247,11.95,-1.33)); #41795=CARTESIAN_POINT('',(3.13442887702247,11.75,-1.13)); #41796=CARTESIAN_POINT('',(3.13442887702247,11.75,-0.690000000000001)); #41797=CARTESIAN_POINT('',(3.13442887702247,11.75,-0.690000000000001)); #41798=CARTESIAN_POINT('',(3.13442887702247,11.95,-0.690000000000001)); #41799=CARTESIAN_POINT('',(3.13442887702247,11.95,-0.690000000000001)); #41800=CARTESIAN_POINT('',(3.4,11.75,-0.690000000000001)); #41801=CARTESIAN_POINT('',(3.4,11.75,-1.13)); #41802=CARTESIAN_POINT('',(3.4,11.95,-1.33)); #41803=CARTESIAN_POINT('',(3.4,11.95,-0.690000000000001)); #41804=CARTESIAN_POINT('',(3.74528067050286,12.0926532582772,-1.47265325827715)); #41805=CARTESIAN_POINT('',(3.89851210195552,11.9641226066217,-0.690000000000001)); #41806=CARTESIAN_POINT('',(3.74528067050286,12.0926532582772,-0.690000000000001)); #41807=CARTESIAN_POINT('',(5.95471932949714,12.0926532582772,-1.47265325827716)); #41808=CARTESIAN_POINT('',(5.80148789804448,11.9641226066217,-0.690000000000001)); #41809=CARTESIAN_POINT('',(5.95471932949714,12.0926532582772,-0.690000000000001)); #41810=CARTESIAN_POINT('',(6.30000000000001,11.95,-1.33)); #41811=CARTESIAN_POINT('',(6.30000000000001,11.75,-1.13)); #41812=CARTESIAN_POINT('',(6.30000000000001,11.75,-0.690000000000001)); #41813=CARTESIAN_POINT('',(6.30000000000001,11.95,-0.690000000000001)); #41814=CARTESIAN_POINT('',(6.56557112297752,11.75,-0.690000000000001)); #41815=CARTESIAN_POINT('',(6.56557112297752,11.75,-1.13)); #41816=CARTESIAN_POINT('',(6.56557112297752,11.95,-1.33)); #41817=CARTESIAN_POINT('',(6.56557112297752,11.95,-0.690000000000001)); #41818=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.)); #41819=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.)); #41820=CARTESIAN_POINT('',(0.912996062994096,15.5,0.)); #41821=CARTESIAN_POINT('',(0.912996062994096,15.5,0.)); #41822=CARTESIAN_POINT('',(0.912996062994096,15.5,0.1)); #41823=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.1)); #41824=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.1)); #41825=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.1)); #41826=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.)); #41827=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.)); #41828=CARTESIAN_POINT('',(8.7870039370059,5.,0.)); #41829=CARTESIAN_POINT('',(8.7870039370059,5.,0.)); #41830=CARTESIAN_POINT('',(8.7870039370059,5.,0.1)); #41831=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.1)); #41832=CARTESIAN_POINT('',(12.7,5.,0.)); #41833=CARTESIAN_POINT('',(12.5,7.,4.9)); #41834=CARTESIAN_POINT('',(12.5,7.2,4.9)); #41835=CARTESIAN_POINT('',(11.7,7.2,4.9)); #41836=CARTESIAN_POINT('',(11.9,7.2,4.9)); #41837=CARTESIAN_POINT('',(11.9,7.,4.9)); #41838=CARTESIAN_POINT('',(11.7,7.,4.9)); #41839=CARTESIAN_POINT('',(11.7,19.5,4.9)); #41840=CARTESIAN_POINT('',(11.7,6.40710678118655,4.9)); #41841=CARTESIAN_POINT('',(6.35,6.40710678118655,4.9)); #41842=CARTESIAN_POINT('',(6.35,10.25,4.9)); #41843=CARTESIAN_POINT('',(4.85,10.25,-1.43)); #41844=CARTESIAN_POINT('',(8.6,10.25,-1.43)); #41845=CARTESIAN_POINT('',(4.85,10.25,-1.43)); #41846=CARTESIAN_POINT('',(0.475499999999998,10.25,-1.43)); #41847=CARTESIAN_POINT('',(4.85,10.25,-1.43)); #41848=CARTESIAN_POINT('',(7.48995730388209,8.0318401607693,-0.690000000000001)); #41849=CARTESIAN_POINT('',(7.48995730388209,8.0318401607693,-0.79)); #41850=CARTESIAN_POINT('',(7.05,8.7,-0.79)); #41851=CARTESIAN_POINT('',(7.05,7.9,-0.79)); #41852=CARTESIAN_POINT('',(7.05,7.9,-1.43)); #41853=CARTESIAN_POINT('',(7.05,7.9,-0.690000000000001)); #41854=CARTESIAN_POINT('',(7.05,8.7,-0.690000000000001)); #41855=CARTESIAN_POINT('',(7.85,8.7,-0.690000000000001)); #41856=CARTESIAN_POINT('',(7.85,8.7,-0.690000000000001)); #41857=CARTESIAN_POINT('',(7.05,8.7,-0.690000000000001)); #41858=CARTESIAN_POINT('',(4.85,10.25,0.)); #41859=CARTESIAN_POINT('',(1.86742125984401,15.7424242424242,0.)); #41860=CARTESIAN_POINT('',(7.83257874015598,15.7424242424242,0.)); #41861=CARTESIAN_POINT('',(0.912996062994096,17.5,0.)); #41862=CARTESIAN_POINT('',(0.,10.25,0.)); #41863=CARTESIAN_POINT('',(-1.0842021724855E-016,19.5,0.)); #41864=CARTESIAN_POINT('',(-1.45,18.05,0.)); #41865=CARTESIAN_POINT('',(0.999999999999999,20.5,0.)); #41866=CARTESIAN_POINT('',(6.35,20.5,0.)); #41867=CARTESIAN_POINT('',(11.7,20.5,0.)); #41868=CARTESIAN_POINT('',(14.15,18.05,0.)); #41869=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.)); #41870=CARTESIAN_POINT('',(8.7870039370059,15.5,0.)); #41871=CARTESIAN_POINT('',(8.7870039370059,17.5,0.)); #41872=CARTESIAN_POINT('',(2.,18.5,0.)); #41873=CARTESIAN_POINT('',(0.725,18.5,0.)); #41874=CARTESIAN_POINT('',(6.35,10.25,0.)); #41875=CARTESIAN_POINT('',(0.,10.25,4.9)); #41876=CARTESIAN_POINT('',(-4.33680868994202E-016,1.,4.9)); #41877=CARTESIAN_POINT('',(0.,14.0928932188135,4.9)); #41878=CARTESIAN_POINT('',(-1.45,2.45,4.9)); #41879=CARTESIAN_POINT('',(1.,0.,4.9)); #41880=CARTESIAN_POINT('',(6.35,0.,4.9)); #41881=CARTESIAN_POINT('',(6.29289321881345,0.,4.9)); #41882=CARTESIAN_POINT('',(6.29289321881345,10.25,4.9)); #41883=CARTESIAN_POINT('',(6.29289321881345,1.,4.9)); #41884=CARTESIAN_POINT('',(8.6,1.,4.9)); #41885=CARTESIAN_POINT('',(2.1,1.,4.9)); #41886=CARTESIAN_POINT('',(2.1,2.1,4.9)); #41887=CARTESIAN_POINT('',(1.,2.1,4.9)); #41888=CARTESIAN_POINT('',(1.,1.,4.9)); #41889=CARTESIAN_POINT('',(1.,14.0928932188135,4.9)); #41890=CARTESIAN_POINT('',(6.35,14.0928932188135,4.9)); #41891=CARTESIAN_POINT('',(6.35,10.25,4.9)); #41892=CARTESIAN_POINT('',(11.7,16.5,4.9)); #41893=CARTESIAN_POINT('',(11.9,16.5,4.9)); #41894=CARTESIAN_POINT('',(12.5,16.5,4.9)); #41895=CARTESIAN_POINT('',(12.5,16.7,4.9)); #41896=CARTESIAN_POINT('',(14.15,18.05,4.9)); #41897=CARTESIAN_POINT('',(11.7,20.5,4.9)); #41898=CARTESIAN_POINT('',(6.35,20.5,4.9)); #41899=CARTESIAN_POINT('',(6.40710678118655,20.5,4.9)); #41900=CARTESIAN_POINT('',(6.40710678118655,10.25,4.9)); #41901=CARTESIAN_POINT('',(6.40710678118655,19.5,4.9)); #41902=CARTESIAN_POINT('',(4.1,19.5,4.9)); #41903=CARTESIAN_POINT('',(10.6,19.5,4.9)); #41904=CARTESIAN_POINT('',(10.6,18.4,4.9)); #41905=CARTESIAN_POINT('',(11.7,18.4,4.9)); #41906=CARTESIAN_POINT('',(11.7,19.5,4.9)); #41907=CARTESIAN_POINT('',(11.7,16.7,4.9)); #41908=CARTESIAN_POINT('',(11.9,16.7,4.9)); #41909=CARTESIAN_POINT('',(6.35,10.25,4.9)); #41910=CARTESIAN_POINT('',(1.,0.,0.)); #41911=CARTESIAN_POINT('',(1.,-6.50521303491303E-016,0.)); #41912=CARTESIAN_POINT('',(6.35,0.,0.)); #41913=CARTESIAN_POINT('',(11.7,-2.16840434497101E-016,0.)); #41914=CARTESIAN_POINT('',(11.7,0.,0.)); #41915=CARTESIAN_POINT('',(11.7,0.,3.65)); #41916=CARTESIAN_POINT('',(7.75,0.,3.65)); #41917=CARTESIAN_POINT('',(8.16421356237309,0.,3.65)); #41918=CARTESIAN_POINT('',(8.16421356237309,0.,4.65)); #41919=CARTESIAN_POINT('',(7.45710678118655,0.,3.94289321881345)); #41920=CARTESIAN_POINT('',(8.875,0.,2.525)); #41921=CARTESIAN_POINT('',(6.64644660940672,0.,4.75355339059328)); #41922=CARTESIAN_POINT('',(6.29289321881345,0.,4.4)); #41923=CARTESIAN_POINT('',(6.35,0.,0.)); #41924=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.1)); #41925=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.1)); #41926=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.1)); #41927=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.)); #41928=CARTESIAN_POINT('',(0.,10.25,0.)); #41929=CARTESIAN_POINT('',(-4.33680868994202E-016,1.,0.)); #41930=CARTESIAN_POINT('',(0.,0.999999999999999,0.)); #41931=CARTESIAN_POINT('',(0.,14.0928932188135,4.4)); #41932=CARTESIAN_POINT('',(0.,14.4464466094067,4.75355339059328)); #41933=CARTESIAN_POINT('',(0.,14.725,4.475)); #41934=CARTESIAN_POINT('',(0.,15.2571067811865,3.94289321881345)); #41935=CARTESIAN_POINT('',(0.,15.9642135623731,4.65)); #41936=CARTESIAN_POINT('',(0.,15.9642135623731,3.65)); #41937=CARTESIAN_POINT('',(0.,20.5,3.65)); #41938=CARTESIAN_POINT('',(0.,19.5,3.65)); #41939=CARTESIAN_POINT('',(0.,19.5,0.)); #41940=CARTESIAN_POINT('',(0.,10.25,0.)); #41941=CARTESIAN_POINT('',(1.,20.5,0.)); #41942=CARTESIAN_POINT('',(0.999999999999997,20.5,3.65)); #41943=CARTESIAN_POINT('',(0.,20.5,3.65)); #41944=CARTESIAN_POINT('',(4.5357864376269,20.5,3.65)); #41945=CARTESIAN_POINT('',(4.5357864376269,20.5,4.65)); #41946=CARTESIAN_POINT('',(5.24289321881345,20.5,3.94289321881345)); #41947=CARTESIAN_POINT('',(3.825,20.5,2.525)); #41948=CARTESIAN_POINT('',(6.05355339059327,20.5,4.75355339059327)); #41949=CARTESIAN_POINT('',(6.40710678118655,20.5,4.4)); #41950=CARTESIAN_POINT('',(11.7,20.5,0.)); #41951=CARTESIAN_POINT('',(6.35,20.5,0.)); #41952=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.)); #41953=CARTESIAN_POINT('',(0.912996062994089,5.,0.)); #41954=CARTESIAN_POINT('',(0.912996062994089,3.,0.)); #41955=CARTESIAN_POINT('',(1.86742125984401,4.75757575757576,0.)); #41956=CARTESIAN_POINT('',(4.85,10.25,0.)); #41957=CARTESIAN_POINT('',(7.83257874015599,4.75757575757576,0.)); #41958=CARTESIAN_POINT('',(8.7870039370059,3.,0.)); #41959=CARTESIAN_POINT('',(14.15,2.44999999999999,0.)); #41960=CARTESIAN_POINT('',(-1.45,2.45,0.)); #41961=CARTESIAN_POINT('',(10.7,2.,0.)); #41962=CARTESIAN_POINT('',(9.425,2.,0.)); #41963=CARTESIAN_POINT('',(6.35,10.25,0.)); #41964=CARTESIAN_POINT('',(10.7,2.,3.65)); #41965=CARTESIAN_POINT('',(9.425,2.,3.65)); #41966=CARTESIAN_POINT('',(10.7,2.,4.9)); #41967=CARTESIAN_POINT('',(2.,18.5,3.65)); #41968=CARTESIAN_POINT('',(0.725,18.5,3.65)); #41969=CARTESIAN_POINT('',(2.,18.5,4.9)); #41970=CARTESIAN_POINT('',(2.,18.5,3.65)); #41971=CARTESIAN_POINT('',(2.065625,16.4010256410868,3.65)); #41972=CARTESIAN_POINT('',(4.09897435891318,18.434375,3.65)); #41973=CARTESIAN_POINT('',(2.065625,16.4010256410868,2.938)); #41974=CARTESIAN_POINT('',(2.065625,16.4010256410868,2.938)); #41975=CARTESIAN_POINT('',(2.,18.5,2.938)); #41976=CARTESIAN_POINT('',(4.09897435891318,18.434375,2.938)); #41977=CARTESIAN_POINT('',(4.09897435891318,18.434375,2.938)); #41978=CARTESIAN_POINT('',(2.,18.5,2.938)); #41979=CARTESIAN_POINT('',(4.5357864376269,19.5,4.65)); #41980=CARTESIAN_POINT('',(5.24289321881345,19.5,3.94289321881345)); #41981=CARTESIAN_POINT('',(5.1984371183439,19.5,3.90107138167559)); #41982=CARTESIAN_POINT('',(5.1984371183439,19.5,2.938)); #41983=CARTESIAN_POINT('',(5.1984371183439,19.5,2.938)); #41984=CARTESIAN_POINT('',(4.1,19.5,2.938)); #41985=CARTESIAN_POINT('',(10.6,19.5,2.938)); #41986=CARTESIAN_POINT('',(10.6,19.5,4.9)); #41987=CARTESIAN_POINT('',(6.40710678118655,19.5,4.4)); #41988=CARTESIAN_POINT('',(6.05355339059327,19.5,4.75355339059328)); #41989=CARTESIAN_POINT('',(4.169,19.5,2.869)); #41990=CARTESIAN_POINT('',(4.1,19.5,2.938)); #41991=CARTESIAN_POINT('',(11.7,16.5,3.05)); #41992=CARTESIAN_POINT('',(11.7,16.7,3.05)); #41993=CARTESIAN_POINT('',(11.7,7.,3.05)); #41994=CARTESIAN_POINT('',(11.7,16.7,4.9)); #41995=CARTESIAN_POINT('',(11.7,18.4,2.938)); #41996=CARTESIAN_POINT('',(11.7,18.4,2.938)); #41997=CARTESIAN_POINT('',(11.7,19.5,2.938)); #41998=CARTESIAN_POINT('',(11.7,5.19843711834389,2.938)); #41999=CARTESIAN_POINT('',(11.7,5.19843711834389,3.65)); #42000=CARTESIAN_POINT('',(11.7,5.19843711834389,3.90107138167559)); #42001=CARTESIAN_POINT('',(11.7,4.53578643762691,4.65)); #42002=CARTESIAN_POINT('',(11.7,5.24289321881345,3.94289321881345)); #42003=CARTESIAN_POINT('',(11.7,11.869,10.569)); #42004=CARTESIAN_POINT('',(11.7,6.05355339059327,4.75355339059327)); #42005=CARTESIAN_POINT('',(11.7,6.40710678118655,4.4)); #42006=CARTESIAN_POINT('',(11.7,7.,3.05)); #42007=CARTESIAN_POINT('',(11.7,19.5,2.938)); #42008=CARTESIAN_POINT('',(10.7,2.,3.65)); #42009=CARTESIAN_POINT('',(10.634375,4.09897435891318,3.65)); #42010=CARTESIAN_POINT('',(8.60102564108682,2.065625,3.65)); #42011=CARTESIAN_POINT('',(10.634375,4.09897435891318,2.938)); #42012=CARTESIAN_POINT('',(10.634375,4.09897435891318,2.938)); #42013=CARTESIAN_POINT('',(10.7,2.,2.938)); #42014=CARTESIAN_POINT('',(8.60102564108682,2.065625,2.938)); #42015=CARTESIAN_POINT('',(8.60102564108682,2.065625,2.938)); #42016=CARTESIAN_POINT('',(10.7,2.,2.938)); #42017=CARTESIAN_POINT('',(8.16421356237309,1.,4.65)); #42018=CARTESIAN_POINT('',(7.45710678118655,1.,3.94289321881345)); #42019=CARTESIAN_POINT('',(7.5015628816561,1.,3.90107138167559)); #42020=CARTESIAN_POINT('',(7.5015628816561,1.,2.938)); #42021=CARTESIAN_POINT('',(7.5015628816561,1.,2.938)); #42022=CARTESIAN_POINT('',(8.6,1.,2.938)); #42023=CARTESIAN_POINT('',(2.1,1.,2.938)); #42024=CARTESIAN_POINT('',(2.1,1.,4.9)); #42025=CARTESIAN_POINT('',(6.29289321881345,0.999999999999999,4.4)); #42026=CARTESIAN_POINT('',(6.64644660940672,1.,4.75355339059328)); #42027=CARTESIAN_POINT('',(8.531,1.,2.869)); #42028=CARTESIAN_POINT('',(8.6,1.,2.938)); #42029=CARTESIAN_POINT('',(1.,1.,2.938)); #42030=CARTESIAN_POINT('',(1.,2.1,2.938)); #42031=CARTESIAN_POINT('',(1.,15.3015628816561,2.938)); #42032=CARTESIAN_POINT('',(1.,15.3015628816561,3.65)); #42033=CARTESIAN_POINT('',(1.,15.3015628816561,3.90107138167559)); #42034=CARTESIAN_POINT('',(1.,15.9642135623731,4.65)); #42035=CARTESIAN_POINT('',(1.,15.2571067811866,3.94289321881345)); #42036=CARTESIAN_POINT('',(1.,8.63100000000001,10.569)); #42037=CARTESIAN_POINT('',(1.,14.4464466094067,4.75355339059327)); #42038=CARTESIAN_POINT('',(1.,14.0928932188135,4.4)); #42039=CARTESIAN_POINT('',(1.,2.1,2.938)); #42040=CARTESIAN_POINT('',(1.,1.,2.938)); #42041=CARTESIAN_POINT('',(2.1,15.3015628816561,2.938)); #42042=CARTESIAN_POINT('',(2.1,2.1,2.938)); #42043=CARTESIAN_POINT('',(7.5015628816561,2.1,2.938)); #42044=CARTESIAN_POINT('',(10.6,5.19843711834389,2.938)); #42045=CARTESIAN_POINT('',(10.6,18.4,2.938)); #42046=CARTESIAN_POINT('',(5.1984371183439,18.4,2.938)); #42047=CARTESIAN_POINT('',(2.,18.5,2.938)); #42048=CARTESIAN_POINT('',(1.,20.5,3.65)); #42049=CARTESIAN_POINT('',(0.,15.9642135623731,3.65)); #42050=CARTESIAN_POINT('',(1.2219942623504,15.9642135623731,3.65)); #42051=CARTESIAN_POINT('',(2.1,15.3015628816561,3.65)); #42052=CARTESIAN_POINT('',(5.1984371183439,18.4,3.65)); #42053=CARTESIAN_POINT('',(4.5357864376269,19.2780057376496,3.65)); #42054=CARTESIAN_POINT('',(4.5357864376269,20.5,3.65)); #42055=CARTESIAN_POINT('',(0.,20.5,3.65)); #42056=CARTESIAN_POINT('',(11.7,0.,3.65)); #42057=CARTESIAN_POINT('',(7.75,4.53578643762691,3.65)); #42058=CARTESIAN_POINT('',(11.4780057376496,4.53578643762691,3.65)); #42059=CARTESIAN_POINT('',(10.6,5.19843711834389,3.65)); #42060=CARTESIAN_POINT('',(7.5015628816561,2.1,3.65)); #42061=CARTESIAN_POINT('',(8.16421356237309,1.2219942623504,3.65)); #42062=CARTESIAN_POINT('',(8.16421356237309,4.95,3.65)); #42063=CARTESIAN_POINT('',(7.75,4.95,3.65)); #42064=CARTESIAN_POINT('',(6.64644660940672,4.95,4.75355339059328)); #42065=CARTESIAN_POINT('',(7.45710678118655,4.95,3.94289321881345)); #42066=CARTESIAN_POINT('',(7.75,4.95,3.65)); #42067=CARTESIAN_POINT('',(6.35,6.05355339059328,4.75355339059328)); #42068=CARTESIAN_POINT('',(6.35,5.24289321881345,3.94289321881345)); #42069=CARTESIAN_POINT('',(6.35,6.2,4.9)); #42070=CARTESIAN_POINT('',(0.,14.4464466094067,4.75355339059327)); #42071=CARTESIAN_POINT('',(0.,15.2571067811865,3.94289321881345)); #42072=CARTESIAN_POINT('',(0.,15.55,3.65)); #42073=CARTESIAN_POINT('',(6.05355339059327,10.25,4.75355339059327)); #42074=CARTESIAN_POINT('',(5.24289321881345,10.25,3.94289321881345)); #42075=CARTESIAN_POINT('',(6.2,10.25,4.9)); #42076=CARTESIAN_POINT('',(8.16421356237309,1.2219942623504,3.65)); #42077=CARTESIAN_POINT('',(8.09192772267611,1.16743851307975,3.65)); #42078=CARTESIAN_POINT('',(8.01155484640777,1.12136555923549,3.65817828450002)); #42079=CARTESIAN_POINT('',(7.93012635471069,1.08691888302891,3.67778439674688)); #42080=CARTESIAN_POINT('',(7.84847439665593,1.05237767390019,3.69744431456475)); #42081=CARTESIAN_POINT('',(7.76369814649129,1.02870282067386,3.72931734795192)); #42082=CARTESIAN_POINT('',(7.68486263486887,1.01537969755673,3.772376681998)); #42083=CARTESIAN_POINT('',(7.61989948887161,1.00440099044383,3.80785903946119)); #42084=CARTESIAN_POINT('',(7.5576062667366,1.,3.85148429638519)); #42085=CARTESIAN_POINT('',(7.5015628816561,1.,3.90107138167559)); #42086=CARTESIAN_POINT('',(8.16421356237309,4.95,4.65)); #42087=CARTESIAN_POINT('',(11.7,5.19843711834389,3.90107138167559)); #42088=CARTESIAN_POINT('',(11.7,5.1306082364367,3.84105651020675)); #42089=CARTESIAN_POINT('',(11.6934477799184,5.05332302268313,3.78972195952856)); #42090=CARTESIAN_POINT('',(11.6767110469886,4.97328534443862,3.75078105750682)); #42091=CARTESIAN_POINT('',(11.659929889144,4.89303521929336,3.71173679324209)); #42092=CARTESIAN_POINT('',(11.632238106627,4.80794710232268,3.68423267627122)); #42093=CARTESIAN_POINT('',(11.5939210943933,4.72714012268199,3.66847885034716)); #42094=CARTESIAN_POINT('',(11.562346728685,4.66055275121886,3.65549722594497)); #42095=CARTESIAN_POINT('',(11.5230833006164,4.59551377221789,3.65)); #42096=CARTESIAN_POINT('',(11.4780057376496,4.53578643762691,3.65)); #42097=CARTESIAN_POINT('',(6.35,4.53578643762691,4.65)); #42098=CARTESIAN_POINT('',(1.,15.3015628816561,3.90107138167559)); #42099=CARTESIAN_POINT('',(1.,15.3693917635633,3.84105651020675)); #42100=CARTESIAN_POINT('',(1.00655222008159,15.4466769773169,3.78972195952856)); #42101=CARTESIAN_POINT('',(1.02328895301139,15.5267146555614,3.75078105750682)); #42102=CARTESIAN_POINT('',(1.04007011085602,15.6069647807066,3.71173679324209)); #42103=CARTESIAN_POINT('',(1.06776189337299,15.6920528976773,3.68423267627122)); #42104=CARTESIAN_POINT('',(1.10607890560666,15.772859877318,3.66847885034716)); #42105=CARTESIAN_POINT('',(1.13765327131498,15.8394472487811,3.65549722594497)); #42106=CARTESIAN_POINT('',(1.17691669938358,15.9044862277821,3.65)); #42107=CARTESIAN_POINT('',(1.2219942623504,15.9642135623731,3.65)); #42108=CARTESIAN_POINT('',(0.,15.9642135623731,4.65)); #42109=CARTESIAN_POINT('',(4.5357864376269,19.2780057376496,3.65)); #42110=CARTESIAN_POINT('',(4.60807227732388,19.3325614869202,3.65)); #42111=CARTESIAN_POINT('',(4.68844515359222,19.3786344407645,3.65817828450002)); #42112=CARTESIAN_POINT('',(4.7698736452893,19.4130811169711,3.67778439674688)); #42113=CARTESIAN_POINT('',(4.85152560334406,19.4476223260998,3.69744431456475)); #42114=CARTESIAN_POINT('',(4.9363018535087,19.4712971793261,3.72931734795192)); #42115=CARTESIAN_POINT('',(5.01513736513112,19.4846203024433,3.772376681998)); #42116=CARTESIAN_POINT('',(5.08010051112839,19.4955990095562,3.80785903946119)); #42117=CARTESIAN_POINT('',(5.1423937332634,19.5,3.85148429638519)); #42118=CARTESIAN_POINT('',(5.1984371183439,19.5,3.90107138167559)); #42119=CARTESIAN_POINT('',(4.5357864376269,10.25,4.65)); #42120=CARTESIAN_POINT('',(6.35,6.40710678118655,4.4)); #42121=CARTESIAN_POINT('',(6.29289321881345,10.25,4.4)); #42122=CARTESIAN_POINT('',(6.35,14.0928932188135,4.4)); #42123=CARTESIAN_POINT('',(6.40710678118655,10.25,4.4)); #42124=CARTESIAN_POINT('',(2.1,15.3015628816561,2.938)); #42125=CARTESIAN_POINT('',(5.1984371183439,18.4,2.938)); #42126=CARTESIAN_POINT('',(10.6,18.4,2.938)); #42127=CARTESIAN_POINT('',(10.6,5.19843711834389,2.938)); #42128=CARTESIAN_POINT('',(7.5015628816561,2.1,2.938)); #42129=CARTESIAN_POINT('',(2.1,2.1,2.938)); #42130=CARTESIAN_POINT('',(0.,0.999999999999999,0.)); #42131=CARTESIAN_POINT('',(11.7,0.,0.)); #42132=CARTESIAN_POINT('',(1.,20.5,0.)); #42133=CARTESIAN_POINT('',(12.7,19.5,0.)); #42134=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.1)); #42135=CARTESIAN_POINT('',(8.7870039370059,15.5,0.1)); #42136=CARTESIAN_POINT('',(8.7870039370059,15.5,0.)); #42137=CARTESIAN_POINT('',(-1.51788304147971E-015,15.5,0.)); #42138=CARTESIAN_POINT('',(4.85,10.25,0.1)); #42139=CARTESIAN_POINT('',(0.375499999999997,10.25,0.1)); #42140=CARTESIAN_POINT('',(2.41842592828786,1.57982925262057,0.1)); #42141=CARTESIAN_POINT('',(11.9928932188134,8.35000000000001,0.1)); #42142=CARTESIAN_POINT('',(11.9928932188134,5.,0.1)); #42143=CARTESIAN_POINT('',(11.9928932188134,8.15000000000001,0.1)); #42144=CARTESIAN_POINT('',(5.48255531342958,12.7535040807128,0.1)); #42145=CARTESIAN_POINT('',(8.7870039370059,3.,0.1)); #42146=CARTESIAN_POINT('',(7.83257874015599,4.75757575757576,0.1)); #42147=CARTESIAN_POINT('',(4.85,10.25,0.1)); #42148=CARTESIAN_POINT('',(1.86742125984401,4.75757575757576,0.1)); #42149=CARTESIAN_POINT('',(0.912996062994089,3.,0.1)); #42150=CARTESIAN_POINT('',(0.912996062994089,5.,0.1)); #42151=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.1)); #42152=CARTESIAN_POINT('',(0.912996062994096,17.5,0.1)); #42153=CARTESIAN_POINT('',(1.86742125984401,15.7424242424242,0.1)); #42154=CARTESIAN_POINT('',(4.85,10.25,0.1)); #42155=CARTESIAN_POINT('',(7.83257874015598,15.7424242424242,0.1)); #42156=CARTESIAN_POINT('',(8.7870039370059,17.5,0.1)); #42157=CARTESIAN_POINT('',(-0.410001196458327,5.57982925262056,0.1)); #42158=CARTESIAN_POINT('',(11.9928932188134,14.35,0.1)); #42159=CARTESIAN_POINT('',(11.9928932188134,5.,0.1)); #42160=CARTESIAN_POINT('',(11.9928932188134,14.15,0.1)); #42161=CARTESIAN_POINT('',(8.31098243817576,16.7535040807128,0.1)); #42162=CARTESIAN_POINT('',(0.532807845123749,4.24649591928721,0.1)); #42163=CARTESIAN_POINT('',(11.9928932188134,12.35,0.1)); #42164=CARTESIAN_POINT('',(11.9928932188134,5.,0.1)); #42165=CARTESIAN_POINT('',(11.9928932188134,12.15,0.1)); #42166=CARTESIAN_POINT('',(7.36817339659371,15.4201707473794,0.1)); #42167=CARTESIAN_POINT('',(1.47561688670579,2.9131625859539,0.1)); #42168=CARTESIAN_POINT('',(11.9928932188134,10.35,0.1)); #42169=CARTESIAN_POINT('',(11.9928932188134,5.,0.1)); #42170=CARTESIAN_POINT('',(11.9928932188134,10.15,0.1)); #42171=CARTESIAN_POINT('',(6.42536435501164,14.0868374140461,0.1)); #42172=CARTESIAN_POINT('',(-1.51788304147971E-015,5.,0.1)); #42173=CARTESIAN_POINT('',(0.912996062994088,5.,0.)); #42174=CARTESIAN_POINT('',(12.7,5.,0.)); #42175=CARTESIAN_POINT('',(4.85,10.25,-1.33)); #42176=CARTESIAN_POINT('',(0.375499999999997,10.25,-1.33)); #42177=CARTESIAN_POINT('',(4.85,10.25,0.1)); #42178=CARTESIAN_POINT('',(4.85,10.25,-1.43)); #42179=CARTESIAN_POINT('',(7.75,11.1791502622129,-0.690000000000001)); #42180=CARTESIAN_POINT('',(7.75,11.55,-0.690000000000001)); #42181=CARTESIAN_POINT('',(7.2,11.1791502622129,-0.980000000000001)); #42182=CARTESIAN_POINT('',(7.45666666666667,10.6927119596275,-1.23666666666667)); #42183=CARTESIAN_POINT('',(7.45666666666667,10.6927119596275,-0.690000000000001)); #42184=CARTESIAN_POINT('',(7.2,11.1791502622129,-0.690000000000001)); #42185=CARTESIAN_POINT('',(7.39,9.80250827823646,-0.690000000000001)); #42186=CARTESIAN_POINT('',(7.39,9.80250827823646,-0.690000000000001)); #42187=CARTESIAN_POINT('',(7.39,9.80250827823646,-1.17)); #42188=CARTESIAN_POINT('',(6.95,9.47250827823646,-0.730000000000001)); #42189=CARTESIAN_POINT('',(7.49692120400721,9.53062193074,-1.27692120400721)); #42190=CARTESIAN_POINT('',(7.49692120400721,9.53062193074,-0.690000000000001)); #42191=CARTESIAN_POINT('',(7.49692120400721,9.53062193074,-0.690000000000001)); #42192=CARTESIAN_POINT('',(6.95,9.47250827823646,-0.690000000000001)); #42193=CARTESIAN_POINT('',(6.95,9.47250827823646,-0.690000000000001)); #42194=CARTESIAN_POINT('',(7.35,11.75,-0.69)); #42195=CARTESIAN_POINT('',(7.35,9.92250827823646,-0.690000000000001)); #42196=CARTESIAN_POINT('',(7.35,8.75000000000001,-0.690000000000001)); #42197=CARTESIAN_POINT('',(7.55,9.92250827823646,-0.690000000000001)); #42198=CARTESIAN_POINT('',(7.69580164182801,9.55175416801401,-0.690000000000001)); #42199=CARTESIAN_POINT('',(7.56664131346241,9.39905312159818,-0.690000000000001)); #42200=CARTESIAN_POINT('',(7.05,8.78824893593486,-0.690000000000001)); #42201=CARTESIAN_POINT('',(7.85,8.78824893593486,-0.690000000000001)); #42202=CARTESIAN_POINT('',(7.85,9.45,-0.690000000000001)); #42203=CARTESIAN_POINT('',(7.85,7.9,-0.690000000000001)); #42204=CARTESIAN_POINT('',(2.55,7.9,-0.690000000000001)); #42205=CARTESIAN_POINT('',(2.55,8.7,-0.690000000000001)); #42206=CARTESIAN_POINT('',(1.75,8.7,-0.690000000000001)); #42207=CARTESIAN_POINT('',(1.75,7.9,-0.690000000000001)); #42208=CARTESIAN_POINT('',(1.75,11.0208497377871,-0.690000000000001)); #42209=CARTESIAN_POINT('',(2.55,11.0208497377871,-0.690000000000001)); #42210=CARTESIAN_POINT('',(1.91308500186089,11.5049357685145,-0.690000000000001)); #42211=CARTESIAN_POINT('',(1.75385625232612,11.6259572761964,-0.690000000000001)); #42212=CARTESIAN_POINT('',(2.35,11.75,-0.69)); #42213=CARTESIAN_POINT('',(2.35,11.75,-0.69)); #42214=CARTESIAN_POINT('',(2.35,8.75000000000001,-0.690000000000001)); #42215=CARTESIAN_POINT('',(2.35,8.75000000000001,-0.690000000000001)); #42216=CARTESIAN_POINT('',(7.35,8.75000000000001,-0.690000000000001)); #42217=CARTESIAN_POINT('',(5.85,11.35,-0.690000000000001)); #42218=CARTESIAN_POINT('',(4.51143782776615,12.25,-0.690000000000001)); #42219=CARTESIAN_POINT('',(5.18856217223386,12.25,-0.690000000000001)); #42220=CARTESIAN_POINT('',(3.85,12.25,-0.690000000000001)); #42221=CARTESIAN_POINT('',(7.85,8.78824893593486,-0.690000000000001)); #42222=CARTESIAN_POINT('',(7.85,9.45,-0.690000000000001)); #42223=CARTESIAN_POINT('',(7.85,7.9,-0.79)); #42224=CARTESIAN_POINT('',(2.55,7.9,-0.79)); #42225=CARTESIAN_POINT('',(2.55,7.9,-0.690000000000001)); #42226=CARTESIAN_POINT('',(7.85,7.9,-0.690000000000001)); #42227=CARTESIAN_POINT('',(1.75,8.7,-1.43)); #42228=CARTESIAN_POINT('',(1.75,8.7,-0.79)); #42229=CARTESIAN_POINT('',(1.75,7.9,-0.79)); #42230=CARTESIAN_POINT('',(1.75,11.0208497377871,-0.79)); #42231=CARTESIAN_POINT('',(1.75,11.0208497377871,-0.690000000000001)); #42232=CARTESIAN_POINT('',(1.75,7.9,-0.690000000000001)); #42233=CARTESIAN_POINT('',(5.18856217223386,11.45,-0.690000000000001)); #42234=CARTESIAN_POINT('',(4.51143782776615,11.45,-0.690000000000001)); #42235=CARTESIAN_POINT('',(2.55,11.0208497377871,-0.79)); #42236=CARTESIAN_POINT('',(1.91308500186089,11.5049357685145,-0.79)); #42237=CARTESIAN_POINT('',(1.91308500186089,11.5049357685145,-0.690000000000001)); #42238=CARTESIAN_POINT('',(2.55,11.0208497377871,-0.690000000000001)); #42239=CARTESIAN_POINT('',(2.55,8.7,-0.79)); #42240=CARTESIAN_POINT('',(2.55,8.7,-0.690000000000001)); #42241=CARTESIAN_POINT('',(7.56664131346241,9.39905312159818,-0.690000000000001)); #42242=CARTESIAN_POINT('',(7.05,8.78824893593486,-0.690000000000001)); #42243=CARTESIAN_POINT('',(2.05,11.95,-0.79)); #42244=CARTESIAN_POINT('',(2.05,11.95,-0.79)); #42245=CARTESIAN_POINT('',(2.55,11.95,-0.79)); #42246=CARTESIAN_POINT('',(2.04064064242397,11.95,-0.79)); #42247=CARTESIAN_POINT('',(2.04064064242397,11.95,-1.43)); #42248=CARTESIAN_POINT('',(2.55,11.95,-0.79)); #42249=CARTESIAN_POINT('',(1.75385625232612,11.6259572761964,-0.79)); #42250=CARTESIAN_POINT('',(7.59994662985261,7.86480020096162,-0.79)); #42251=CARTESIAN_POINT('',(7.39995730388209,7.86686647728778,-0.79)); #42252=CARTESIAN_POINT('',(6.6,7.87513158259242,-0.79)); #42253=CARTESIAN_POINT('',(7.07457627118644,7.23109946939714,-0.79)); #42254=CARTESIAN_POINT('',(4.85,10.25,-0.79)); #42255=CARTESIAN_POINT('',(1.27878047765759,11.3940677966102,-0.79)); #42256=CARTESIAN_POINT('',(2.04064064242397,11.15,-0.79)); #42257=CARTESIAN_POINT('',(2.05,12.15,-0.79)); #42258=CARTESIAN_POINT('',(4.85,10.25,-0.79)); #42259=CARTESIAN_POINT('',(7.07457627118644,7.23109946939714,-0.79)); #42260=CARTESIAN_POINT('',(1.27878047765759,11.3940677966102,-0.79)); #42261=CARTESIAN_POINT('',(4.85,10.25,-0.79)); #42262=CARTESIAN_POINT('',(2.04064064242397,11.15,-0.79)); #42263=CARTESIAN_POINT('',(7.39995730388209,7.86686647728778,-0.79)); #42264=CARTESIAN_POINT('',(6.6,7.87513158259242,-0.79)); #42265=CARTESIAN_POINT('',(7.69580164182801,9.55175416801401,-1.47580164182801)); #42266=CARTESIAN_POINT('',(7.69580164182801,9.55175416801401,-0.690000000000001)); #42267=CARTESIAN_POINT('',(7.55,9.92250827823646,-1.33)); #42268=CARTESIAN_POINT('',(7.35,9.92250827823646,-1.13)); #42269=CARTESIAN_POINT('',(7.35,9.92250827823646,-0.690000000000001)); #42270=CARTESIAN_POINT('',(7.55,9.92250827823646,-0.690000000000001)); #42271=CARTESIAN_POINT('',(7.55,10.5158253041418,-1.33)); #42272=CARTESIAN_POINT('',(7.35,10.5158253041418,-1.13)); #42273=CARTESIAN_POINT('',(7.35,10.5158253041418,-0.690000000000001)); #42274=CARTESIAN_POINT('',(7.55,10.5158253041418,-0.690000000000001)); #42275=CARTESIAN_POINT('',(7.59994662985261,7.86480020096162,-0.690000000000001)); #42276=CARTESIAN_POINT('',(1.75385625232612,11.6259572761964,-0.690000000000001)); #42277=CARTESIAN_POINT('',(2.05,12.15,-0.690000000000001)); #42278=CARTESIAN_POINT('',(11.9928932188134,14.15,0.0999999999999988)); #42279=CARTESIAN_POINT('',(12.7,14.35,0.807106781186555)); #42280=CARTESIAN_POINT('',(14.1142135623731,13.65,2.22132034355965)); #42281=CARTESIAN_POINT('',(11.9928932188134,12.15,0.100000000000002)); #42282=CARTESIAN_POINT('',(12.7,12.35,0.807106781186555)); #42283=CARTESIAN_POINT('',(14.1142135623731,11.65,2.22132034355965)); #42284=CARTESIAN_POINT('',(11.9928932188134,10.15,0.0999999999999998)); #42285=CARTESIAN_POINT('',(12.7,10.35,0.807106781186555)); #42286=CARTESIAN_POINT('',(14.1142135623731,9.65,2.22132034355965)); #42287=CARTESIAN_POINT('',(11.9928932188134,8.15000000000001,0.100000000000001)); #42288=CARTESIAN_POINT('',(12.7,8.35,0.807106781186555)); #42289=CARTESIAN_POINT('',(14.1142135623731,7.65,2.22132034355965)); #42290=CARTESIAN_POINT('',(14.1142135623731,8.15,2.22132034355965)); #42291=CARTESIAN_POINT('',(14.1142135623731,10.15,2.22132034355965)); #42292=CARTESIAN_POINT('',(14.1142135623731,12.15,2.22132034355965)); #42293=CARTESIAN_POINT('',(14.1142135623731,14.15,2.22132034355965)); #42294=CARTESIAN_POINT('',(10.9322330470336,8.85000000000001,-1.66776695296636)); #42295=CARTESIAN_POINT('',(10.9322330470336,10.85,-1.66776695296636)); #42296=CARTESIAN_POINT('',(10.9322330470336,12.85,-1.66776695296636)); #42297=CARTESIAN_POINT('',(10.9322330470336,14.85,-1.66776695296636)); #42298=CARTESIAN_POINT('',(12.5,7.2,3.05)); #42299=CARTESIAN_POINT('',(12.5,7.2,3.05)); #42300=CARTESIAN_POINT('',(11.7,7.2,3.05)); #42301=CARTESIAN_POINT('',(11.9,7.2,3.05)); #42302=CARTESIAN_POINT('',(11.9,7.2,4.9)); #42303=CARTESIAN_POINT('',(11.7,7.2,4.9)); #42304=CARTESIAN_POINT('',(11.9,7.,3.05)); #42305=CARTESIAN_POINT('',(12.5,7.,3.05)); #42306=CARTESIAN_POINT('',(12.5,16.7,3.05)); #42307=CARTESIAN_POINT('',(12.5,16.5,3.05)); #42308=CARTESIAN_POINT('',(11.7,16.5,3.05)); #42309=CARTESIAN_POINT('',(11.9,16.5,3.05)); #42310=CARTESIAN_POINT('',(11.9,16.7,3.05)); #42311=CARTESIAN_POINT('',(11.7,16.5,3.05)); #42312=CARTESIAN_POINT('',(12.5,16.5,4.9)); #42313=CARTESIAN_POINT('',(11.9,16.5,3.05)); #42314=CARTESIAN_POINT('',(12.7,16.5,4.9)); #42315=CARTESIAN_POINT('',(12.5,16.7,0.)); #42316=CARTESIAN_POINT('',(11.9,16.7,4.9)); #42317=CARTESIAN_POINT('',(12.5,7.,4.9)); #42318=CARTESIAN_POINT('',(11.9,7.,2.938)); #42319=CARTESIAN_POINT('',(1.86742125984401,4.75757575757576,0.1)); #42320=CARTESIAN_POINT('',(7.83257874015599,4.75757575757576,0.1)); #42321=CARTESIAN_POINT('',(4.85,10.25,0.1)); #42322=CARTESIAN_POINT('',(1.86742125984401,15.7424242424242,0.1)); #42323=CARTESIAN_POINT('',(7.83257874015598,15.7424242424242,0.1)); #42324=CARTESIAN_POINT('',(4.85,10.25,0.1)); #42325=CARTESIAN_POINT('',(0.912996062994089,3.,0.1)); #42326=CARTESIAN_POINT('',(0.912996062994096,17.5,0.1)); #42327=CARTESIAN_POINT('',(8.7870039370059,17.5,0.1)); #42328=CARTESIAN_POINT('',(8.7870039370059,3.,0.1)); #42329=CARTESIAN_POINT('',(6.85,11.75,-1.13)); #42330=CARTESIAN_POINT('',(6.85,11.95,-1.33)); #42331=CARTESIAN_POINT('',(6.85,11.75,-1.13)); #42332=CARTESIAN_POINT('',(6.85,11.95,-1.33)); #42333=CARTESIAN_POINT('',(7.35,11.25,-1.13)); #42334=CARTESIAN_POINT('',(7.55,11.25,-1.33)); #42335=CARTESIAN_POINT('',(7.35,11.75,-1.29)); #42336=CARTESIAN_POINT('',(7.35,11.75,-1.29)); #42337=CARTESIAN_POINT('',(2.35,11.75,-1.29)); #42338=CARTESIAN_POINT('',(2.35,11.75,-1.29)); #42339=CARTESIAN_POINT('',(2.35,11.75,-1.29)); #42340=CARTESIAN_POINT('',(2.35,11.75,-1.29)); #42341=CARTESIAN_POINT('',(7.35,8.75000000000001,-1.29)); #42342=CARTESIAN_POINT('',(7.35,8.75000000000001,-1.29)); #42343=CARTESIAN_POINT('',(7.35,11.75,-1.29)); #42344=CARTESIAN_POINT('',(7.35,11.75,-1.29)); #42345=CARTESIAN_POINT('',(2.35,8.75000000000001,-1.29)); #42346=CARTESIAN_POINT('',(2.35,8.75000000000001,-1.29)); #42347=CARTESIAN_POINT('',(7.35,8.75000000000001,-1.29)); #42348=CARTESIAN_POINT('',(7.35,8.75000000000001,-1.29)); #42349=CARTESIAN_POINT('',(2.35,8.75000000000001,-1.29)); #42350=CARTESIAN_POINT('',(2.35,8.75000000000001,-1.29)); #42351=CARTESIAN_POINT('',(2.35,8.75000000000001,-1.29)); #42352=CARTESIAN_POINT('',(12.7,18.6819071902091,2.45252422233554)); #42353=CARTESIAN_POINT('',(12.7,18.6466598674146,2.49321774604793)); #42354=CARTESIAN_POINT('',(12.7,18.5954411014788,2.51371749107598)); #42355=CARTESIAN_POINT('',(12.7,18.4690464048955,2.51371749107598)); #42356=CARTESIAN_POINT('',(12.7,18.4200305966344,2.49413564507904)); #42357=CARTESIAN_POINT('',(12.7,18.3423763386028,2.41642019377868)); #42358=CARTESIAN_POINT('',(12.7,18.3228250892402,2.36991330953595)); #42359=CARTESIAN_POINT('',(12.7,18.3228250892402,2.26282508924018)); #42360=CARTESIAN_POINT('',(12.7,18.3437531871494,2.21448240693524)); #42361=CARTESIAN_POINT('',(12.7,18.3856093829679,2.17134115247323)); #42362=CARTESIAN_POINT('',(12.7,18.6819071902091,2.45252422233554)); #42363=CARTESIAN_POINT('',(12.7,18.3856093829679,2.17134115247323)); #42364=CARTESIAN_POINT('',(12.65,18.6819071902091,2.45252422233554)); #42365=CARTESIAN_POINT('',(12.65,18.6819071902091,2.45252422233554)); #42366=CARTESIAN_POINT('',(12.65,18.6819071902091,2.45252422233554)); #42367=CARTESIAN_POINT('',(12.65,18.6466598674146,2.49321774604793)); #42368=CARTESIAN_POINT('',(12.65,18.5954411014788,2.51371749107598)); #42369=CARTESIAN_POINT('',(12.65,18.4690464048955,2.51371749107598)); #42370=CARTESIAN_POINT('',(12.65,18.4200305966344,2.49413564507904)); #42371=CARTESIAN_POINT('',(12.65,18.3423763386028,2.41642019377868)); #42372=CARTESIAN_POINT('',(12.65,18.3228250892402,2.36991330953595)); #42373=CARTESIAN_POINT('',(12.65,18.3228250892402,2.26282508924018)); #42374=CARTESIAN_POINT('',(12.65,18.3437531871494,2.21448240693524)); #42375=CARTESIAN_POINT('',(12.65,18.3856093829679,2.17134115247323)); #42376=CARTESIAN_POINT('',(12.65,18.3856093829679,2.17134115247323)); #42377=CARTESIAN_POINT('',(12.65,18.3856093829679,2.17134115247323)); #42378=CARTESIAN_POINT('',(12.65,18.6819071902091,2.45252422233554)); #42379=CARTESIAN_POINT('',(12.65,18.6466598674146,2.49321774604793)); #42380=CARTESIAN_POINT('',(12.65,18.5954411014788,2.51371749107598)); #42381=CARTESIAN_POINT('',(12.65,18.4690464048955,2.51371749107598)); #42382=CARTESIAN_POINT('',(12.65,18.4200305966344,2.49413564507904)); #42383=CARTESIAN_POINT('',(12.65,18.3423763386028,2.41642019377868)); #42384=CARTESIAN_POINT('',(12.65,18.3228250892402,2.36991330953595)); #42385=CARTESIAN_POINT('',(12.65,18.3228250892402,2.26282508924018)); #42386=CARTESIAN_POINT('',(12.65,18.3437531871494,2.21448240693524)); #42387=CARTESIAN_POINT('',(12.65,18.3856093829679,2.17134115247323)); #42388=CARTESIAN_POINT('',(12.65,18.8049974502805,2.16858745537991)); #42389=CARTESIAN_POINT('',(12.65,18.8049974502805,2.16858745537991)); #42390=CARTESIAN_POINT('',(12.65,18.8049974502805,2.16858745537991)); #42391=CARTESIAN_POINT('',(12.65,18.7791126976033,2.1260581336053)); #42392=CARTESIAN_POINT('',(12.65,18.7405609382968,2.08934217236104)); #42393=CARTESIAN_POINT('',(12.65,18.689342172361,2.05843957164712)); #42394=CARTESIAN_POINT('',(12.65,18.689342172361,2.05843957164712)); #42395=CARTESIAN_POINT('',(12.65,18.689342172361,2.05843957164712)); #42396=CARTESIAN_POINT('',(12.65,18.8049974502805,2.16858745537991)); #42397=CARTESIAN_POINT('',(12.65,18.7791126976033,2.1260581336053)); #42398=CARTESIAN_POINT('',(12.65,18.7405609382968,2.08934217236104)); #42399=CARTESIAN_POINT('',(12.65,18.689342172361,2.05843957164712)); #42400=CARTESIAN_POINT('',(12.65,18.689342172361,2.05843957164712)); #42401=CARTESIAN_POINT('',(12.65,18.7620397756247,2.01805201427843)); #42402=CARTESIAN_POINT('',(12.65,18.8143600203978,1.9721570627231)); #42403=CARTESIAN_POINT('',(12.65,18.8776950535441,1.86874043855176)); #42404=CARTESIAN_POINT('',(12.65,18.893391126976,1.80999490056094)); #42405=CARTESIAN_POINT('',(12.65,18.893391126976,1.6518103008669)); #42406=CARTESIAN_POINT('',(12.65,18.8661295257522,1.57011728709842)); #42407=CARTESIAN_POINT('',(12.65,18.7455029750571,1.41295579126899)); #42408=CARTESIAN_POINT('',(12.65,18.6499643039266,1.36970933197348)); #42409=CARTESIAN_POINT('',(12.65,18.4068128505864,1.36970933197348)); #42410=CARTESIAN_POINT('',(12.65,18.3162162162162,1.40764915859255)); #42411=CARTESIAN_POINT('',(12.65,18.1928505864355,1.55940846506884)); #42412=CARTESIAN_POINT('',(12.65,18.1620091789903,1.64293727689954)); #42413=CARTESIAN_POINT('',(12.65,18.1620091789903,1.80142784293728)); #42414=CARTESIAN_POINT('',(12.65,18.1782559918409,1.86170321264661)); #42415=CARTESIAN_POINT('',(12.65,18.2426925038246,1.96879143294238)); #42416=CARTESIAN_POINT('',(12.65,18.2966649668536,2.01652218255992)); #42417=CARTESIAN_POINT('',(12.65,18.3721162672106,2.05843957164712)); #42418=CARTESIAN_POINT('',(12.65,18.3721162672106,2.05843957164712)); #42419=CARTESIAN_POINT('',(12.65,18.3721162672106,2.05843957164712)); #42420=CARTESIAN_POINT('',(12.65,18.689342172361,2.05843957164712)); #42421=CARTESIAN_POINT('',(12.65,18.7620397756247,2.01805201427843)); #42422=CARTESIAN_POINT('',(12.65,18.8143600203978,1.9721570627231)); #42423=CARTESIAN_POINT('',(12.65,18.8776950535441,1.86874043855176)); #42424=CARTESIAN_POINT('',(12.65,18.893391126976,1.80999490056094)); #42425=CARTESIAN_POINT('',(12.65,18.893391126976,1.6518103008669)); #42426=CARTESIAN_POINT('',(12.65,18.8661295257522,1.57011728709842)); #42427=CARTESIAN_POINT('',(12.65,18.7455029750571,1.41295579126899)); #42428=CARTESIAN_POINT('',(12.65,18.6499643039266,1.36970933197348)); #42429=CARTESIAN_POINT('',(12.65,18.4068128505864,1.36970933197348)); #42430=CARTESIAN_POINT('',(12.65,18.3162162162162,1.40764915859255)); #42431=CARTESIAN_POINT('',(12.65,18.1928505864355,1.55940846506884)); #42432=CARTESIAN_POINT('',(12.65,18.1620091789903,1.64293727689954)); #42433=CARTESIAN_POINT('',(12.65,18.1620091789903,1.80142784293728)); #42434=CARTESIAN_POINT('',(12.65,18.1782559918409,1.86170321264661)); #42435=CARTESIAN_POINT('',(12.65,18.2426925038246,1.96879143294238)); #42436=CARTESIAN_POINT('',(12.65,18.2966649668536,2.01652218255992)); #42437=CARTESIAN_POINT('',(12.65,18.3721162672106,2.05843957164712)); #42438=CARTESIAN_POINT('',(12.65,18.7116471188169,1.92014278429373)); #42439=CARTESIAN_POINT('',(12.65,18.6623559408465,1.9721570627231)); #42440=CARTESIAN_POINT('',(12.65,18.6031514533401,1.99785823559408)); #42441=CARTESIAN_POINT('',(12.65,18.4591330953595,1.99785823559408)); #42442=CARTESIAN_POINT('',(12.65,18.3966241713412,1.97123916369199)); #42443=CARTESIAN_POINT('',(12.65,18.2958388577256,1.86415094339623)); #42444=CARTESIAN_POINT('',(12.65,18.2707802141764,1.80173380928098)); #42445=CARTESIAN_POINT('',(12.65,18.2707802141764,1.68638449770525)); #42446=CARTESIAN_POINT('',(12.65,18.2815196328404,1.64477307496175)); #42447=CARTESIAN_POINT('',(12.65,18.3244773074962,1.56644569097399)); #42448=CARTESIAN_POINT('',(12.65,18.3547679755227,1.53615502294748)); #42449=CARTESIAN_POINT('',(12.65,18.4320011084562,1.4938606882458)); #42450=CARTESIAN_POINT('',(12.65,18.4767567567568,1.483222845487)); #42451=CARTESIAN_POINT('',(12.65,18.6064558898521,1.483222845487)); #42452=CARTESIAN_POINT('',(12.65,18.6692401835798,1.50892401835798)); #42453=CARTESIAN_POINT('',(12.65,18.7621921155515,1.61220394277096)); #42454=CARTESIAN_POINT('',(12.65,18.7854462009179,1.67261601223865)); #42455=CARTESIAN_POINT('',(12.65,18.7854462009179,1.80877103518613)); #42456=CARTESIAN_POINT('',(12.65,18.7609382967874,1.86812850586435)); #42457=CARTESIAN_POINT('',(12.65,18.7116471188169,1.92014278429373)); #42458=CARTESIAN_POINT('',(12.65,18.7116471188169,1.92014278429373)); #42459=CARTESIAN_POINT('',(12.7,18.7116471188169,1.92014278429373)); #42460=CARTESIAN_POINT('',(12.7,18.6623559408465,1.9721570627231)); #42461=CARTESIAN_POINT('',(12.7,18.6031514533401,1.99785823559408)); #42462=CARTESIAN_POINT('',(12.7,18.4591330953595,1.99785823559408)); #42463=CARTESIAN_POINT('',(12.7,18.3966241713412,1.97123916369199)); #42464=CARTESIAN_POINT('',(12.7,18.2958388577256,1.86415094339623)); #42465=CARTESIAN_POINT('',(12.7,18.2707802141764,1.80173380928098)); #42466=CARTESIAN_POINT('',(12.7,18.2707802141764,1.68638449770525)); #42467=CARTESIAN_POINT('',(12.7,18.2815196328404,1.64477307496175)); #42468=CARTESIAN_POINT('',(12.7,18.3244773074962,1.56644569097399)); #42469=CARTESIAN_POINT('',(12.7,18.3547679755227,1.53615502294748)); #42470=CARTESIAN_POINT('',(12.7,18.4320011084562,1.4938606882458)); #42471=CARTESIAN_POINT('',(12.7,18.4767567567568,1.483222845487)); #42472=CARTESIAN_POINT('',(12.7,18.6064558898521,1.483222845487)); #42473=CARTESIAN_POINT('',(12.7,18.6692401835798,1.50892401835798)); #42474=CARTESIAN_POINT('',(12.7,18.7621921155515,1.61220394277096)); #42475=CARTESIAN_POINT('',(12.7,18.7854462009179,1.67261601223865)); #42476=CARTESIAN_POINT('',(12.7,18.7854462009179,1.80877103518613)); #42477=CARTESIAN_POINT('',(12.7,18.7609382967874,1.86812850586435)); #42478=CARTESIAN_POINT('',(12.7,18.7116471188169,1.92014278429373)); #42479=CARTESIAN_POINT('',(12.7,18.7116471188169,1.92014278429373)); #42480=CARTESIAN_POINT('',(12.65,18.7116471188169,1.92014278429373)); #42481=CARTESIAN_POINT('',(12.65,18.6623559408465,1.9721570627231)); #42482=CARTESIAN_POINT('',(12.65,18.6031514533401,1.99785823559408)); #42483=CARTESIAN_POINT('',(12.65,18.4591330953595,1.99785823559408)); #42484=CARTESIAN_POINT('',(12.65,18.3966241713412,1.97123916369199)); #42485=CARTESIAN_POINT('',(12.65,18.2958388577256,1.86415094339623)); #42486=CARTESIAN_POINT('',(12.65,18.2707802141764,1.80173380928098)); #42487=CARTESIAN_POINT('',(12.65,18.2707802141764,1.68638449770525)); #42488=CARTESIAN_POINT('',(12.65,18.2815196328404,1.64477307496175)); #42489=CARTESIAN_POINT('',(12.65,18.3244773074962,1.56644569097399)); #42490=CARTESIAN_POINT('',(12.65,18.3547679755227,1.53615502294748)); #42491=CARTESIAN_POINT('',(12.65,18.4320011084562,1.4938606882458)); #42492=CARTESIAN_POINT('',(12.65,18.4767567567568,1.483222845487)); #42493=CARTESIAN_POINT('',(12.65,18.6064558898521,1.483222845487)); #42494=CARTESIAN_POINT('',(12.65,18.6692401835798,1.50892401835798)); #42495=CARTESIAN_POINT('',(12.65,18.7621921155515,1.61220394277096)); #42496=CARTESIAN_POINT('',(12.65,18.7854462009179,1.67261601223865)); #42497=CARTESIAN_POINT('',(12.65,18.7854462009179,1.80877103518613)); #42498=CARTESIAN_POINT('',(12.65,18.7609382967874,1.86812850586435)); #42499=CARTESIAN_POINT('',(12.65,18.7116471188169,1.92014278429373)); #42500=CARTESIAN_POINT('',(12.65,18.3721162672106,2.05843957164712)); #42501=CARTESIAN_POINT('',(12.65,18.3192452830189,2.08873023967364)); #42502=CARTESIAN_POINT('',(12.65,18.2795920448751,2.12667006629271)); #42503=CARTESIAN_POINT('',(12.65,18.2265872848229,2.21685177610366)); #42504=CARTESIAN_POINT('',(12.65,18.2135033146354,2.2652728199898)); #42505=CARTESIAN_POINT('',(12.65,18.2135033146354,2.36930137684855)); #42506=CARTESIAN_POINT('',(12.65,18.2275471698113,2.42009178990311)); #42507=CARTESIAN_POINT('',(12.65,18.283722590515,2.51983681795003)); #42508=CARTESIAN_POINT('',(12.65,18.3228250892402,2.55900050994391)); #42509=CARTESIAN_POINT('',(12.65,18.4219581845997,2.61591024987251)); #42510=CARTESIAN_POINT('',(12.65,18.4756552779194,2.63029066802652)); #42511=CARTESIAN_POINT('',(12.65,18.5899337072922,2.63029066802652)); #42512=CARTESIAN_POINT('',(12.65,18.6419785823559,2.61591024987251)); #42513=CARTESIAN_POINT('',(12.65,18.7379427798309,2.55830721945968)); #42514=CARTESIAN_POINT('',(12.65,18.775532891382,2.51891891891892)); #42515=CARTESIAN_POINT('',(12.65,18.8301218059569,2.42027901716169)); #42516=CARTESIAN_POINT('',(12.65,18.8435492095869,2.36807751147374)); #42517=CARTESIAN_POINT('',(12.65,18.8435492095869,2.25945945945946)); #42518=CARTESIAN_POINT('',(12.65,18.8306068332483,2.21081081081081)); #42519=CARTESIAN_POINT('',(12.65,18.8049974502805,2.16858745537991)); #42520=CARTESIAN_POINT('',(12.65,18.3721162672106,2.05843957164712)); #42521=CARTESIAN_POINT('',(12.65,18.3192452830189,2.08873023967364)); #42522=CARTESIAN_POINT('',(12.65,18.2795920448751,2.12667006629271)); #42523=CARTESIAN_POINT('',(12.65,18.2265872848229,2.21685177610366)); #42524=CARTESIAN_POINT('',(12.65,18.2135033146354,2.2652728199898)); #42525=CARTESIAN_POINT('',(12.65,18.2135033146354,2.36930137684855)); #42526=CARTESIAN_POINT('',(12.65,18.2275471698113,2.42009178990311)); #42527=CARTESIAN_POINT('',(12.65,18.283722590515,2.51983681795003)); #42528=CARTESIAN_POINT('',(12.65,18.3228250892402,2.55900050994391)); #42529=CARTESIAN_POINT('',(12.65,18.4219581845997,2.61591024987251)); #42530=CARTESIAN_POINT('',(12.65,18.4756552779194,2.63029066802652)); #42531=CARTESIAN_POINT('',(12.65,18.5899337072922,2.63029066802652)); #42532=CARTESIAN_POINT('',(12.65,18.6419785823559,2.61591024987251)); #42533=CARTESIAN_POINT('',(12.65,18.7379427798309,2.55830721945968)); #42534=CARTESIAN_POINT('',(12.65,18.775532891382,2.51891891891892)); #42535=CARTESIAN_POINT('',(12.65,18.8301218059569,2.42027901716169)); #42536=CARTESIAN_POINT('',(12.65,18.8435492095869,2.36807751147374)); #42537=CARTESIAN_POINT('',(12.65,18.8435492095869,2.25945945945946)); #42538=CARTESIAN_POINT('',(12.65,18.8306068332483,2.21081081081081)); #42539=CARTESIAN_POINT('',(12.65,18.8049974502805,2.16858745537991)); #42540=CARTESIAN_POINT('',(12.7,18.3856093829679,2.17134115247323)); #42541=CARTESIAN_POINT('',(12.7,18.4274655787863,2.12819989801122)); #42542=CARTESIAN_POINT('',(12.7,18.4762060173381,2.10647628760836)); #42543=CARTESIAN_POINT('',(12.7,18.5679041305456,2.10647628760836)); #42544=CARTESIAN_POINT('',(12.7,18.6014992350841,2.11626721060683)); #42545=CARTESIAN_POINT('',(12.7,18.6651850926351,2.15530834933536)); #42546=CARTESIAN_POINT('',(12.7,18.690168281489,2.18235594084651)); #42547=CARTESIAN_POINT('',(12.7,18.7259068457139,2.25016757552962)); #42548=CARTESIAN_POINT('',(12.7,18.7347781744008,2.28424273329934)); #42549=CARTESIAN_POINT('',(12.7,18.7347781744008,2.36715961244263)); #42550=CARTESIAN_POINT('',(12.7,18.7171545130036,2.41152473227945)); #42551=CARTESIAN_POINT('',(12.7,18.6819071902091,2.45252422233554)); #42552=CARTESIAN_POINT('',(12.65,18.3856093829679,2.17134115247323)); #42553=CARTESIAN_POINT('',(12.65,18.4274655787863,2.12819989801122)); #42554=CARTESIAN_POINT('',(12.65,18.4762060173381,2.10647628760836)); #42555=CARTESIAN_POINT('',(12.65,18.5679041305456,2.10647628760836)); #42556=CARTESIAN_POINT('',(12.65,18.6014992350841,2.11626721060683)); #42557=CARTESIAN_POINT('',(12.65,18.6651850926351,2.15530834933536)); #42558=CARTESIAN_POINT('',(12.65,18.690168281489,2.18235594084651)); #42559=CARTESIAN_POINT('',(12.65,18.7259068457139,2.25016757552962)); #42560=CARTESIAN_POINT('',(12.65,18.7347781744008,2.28424273329934)); #42561=CARTESIAN_POINT('',(12.65,18.7347781744008,2.36715961244263)); #42562=CARTESIAN_POINT('',(12.65,18.7171545130036,2.41152473227945)); #42563=CARTESIAN_POINT('',(12.65,18.6819071902091,2.45252422233554)); #42564=CARTESIAN_POINT('',(12.65,18.3856093829679,2.17134115247323)); #42565=CARTESIAN_POINT('',(12.65,18.4274655787863,2.12819989801122)); #42566=CARTESIAN_POINT('',(12.65,18.4762060173381,2.10647628760836)); #42567=CARTESIAN_POINT('',(12.65,18.5679041305456,2.10647628760836)); #42568=CARTESIAN_POINT('',(12.65,18.6014992350841,2.11626721060683)); #42569=CARTESIAN_POINT('',(12.65,18.6651850926351,2.15530834933536)); #42570=CARTESIAN_POINT('',(12.65,18.690168281489,2.18235594084651)); #42571=CARTESIAN_POINT('',(12.65,18.7259068457139,2.25016757552962)); #42572=CARTESIAN_POINT('',(12.65,18.7347781744008,2.28424273329934)); #42573=CARTESIAN_POINT('',(12.65,18.7347781744008,2.36715961244263)); #42574=CARTESIAN_POINT('',(12.65,18.7171545130036,2.41152473227945)); #42575=CARTESIAN_POINT('',(12.65,18.6819071902091,2.45252422233554)); #42576=CARTESIAN_POINT('',(12.65,18.7566700662927,2.10915349311576)); #42577=CARTESIAN_POINT('',(12.7,10.25,0.)); #42578=CARTESIAN_POINT('',(12.7,10.25,0.)); #42579=CARTESIAN_POINT('',(12.65,17.3860173380928,2.48679245283019)); #42580=CARTESIAN_POINT('',(12.65,17.3860173380928,2.48679245283019)); #42581=CARTESIAN_POINT('',(12.65,17.3860173380928,2.48679245283019)); #42582=CARTESIAN_POINT('',(12.65,17.3860173380928,2.52452830188679)); #42583=CARTESIAN_POINT('',(12.65,17.3860173380928,2.5622641509434)); #42584=CARTESIAN_POINT('',(12.65,17.3860173380928,2.6)); #42585=CARTESIAN_POINT('',(12.65,17.3860173380928,2.6)); #42586=CARTESIAN_POINT('',(12.65,17.3860173380928,2.6)); #42587=CARTESIAN_POINT('',(12.65,17.3860173380928,2.48679245283019)); #42588=CARTESIAN_POINT('',(12.65,17.3860173380928,2.6)); #42589=CARTESIAN_POINT('',(12.65,17.6143906170321,2.6)); #42590=CARTESIAN_POINT('',(12.65,17.8427638959714,2.6)); #42591=CARTESIAN_POINT('',(12.65,18.0711371749108,2.6)); #42592=CARTESIAN_POINT('',(12.65,18.0711371749108,2.6)); #42593=CARTESIAN_POINT('',(12.65,18.0711371749108,2.6)); #42594=CARTESIAN_POINT('',(12.65,17.3860173380928,2.6)); #42595=CARTESIAN_POINT('',(12.65,18.0711371749108,2.6)); #42596=CARTESIAN_POINT('',(12.65,17.8784701682815,2.18990311065783)); #42597=CARTESIAN_POINT('',(12.65,17.6858031616522,1.77980622131566)); #42598=CARTESIAN_POINT('',(12.65,17.4931361550229,1.36970933197348)); #42599=CARTESIAN_POINT('',(12.65,17.4931361550229,1.36970933197348)); #42600=CARTESIAN_POINT('',(12.65,17.4931361550229,1.36970933197348)); #42601=CARTESIAN_POINT('',(12.65,18.0711371749108,2.6)); #42602=CARTESIAN_POINT('',(12.65,17.4931361550229,1.36970933197348)); #42603=CARTESIAN_POINT('',(12.65,17.4635798062213,1.38663946965834)); #42604=CARTESIAN_POINT('',(12.65,17.4340234574197,1.40356960734319)); #42605=CARTESIAN_POINT('',(12.65,17.4044671086181,1.42049974502805)); #42606=CARTESIAN_POINT('',(12.65,17.4044671086181,1.42049974502805)); #42607=CARTESIAN_POINT('',(12.65,17.4044671086181,1.42049974502805)); #42608=CARTESIAN_POINT('',(12.65,17.4931361550229,1.36970933197348)); #42609=CARTESIAN_POINT('',(12.65,17.4044671086181,1.42049974502805)); #42610=CARTESIAN_POINT('',(12.65,17.5708822029577,1.77593064762876)); #42611=CARTESIAN_POINT('',(12.65,17.7372972972973,2.13136155022947)); #42612=CARTESIAN_POINT('',(12.65,17.9037123916369,2.48679245283019)); #42613=CARTESIAN_POINT('',(12.65,17.9037123916369,2.48679245283019)); #42614=CARTESIAN_POINT('',(12.65,17.9037123916369,2.48679245283019)); #42615=CARTESIAN_POINT('',(12.65,17.4044671086181,1.42049974502805)); #42616=CARTESIAN_POINT('',(12.65,17.9037123916369,2.48679245283019)); #42617=CARTESIAN_POINT('',(12.65,17.7311473737889,2.48679245283019)); #42618=CARTESIAN_POINT('',(12.65,17.5585823559408,2.48679245283019)); #42619=CARTESIAN_POINT('',(12.65,17.3860173380928,2.48679245283019)); #42620=CARTESIAN_POINT('',(12.65,17.9037123916369,2.48679245283019)); #42621=CARTESIAN_POINT('',(12.65,17.3860173380928,2.54339622641509)); #42622=CARTESIAN_POINT('',(12.7,16.745782763896,1.89535951045385)); #42623=CARTESIAN_POINT('',(12.7,16.8101274859765,1.80499745028047)); #42624=CARTESIAN_POINT('',(12.7,16.8744722080571,1.71463539010709)); #42625=CARTESIAN_POINT('',(12.7,16.9388169301377,1.62427332993371)); #42626=CARTESIAN_POINT('',(12.7,16.745782763896,1.89535951045385)); #42627=CARTESIAN_POINT('',(12.7,16.9388169301377,1.62427332993371)); #42628=CARTESIAN_POINT('',(12.65,16.745782763896,1.89535951045385)); #42629=CARTESIAN_POINT('',(12.65,16.745782763896,1.89535951045385)); #42630=CARTESIAN_POINT('',(12.65,16.745782763896,1.89535951045385)); #42631=CARTESIAN_POINT('',(12.65,16.8101274859765,1.80499745028047)); #42632=CARTESIAN_POINT('',(12.65,16.8744722080571,1.71463539010709)); #42633=CARTESIAN_POINT('',(12.65,16.9388169301377,1.62427332993371)); #42634=CARTESIAN_POINT('',(12.65,16.9388169301377,1.62427332993371)); #42635=CARTESIAN_POINT('',(12.65,16.9388169301377,1.62427332993371)); #42636=CARTESIAN_POINT('',(12.65,16.745782763896,1.89535951045385)); #42637=CARTESIAN_POINT('',(12.7,16.9388169301377,1.62427332993371)); #42638=CARTESIAN_POINT('',(12.7,17.0327180010199,1.72616012238654)); #42639=CARTESIAN_POINT('',(12.7,17.0798062213157,1.85130035696073)); #42640=CARTESIAN_POINT('',(12.7,17.0798062213157,2.09576746557879)); #42641=CARTESIAN_POINT('',(12.7,17.0599796022438,2.1817440081591)); #42642=CARTESIAN_POINT('',(12.7,16.9799971065301,2.33558007271179)); #42643=CARTESIAN_POINT('',(12.7,16.9239469658338,2.39714431412545)); #42644=CARTESIAN_POINT('',(12.7,16.7791024987251,2.48954614992351)); #42645=CARTESIAN_POINT('',(12.7,16.7025497195309,2.51279959204488)); #42646=CARTESIAN_POINT('',(12.7,16.541733809281,2.51279959204488)); #42647=CARTESIAN_POINT('',(12.7,16.465456399796,2.48985211626721)); #42648=CARTESIAN_POINT('',(12.7,16.3224808663339,2.39784726847948)); #42649=CARTESIAN_POINT('',(12.7,16.2666394696583,2.33564507904131)); #42650=CARTESIAN_POINT('',(12.7,16.1867256519362,2.17826690927436)); #42651=CARTESIAN_POINT('',(12.7,16.1664048954615,2.09087200407955)); #42652=CARTESIAN_POINT('',(12.7,16.1664048954615,1.85221825599184)); #42653=CARTESIAN_POINT('',(12.7,16.2107394186639,1.73197348291688)); #42654=CARTESIAN_POINT('',(12.7,16.3879539619854,1.53567994114264)); #42655=CARTESIAN_POINT('',(12.7,16.4949209586945,1.48658847526772)); #42656=CARTESIAN_POINT('',(12.7,16.7058541560428,1.48658847526772)); #42657=CARTESIAN_POINT('',(12.7,16.7840591534931,1.50953595104539)); #42658=CARTESIAN_POINT('',(12.7,16.8551045385008,1.55573686894442)); #42659=CARTESIAN_POINT('',(12.7,16.8551045385008,1.55573686894442)); #42660=CARTESIAN_POINT('',(12.65,16.9388169301377,1.62427332993371)); #42661=CARTESIAN_POINT('',(12.65,17.0327180010199,1.72616012238654)); #42662=CARTESIAN_POINT('',(12.65,17.0798062213157,1.85130035696073)); #42663=CARTESIAN_POINT('',(12.65,17.0798062213157,2.09576746557879)); #42664=CARTESIAN_POINT('',(12.65,17.0599796022438,2.1817440081591)); #42665=CARTESIAN_POINT('',(12.65,16.9799971065301,2.33558007271179)); #42666=CARTESIAN_POINT('',(12.65,16.9239469658338,2.39714431412545)); #42667=CARTESIAN_POINT('',(12.65,16.7791024987251,2.48954614992351)); #42668=CARTESIAN_POINT('',(12.65,16.7025497195309,2.51279959204488)); #42669=CARTESIAN_POINT('',(12.65,16.541733809281,2.51279959204488)); #42670=CARTESIAN_POINT('',(12.65,16.465456399796,2.48985211626721)); #42671=CARTESIAN_POINT('',(12.65,16.3224808663339,2.39784726847948)); #42672=CARTESIAN_POINT('',(12.65,16.2666394696583,2.33564507904131)); #42673=CARTESIAN_POINT('',(12.65,16.1867256519362,2.17826690927436)); #42674=CARTESIAN_POINT('',(12.65,16.1664048954615,2.09087200407955)); #42675=CARTESIAN_POINT('',(12.65,16.1664048954615,1.85221825599184)); #42676=CARTESIAN_POINT('',(12.65,16.2107394186639,1.73197348291688)); #42677=CARTESIAN_POINT('',(12.65,16.3879539619854,1.53567994114264)); #42678=CARTESIAN_POINT('',(12.65,16.4949209586945,1.48658847526772)); #42679=CARTESIAN_POINT('',(12.65,16.7058541560428,1.48658847526772)); #42680=CARTESIAN_POINT('',(12.65,16.7840591534931,1.50953595104539)); #42681=CARTESIAN_POINT('',(12.65,16.8551045385008,1.55573686894442)); #42682=CARTESIAN_POINT('',(12.65,16.8551045385008,1.55573686894442)); #42683=CARTESIAN_POINT('',(12.65,16.8551045385008,1.55573686894442)); #42684=CARTESIAN_POINT('',(12.65,16.9388169301377,1.62427332993371)); #42685=CARTESIAN_POINT('',(12.65,17.0327180010199,1.72616012238654)); #42686=CARTESIAN_POINT('',(12.65,17.0798062213157,1.85130035696073)); #42687=CARTESIAN_POINT('',(12.65,17.0798062213157,2.09576746557879)); #42688=CARTESIAN_POINT('',(12.65,17.0599796022438,2.1817440081591)); #42689=CARTESIAN_POINT('',(12.65,16.9799971065301,2.33558007271179)); #42690=CARTESIAN_POINT('',(12.65,16.9239469658338,2.39714431412545)); #42691=CARTESIAN_POINT('',(12.65,16.7791024987251,2.48954614992351)); #42692=CARTESIAN_POINT('',(12.65,16.7025497195309,2.51279959204488)); #42693=CARTESIAN_POINT('',(12.65,16.541733809281,2.51279959204488)); #42694=CARTESIAN_POINT('',(12.65,16.465456399796,2.48985211626721)); #42695=CARTESIAN_POINT('',(12.65,16.3224808663339,2.39784726847948)); #42696=CARTESIAN_POINT('',(12.65,16.2666394696583,2.33564507904131)); #42697=CARTESIAN_POINT('',(12.65,16.1867256519362,2.17826690927436)); #42698=CARTESIAN_POINT('',(12.65,16.1664048954615,2.09087200407955)); #42699=CARTESIAN_POINT('',(12.65,16.1664048954615,1.85221825599184)); #42700=CARTESIAN_POINT('',(12.65,16.2107394186639,1.73197348291688)); #42701=CARTESIAN_POINT('',(12.65,16.3879539619854,1.53567994114264)); #42702=CARTESIAN_POINT('',(12.65,16.4949209586945,1.48658847526772)); #42703=CARTESIAN_POINT('',(12.65,16.7058541560428,1.48658847526772)); #42704=CARTESIAN_POINT('',(12.65,16.7840591534931,1.50953595104539)); #42705=CARTESIAN_POINT('',(12.65,16.8551045385008,1.55573686894442)); #42706=CARTESIAN_POINT('',(12.7,16.8551045385008,1.55573686894442)); #42707=CARTESIAN_POINT('',(12.7,16.7748801631821,1.66894441611423)); #42708=CARTESIAN_POINT('',(12.7,16.6946557878633,1.78215196328404)); #42709=CARTESIAN_POINT('',(12.7,16.6144314125446,1.89535951045385)); #42710=CARTESIAN_POINT('',(12.7,16.6144314125446,1.89535951045385)); #42711=CARTESIAN_POINT('',(12.65,16.8551045385008,1.55573686894442)); #42712=CARTESIAN_POINT('',(12.65,16.7748801631821,1.66894441611423)); #42713=CARTESIAN_POINT('',(12.65,16.6946557878633,1.78215196328404)); #42714=CARTESIAN_POINT('',(12.65,16.6144314125446,1.89535951045385)); #42715=CARTESIAN_POINT('',(12.65,16.6144314125446,1.89535951045385)); #42716=CARTESIAN_POINT('',(12.65,16.6144314125446,1.89535951045385)); #42717=CARTESIAN_POINT('',(12.65,16.8551045385008,1.55573686894442)); #42718=CARTESIAN_POINT('',(12.65,17.1461703212647,1.74604793472718)); #42719=CARTESIAN_POINT('',(12.65,17.1461703212647,1.74604793472718)); #42720=CARTESIAN_POINT('',(12.65,17.1461703212647,1.74604793472718)); #42721=CARTESIAN_POINT('',(12.65,17.1161550229475,1.66925038245793)); #42722=CARTESIAN_POINT('',(12.65,17.069342172361,1.59734829168791)); #42723=CARTESIAN_POINT('',(12.65,17.0057317695054,1.53064762876084)); #42724=CARTESIAN_POINT('',(12.65,17.0057317695054,1.53064762876084)); #42725=CARTESIAN_POINT('',(12.65,17.0057317695054,1.53064762876084)); #42726=CARTESIAN_POINT('',(12.65,17.1461703212647,1.74604793472718)); #42727=CARTESIAN_POINT('',(12.65,17.1161550229475,1.66925038245793)); #42728=CARTESIAN_POINT('',(12.65,17.069342172361,1.59734829168791)); #42729=CARTESIAN_POINT('',(12.65,17.0057317695054,1.53064762876084)); #42730=CARTESIAN_POINT('',(12.65,17.0057317695054,1.53064762876084)); #42731=CARTESIAN_POINT('',(12.65,17.0590617032126,1.45558388577257)); #42732=CARTESIAN_POINT('',(12.65,17.1123916369199,1.38052014278429)); #42733=CARTESIAN_POINT('',(12.65,17.1657215706272,1.30545639979602)); #42734=CARTESIAN_POINT('',(12.65,17.1657215706272,1.30545639979602)); #42735=CARTESIAN_POINT('',(12.65,17.1657215706272,1.30545639979602)); #42736=CARTESIAN_POINT('',(12.65,17.0057317695054,1.53064762876084)); #42737=CARTESIAN_POINT('',(12.65,17.1657215706272,1.30545639979602)); #42738=CARTESIAN_POINT('',(12.65,17.1213870474248,1.30545639979602)); #42739=CARTESIAN_POINT('',(12.65,17.0770525242223,1.30545639979602)); #42740=CARTESIAN_POINT('',(12.65,17.0327180010199,1.30545639979602)); #42741=CARTESIAN_POINT('',(12.65,17.0327180010199,1.30545639979602)); #42742=CARTESIAN_POINT('',(12.65,17.0327180010199,1.30545639979602)); #42743=CARTESIAN_POINT('',(12.65,17.1657215706272,1.30545639979602)); #42744=CARTESIAN_POINT('',(12.65,17.0327180010199,1.30545639979602)); #42745=CARTESIAN_POINT('',(12.65,16.9960020397756,1.35706272310046)); #42746=CARTESIAN_POINT('',(12.65,16.9592860785314,1.4086690464049)); #42747=CARTESIAN_POINT('',(12.65,16.9225701172871,1.46027536970933)); #42748=CARTESIAN_POINT('',(12.65,16.9225701172871,1.46027536970933)); #42749=CARTESIAN_POINT('',(12.65,16.9225701172871,1.46027536970933)); #42750=CARTESIAN_POINT('',(12.65,17.0327180010199,1.30545639979602)); #42751=CARTESIAN_POINT('',(12.65,16.9225701172871,1.46027536970933)); #42752=CARTESIAN_POINT('',(12.65,16.8344518103009,1.4)); #42753=CARTESIAN_POINT('',(12.65,16.7353187149414,1.36970933197348)); #42754=CARTESIAN_POINT('',(12.65,16.464630290668,1.36970933197348)); #42755=CARTESIAN_POINT('',(12.65,16.329423763386,1.43059663437022)); #42756=CARTESIAN_POINT('',(12.65,16.1092800876961,1.67458920825993)); #42757=CARTESIAN_POINT('',(12.65,16.0540540540541,1.82162162162162)); #42758=CARTESIAN_POINT('',(12.65,16.0540540540541,2.11014788373279)); #42759=CARTESIAN_POINT('',(12.65,16.0793880673126,2.21693013768485)); #42760=CARTESIAN_POINT('',(12.65,16.1801733809281,2.41458439571647)); #42761=CARTESIAN_POINT('',(12.65,16.2487404385518,2.49168791432942)); #42762=CARTESIAN_POINT('',(12.65,16.4225781973583,2.60232305618593)); #42763=CARTESIAN_POINT('',(12.65,16.5177766445691,2.63029066802652)); #42764=CARTESIAN_POINT('',(12.65,16.7818561958185,2.63029066802652)); #42765=CARTESIAN_POINT('',(12.65,16.9167873533911,2.56940336562978)); #42766=CARTESIAN_POINT('',(12.65,17.136532381438,2.32646608873024)); #42767=CARTESIAN_POINT('',(12.65,17.1913309535951,2.17684854665987)); #42768=CARTESIAN_POINT('',(12.65,17.1913309535951,1.90729219785824)); #42769=CARTESIAN_POINT('',(12.65,17.1764609892912,1.82253952065273)); #42770=CARTESIAN_POINT('',(12.65,17.1461703212647,1.74604793472718)); #42771=CARTESIAN_POINT('',(12.65,16.9225701172871,1.46027536970933)); #42772=CARTESIAN_POINT('',(12.65,16.8344518103009,1.4)); #42773=CARTESIAN_POINT('',(12.65,16.7353187149414,1.36970933197348)); #42774=CARTESIAN_POINT('',(12.65,16.464630290668,1.36970933197348)); #42775=CARTESIAN_POINT('',(12.65,16.329423763386,1.43059663437022)); #42776=CARTESIAN_POINT('',(12.65,16.1092800876961,1.67458920825993)); #42777=CARTESIAN_POINT('',(12.65,16.0540540540541,1.82162162162162)); #42778=CARTESIAN_POINT('',(12.65,16.0540540540541,2.11014788373279)); #42779=CARTESIAN_POINT('',(12.65,16.0793880673126,2.21693013768485)); #42780=CARTESIAN_POINT('',(12.65,16.1801733809281,2.41458439571647)); #42781=CARTESIAN_POINT('',(12.65,16.2487404385518,2.49168791432942)); #42782=CARTESIAN_POINT('',(12.65,16.4225781973583,2.60232305618593)); #42783=CARTESIAN_POINT('',(12.65,16.5177766445691,2.63029066802652)); #42784=CARTESIAN_POINT('',(12.65,16.7818561958185,2.63029066802652)); #42785=CARTESIAN_POINT('',(12.65,16.9167873533911,2.56940336562978)); #42786=CARTESIAN_POINT('',(12.65,17.136532381438,2.32646608873024)); #42787=CARTESIAN_POINT('',(12.65,17.1913309535951,2.17684854665987)); #42788=CARTESIAN_POINT('',(12.65,17.1913309535951,1.90729219785824)); #42789=CARTESIAN_POINT('',(12.65,17.1764609892912,1.82253952065273)); #42790=CARTESIAN_POINT('',(12.65,17.1461703212647,1.74604793472718)); #42791=CARTESIAN_POINT('',(12.7,16.6144314125446,1.89535951045385)); #42792=CARTESIAN_POINT('',(12.7,16.6582151963284,1.89535951045385)); #42793=CARTESIAN_POINT('',(12.7,16.7019989801122,1.89535951045385)); #42794=CARTESIAN_POINT('',(12.7,16.745782763896,1.89535951045385)); #42795=CARTESIAN_POINT('',(12.65,16.6144314125446,1.89535951045385)); #42796=CARTESIAN_POINT('',(12.65,16.6582151963284,1.89535951045385)); #42797=CARTESIAN_POINT('',(12.65,16.7019989801122,1.89535951045385)); #42798=CARTESIAN_POINT('',(12.65,16.745782763896,1.89535951045385)); #42799=CARTESIAN_POINT('',(12.65,16.6144314125446,1.89535951045385)); #42800=CARTESIAN_POINT('',(12.65,17.0885492095869,1.63456144824069)); #42801=CARTESIAN_POINT('',(12.7,10.25,0.)); #42802=CARTESIAN_POINT('',(12.65,15.3587455379908,2.08689444161142)); #42803=CARTESIAN_POINT('',(12.65,15.3587455379908,2.08689444161142)); #42804=CARTESIAN_POINT('',(12.65,15.3587455379908,2.08689444161142)); #42805=CARTESIAN_POINT('',(12.65,15.4679755226925,2.26802651708312)); #42806=CARTESIAN_POINT('',(12.65,15.5772055073942,2.44915859255482)); #42807=CARTESIAN_POINT('',(12.65,15.6864354920959,2.63029066802652)); #42808=CARTESIAN_POINT('',(12.65,15.6864354920959,2.63029066802652)); #42809=CARTESIAN_POINT('',(12.65,15.6864354920959,2.63029066802652)); #42810=CARTESIAN_POINT('',(12.65,15.3587455379908,2.08689444161142)); #42811=CARTESIAN_POINT('',(12.65,15.6864354920959,2.63029066802652)); #42812=CARTESIAN_POINT('',(12.65,15.7159918408975,2.60877103518613)); #42813=CARTESIAN_POINT('',(12.65,15.7455481896991,2.58725140234574)); #42814=CARTESIAN_POINT('',(12.65,15.7751045385008,2.56573176950535)); #42815=CARTESIAN_POINT('',(12.65,15.7751045385008,2.56573176950535)); #42816=CARTESIAN_POINT('',(12.65,15.7751045385008,2.56573176950535)); #42817=CARTESIAN_POINT('',(12.65,15.6864354920959,2.63029066802652)); #42818=CARTESIAN_POINT('',(12.65,15.7751045385008,2.56573176950535)); #42819=CARTESIAN_POINT('',(12.65,15.6779908210097,2.40203977562468)); #42820=CARTESIAN_POINT('',(12.65,15.5808771035186,2.23834778174401)); #42821=CARTESIAN_POINT('',(12.65,15.4837633860275,2.07465578786333)); #42822=CARTESIAN_POINT('',(12.65,15.4837633860275,2.07465578786333)); #42823=CARTESIAN_POINT('',(12.65,15.4837633860275,2.07465578786333)); #42824=CARTESIAN_POINT('',(12.65,15.7751045385008,2.56573176950535)); #42825=CARTESIAN_POINT('',(12.65,15.4837633860275,2.07465578786333)); #42826=CARTESIAN_POINT('',(12.65,15.5228658847527,2.08934217236104)); #42827=CARTESIAN_POINT('',(12.65,15.5594900560938,2.09668536460989)); #42828=CARTESIAN_POINT('',(12.65,15.6795512493626,2.09668536460989)); #42829=CARTESIAN_POINT('',(12.65,15.7522488526262,2.06241713411525)); #42830=CARTESIAN_POINT('',(12.65,15.8716411394077,1.924868416625)); #42831=CARTESIAN_POINT('',(12.65,15.9014992350841,1.83997960224375)); #42832=CARTESIAN_POINT('',(12.65,15.9014992350841,1.67016828148904)); #42833=CARTESIAN_POINT('',(12.65,15.8869046404895,1.60775114737379)); #42834=CARTESIAN_POINT('',(12.65,15.8295699240831,1.49626697658349)); #42835=CARTESIAN_POINT('',(12.65,15.7883222845487,1.45201427842937)); #42836=CARTESIAN_POINT('',(12.65,15.6818924845016,1.38645303142283)); #42837=CARTESIAN_POINT('',(12.65,15.6247526772055,1.36970933197348)); #42838=CARTESIAN_POINT('',(12.65,15.5044161142274,1.36970933197348)); #42839=CARTESIAN_POINT('',(12.65,15.4496175420704,1.385619581846)); #42840=CARTESIAN_POINT('',(12.65,15.3482814890362,1.44926058133605)); #42841=CARTESIAN_POINT('',(12.65,15.3083528811831,1.49393166751657)); #42842=CARTESIAN_POINT('',(12.65,15.2506475637309,1.60934230242098)); #42843=CARTESIAN_POINT('',(12.65,15.2362060173381,1.67108618052014)); #42844=CARTESIAN_POINT('',(12.65,15.2362060173381,1.78582355940847)); #42845=CARTESIAN_POINT('',(12.65,15.2450178480367,1.83753187149414)); #42846=CARTESIAN_POINT('',(12.65,15.2809075170997,1.94550762799119)); #42847=CARTESIAN_POINT('',(12.65,15.3127587965324,2.01070882202958)); #42848=CARTESIAN_POINT('',(12.65,15.3587455379908,2.08689444161142)); #42849=CARTESIAN_POINT('',(12.65,15.4837633860275,2.07465578786333)); #42850=CARTESIAN_POINT('',(12.65,15.5228658847527,2.08934217236104)); #42851=CARTESIAN_POINT('',(12.65,15.5594900560938,2.09668536460989)); #42852=CARTESIAN_POINT('',(12.65,15.6795512493626,2.09668536460989)); #42853=CARTESIAN_POINT('',(12.65,15.7522488526262,2.06241713411525)); #42854=CARTESIAN_POINT('',(12.65,15.8716411394077,1.924868416625)); #42855=CARTESIAN_POINT('',(12.65,15.9014992350841,1.83997960224375)); #42856=CARTESIAN_POINT('',(12.65,15.9014992350841,1.67016828148904)); #42857=CARTESIAN_POINT('',(12.65,15.8869046404895,1.60775114737379)); #42858=CARTESIAN_POINT('',(12.65,15.8295699240831,1.49626697658349)); #42859=CARTESIAN_POINT('',(12.65,15.7883222845487,1.45201427842937)); #42860=CARTESIAN_POINT('',(12.65,15.6818924845016,1.38645303142283)); #42861=CARTESIAN_POINT('',(12.65,15.6247526772055,1.36970933197348)); #42862=CARTESIAN_POINT('',(12.65,15.5044161142274,1.36970933197348)); #42863=CARTESIAN_POINT('',(12.65,15.4496175420704,1.385619581846)); #42864=CARTESIAN_POINT('',(12.65,15.3482814890362,1.44926058133605)); #42865=CARTESIAN_POINT('',(12.65,15.3083528811831,1.49393166751657)); #42866=CARTESIAN_POINT('',(12.65,15.2506475637309,1.60934230242098)); #42867=CARTESIAN_POINT('',(12.65,15.2362060173381,1.67108618052014)); #42868=CARTESIAN_POINT('',(12.65,15.2362060173381,1.78582355940847)); #42869=CARTESIAN_POINT('',(12.65,15.2450178480367,1.83753187149414)); #42870=CARTESIAN_POINT('',(12.65,15.2809075170997,1.94550762799119)); #42871=CARTESIAN_POINT('',(12.65,15.3127587965324,2.01070882202958)); #42872=CARTESIAN_POINT('',(12.65,15.3587455379908,2.08689444161142)); #42873=CARTESIAN_POINT('',(12.65,15.7285670576237,1.91769505354411)); #42874=CARTESIAN_POINT('',(12.65,15.6842325344212,1.96695563488016)); #42875=CARTESIAN_POINT('',(12.65,15.6308108108108,1.99143294237634)); #42876=CARTESIAN_POINT('',(12.65,15.5055175930648,1.99143294237634)); #42877=CARTESIAN_POINT('',(12.65,15.451820499745,1.96695563488016)); #42878=CARTESIAN_POINT('',(12.65,15.3635795969578,1.86829740329165)); #42879=CARTESIAN_POINT('',(12.65,15.3411218765936,1.80877103518613)); #42880=CARTESIAN_POINT('',(12.65,15.3411218765936,1.66894441611423)); #42881=CARTESIAN_POINT('',(12.65,15.3634268230495,1.609586945436)); #42882=CARTESIAN_POINT('',(12.65,15.4519728497353,1.5112024713406)); #42883=CARTESIAN_POINT('',(12.65,15.5055175930648,1.48658847526772)); #42884=CARTESIAN_POINT('',(12.65,15.6308108108108,1.48658847526772)); #42885=CARTESIAN_POINT('',(12.65,15.6842325344212,1.5110657827639)); #42886=CARTESIAN_POINT('',(12.65,15.7729015808261,1.609586945436)); #42887=CARTESIAN_POINT('',(12.65,15.7949311575727,1.66894441611423)); #42888=CARTESIAN_POINT('',(12.65,15.7949311575727,1.80877103518613)); #42889=CARTESIAN_POINT('',(12.65,15.7729015808261,1.86843447220806)); #42890=CARTESIAN_POINT('',(12.65,15.7285670576237,1.91769505354411)); #42891=CARTESIAN_POINT('',(12.65,15.7285670576237,1.91769505354411)); #42892=CARTESIAN_POINT('',(12.7,15.7285670576237,1.91769505354411)); #42893=CARTESIAN_POINT('',(12.7,15.6842325344212,1.96695563488016)); #42894=CARTESIAN_POINT('',(12.7,15.6308108108108,1.99143294237634)); #42895=CARTESIAN_POINT('',(12.7,15.5055175930648,1.99143294237634)); #42896=CARTESIAN_POINT('',(12.7,15.451820499745,1.96695563488016)); #42897=CARTESIAN_POINT('',(12.7,15.3635795969578,1.86829740329165)); #42898=CARTESIAN_POINT('',(12.7,15.3411218765936,1.80877103518613)); #42899=CARTESIAN_POINT('',(12.7,15.3411218765936,1.66894441611423)); #42900=CARTESIAN_POINT('',(12.7,15.3634268230495,1.609586945436)); #42901=CARTESIAN_POINT('',(12.7,15.4519728497353,1.5112024713406)); #42902=CARTESIAN_POINT('',(12.7,15.5055175930648,1.48658847526772)); #42903=CARTESIAN_POINT('',(12.7,15.6308108108108,1.48658847526772)); #42904=CARTESIAN_POINT('',(12.7,15.6842325344212,1.5110657827639)); #42905=CARTESIAN_POINT('',(12.7,15.7729015808261,1.609586945436)); #42906=CARTESIAN_POINT('',(12.7,15.7949311575727,1.66894441611423)); #42907=CARTESIAN_POINT('',(12.7,15.7949311575727,1.80877103518613)); #42908=CARTESIAN_POINT('',(12.7,15.7729015808261,1.86843447220806)); #42909=CARTESIAN_POINT('',(12.7,15.7285670576237,1.91769505354411)); #42910=CARTESIAN_POINT('',(12.7,15.7285670576237,1.91769505354411)); #42911=CARTESIAN_POINT('',(12.65,15.7285670576237,1.91769505354411)); #42912=CARTESIAN_POINT('',(12.65,15.6842325344212,1.96695563488016)); #42913=CARTESIAN_POINT('',(12.65,15.6308108108108,1.99143294237634)); #42914=CARTESIAN_POINT('',(12.65,15.5055175930648,1.99143294237634)); #42915=CARTESIAN_POINT('',(12.65,15.451820499745,1.96695563488016)); #42916=CARTESIAN_POINT('',(12.65,15.3635795969578,1.86829740329165)); #42917=CARTESIAN_POINT('',(12.65,15.3411218765936,1.80877103518613)); #42918=CARTESIAN_POINT('',(12.65,15.3411218765936,1.66894441611423)); #42919=CARTESIAN_POINT('',(12.65,15.3634268230495,1.609586945436)); #42920=CARTESIAN_POINT('',(12.65,15.4519728497353,1.5112024713406)); #42921=CARTESIAN_POINT('',(12.65,15.5055175930648,1.48658847526772)); #42922=CARTESIAN_POINT('',(12.65,15.6308108108108,1.48658847526772)); #42923=CARTESIAN_POINT('',(12.65,15.6842325344212,1.5110657827639)); #42924=CARTESIAN_POINT('',(12.65,15.7729015808261,1.609586945436)); #42925=CARTESIAN_POINT('',(12.65,15.7949311575727,1.66894441611423)); #42926=CARTESIAN_POINT('',(12.65,15.7949311575727,1.80877103518613)); #42927=CARTESIAN_POINT('',(12.65,15.7729015808261,1.86843447220806)); #42928=CARTESIAN_POINT('',(12.65,15.7285670576237,1.91769505354411)); #42929=CARTESIAN_POINT('',(12.65,15.7459676380828,1.42619092337721)); #42930=CARTESIAN_POINT('',(12.7,10.25,0.)); #42931=CARTESIAN_POINT('',(12.65,14.573391126976,2.6)); #42932=CARTESIAN_POINT('',(12.65,14.573391126976,2.6)); #42933=CARTESIAN_POINT('',(12.65,14.573391126976,2.6)); #42934=CARTESIAN_POINT('',(12.65,14.7292503824579,2.6)); #42935=CARTESIAN_POINT('',(12.65,14.8851096379398,2.6)); #42936=CARTESIAN_POINT('',(12.65,15.0409688934217,2.6)); #42937=CARTESIAN_POINT('',(12.65,15.0409688934217,2.6)); #42938=CARTESIAN_POINT('',(12.65,15.0409688934217,2.6)); #42939=CARTESIAN_POINT('',(12.65,14.573391126976,2.6)); #42940=CARTESIAN_POINT('',(12.65,15.0409688934217,2.6)); #42941=CARTESIAN_POINT('',(12.65,15.0409688934217,2.56195818459969)); #42942=CARTESIAN_POINT('',(12.65,15.0409688934217,2.52391636919939)); #42943=CARTESIAN_POINT('',(12.65,15.0409688934217,2.48587455379908)); #42944=CARTESIAN_POINT('',(12.65,15.0409688934217,2.48587455379908)); #42945=CARTESIAN_POINT('',(12.65,15.0409688934217,2.48587455379908)); #42946=CARTESIAN_POINT('',(12.65,15.0409688934217,2.6)); #42947=CARTESIAN_POINT('',(12.65,15.0409688934217,2.48587455379908)); #42948=CARTESIAN_POINT('',(12.65,14.9127383987761,2.48587455379908)); #42949=CARTESIAN_POINT('',(12.65,14.7845079041305,2.48587455379908)); #42950=CARTESIAN_POINT('',(12.65,14.656277409485,2.48587455379908)); #42951=CARTESIAN_POINT('',(12.65,14.656277409485,2.48587455379908)); #42952=CARTESIAN_POINT('',(12.65,14.656277409485,2.48587455379908)); #42953=CARTESIAN_POINT('',(12.65,15.0409688934217,2.48587455379908)); #42954=CARTESIAN_POINT('',(12.65,14.656277409485,2.48587455379908)); #42955=CARTESIAN_POINT('',(12.65,14.6383783783784,2.37684854665987)); #42956=CARTESIAN_POINT('',(12.65,14.6204793472718,2.26782253952065)); #42957=CARTESIAN_POINT('',(12.65,14.6025803161652,2.15879653238144)); #42958=CARTESIAN_POINT('',(12.65,14.6025803161652,2.15879653238144)); #42959=CARTESIAN_POINT('',(12.65,14.6025803161652,2.15879653238144)); #42960=CARTESIAN_POINT('',(12.65,14.656277409485,2.48587455379908)); #42961=CARTESIAN_POINT('',(12.65,14.6025803161652,2.15879653238144)); #42962=CARTESIAN_POINT('',(12.65,14.649668536461,2.17409484956655)); #42963=CARTESIAN_POINT('',(12.65,14.6920754716981,2.1814380418154)); #42964=CARTESIAN_POINT('',(12.65,14.8281081081081,2.1814380418154)); #42965=CARTESIAN_POINT('',(12.65,14.909342172361,2.14502804691484)); #42966=CARTESIAN_POINT('',(12.65,15.0371137174911,1.99877613462519)); #42967=CARTESIAN_POINT('',(12.65,15.0690566037736,1.90484446710862)); #42968=CARTESIAN_POINT('',(12.65,15.0690566037736,1.71177970423253)); #42969=CARTESIAN_POINT('',(12.65,15.0525344212137,1.63957164711882)); #42970=CARTESIAN_POINT('',(12.65,14.9869964303927,1.50800611932687)); #42971=CARTESIAN_POINT('',(12.65,14.9423865374809,1.45752167261601)); #42972=CARTESIAN_POINT('',(12.65,14.8305260931669,1.38711143125804)); #42973=CARTESIAN_POINT('',(12.65,14.7655991840897,1.36970933197348)); #42974=CARTESIAN_POINT('',(12.65,14.6045079041305,1.36970933197348)); #42975=CARTESIAN_POINT('',(12.65,14.5307088220296,1.3996940336563)); #42976=CARTESIAN_POINT('',(12.65,14.4100830808015,1.51842256637191)); #42977=CARTESIAN_POINT('',(12.65,14.374298827129,1.5958184599694)); #42978=CARTESIAN_POINT('',(12.65,14.3624579296277,1.69036206017338)); #42979=CARTESIAN_POINT('',(12.65,14.3624579296277,1.69036206017338)); #42980=CARTESIAN_POINT('',(12.65,14.3624579296277,1.69036206017338)); #42981=CARTESIAN_POINT('',(12.65,14.6025803161652,2.15879653238144)); #42982=CARTESIAN_POINT('',(12.65,14.649668536461,2.17409484956655)); #42983=CARTESIAN_POINT('',(12.65,14.6920754716981,2.1814380418154)); #42984=CARTESIAN_POINT('',(12.65,14.8281081081081,2.1814380418154)); #42985=CARTESIAN_POINT('',(12.65,14.909342172361,2.14502804691484)); #42986=CARTESIAN_POINT('',(12.65,15.0371137174911,1.99877613462519)); #42987=CARTESIAN_POINT('',(12.65,15.0690566037736,1.90484446710862)); #42988=CARTESIAN_POINT('',(12.65,15.0690566037736,1.71177970423253)); #42989=CARTESIAN_POINT('',(12.65,15.0525344212137,1.63957164711882)); #42990=CARTESIAN_POINT('',(12.65,14.9869964303927,1.50800611932687)); #42991=CARTESIAN_POINT('',(12.65,14.9423865374809,1.45752167261601)); #42992=CARTESIAN_POINT('',(12.65,14.8305260931669,1.38711143125804)); #42993=CARTESIAN_POINT('',(12.65,14.7655991840897,1.36970933197348)); #42994=CARTESIAN_POINT('',(12.65,14.6045079041305,1.36970933197348)); #42995=CARTESIAN_POINT('',(12.65,14.5307088220296,1.3996940336563)); #42996=CARTESIAN_POINT('',(12.65,14.4100830808015,1.51842256637191)); #42997=CARTESIAN_POINT('',(12.65,14.374298827129,1.5958184599694)); #42998=CARTESIAN_POINT('',(12.65,14.3624579296277,1.69036206017338)); #42999=CARTESIAN_POINT('',(12.65,14.3624579296277,1.69036206017338)); #43000=CARTESIAN_POINT('',(12.65,14.3994492605813,1.69036206017338)); #43001=CARTESIAN_POINT('',(12.65,14.4364405915349,1.69036206017338)); #43002=CARTESIAN_POINT('',(12.65,14.4734319224885,1.69036206017338)); #43003=CARTESIAN_POINT('',(12.65,14.4734319224885,1.69036206017338)); #43004=CARTESIAN_POINT('',(12.65,14.4734319224885,1.69036206017338)); #43005=CARTESIAN_POINT('',(12.65,14.3624579296277,1.69036206017338)); #43006=CARTESIAN_POINT('',(12.65,14.4734319224885,1.69036206017338)); #43007=CARTESIAN_POINT('',(12.65,14.4816930137685,1.64416114227435)); #43008=CARTESIAN_POINT('',(12.65,14.4957368689444,1.60652728199898)); #43009=CARTESIAN_POINT('',(12.65,14.5353901070882,1.54900560938297)); #43010=CARTESIAN_POINT('',(12.65,14.5618255991841,1.5257521672616)); #43011=CARTESIAN_POINT('',(12.65,14.6279143294238,1.49026007139215)); #43012=CARTESIAN_POINT('',(12.65,14.6626109127996,1.48169301376849)); #43013=CARTESIAN_POINT('',(12.65,14.7697297297297,1.48169301376849)); #43014=CARTESIAN_POINT('',(12.65,14.8308618052014,1.5113717491076)); #43015=CARTESIAN_POINT('',(12.65,14.9324822051259,1.63099696463428)); #43016=CARTESIAN_POINT('',(12.65,14.9580826109128,1.70596634370219)); #43017=CARTESIAN_POINT('',(12.65,14.9580826109128,1.87669556348802)); #43018=CARTESIAN_POINT('',(12.65,14.9349515553289,1.94247832738399)); #43019=CARTESIAN_POINT('',(12.65,14.8428255442343,2.04361466690902)); #43020=CARTESIAN_POINT('',(12.65,14.781570627231,2.06884242733299)); #43021=CARTESIAN_POINT('',(12.65,14.6411320754717,2.06884242733299)); #43022=CARTESIAN_POINT('',(12.65,14.5640285568587,2.04742478327384)); #43023=CARTESIAN_POINT('',(12.65,14.4734319224885,2.00458949515553)); #43024=CARTESIAN_POINT('',(12.65,14.4734319224885,2.00458949515553)); #43025=CARTESIAN_POINT('',(12.65,14.4734319224885,2.00458949515553)); #43026=CARTESIAN_POINT('',(12.65,14.4734319224885,1.69036206017338)); #43027=CARTESIAN_POINT('',(12.65,14.4816930137685,1.64416114227435)); #43028=CARTESIAN_POINT('',(12.65,14.4957368689444,1.60652728199898)); #43029=CARTESIAN_POINT('',(12.65,14.5353901070882,1.54900560938297)); #43030=CARTESIAN_POINT('',(12.65,14.5618255991841,1.5257521672616)); #43031=CARTESIAN_POINT('',(12.65,14.6279143294238,1.49026007139215)); #43032=CARTESIAN_POINT('',(12.65,14.6626109127996,1.48169301376849)); #43033=CARTESIAN_POINT('',(12.65,14.7697297297297,1.48169301376849)); #43034=CARTESIAN_POINT('',(12.65,14.8308618052014,1.5113717491076)); #43035=CARTESIAN_POINT('',(12.65,14.9324822051259,1.63099696463428)); #43036=CARTESIAN_POINT('',(12.65,14.9580826109128,1.70596634370219)); #43037=CARTESIAN_POINT('',(12.65,14.9580826109128,1.87669556348802)); #43038=CARTESIAN_POINT('',(12.65,14.9349515553289,1.94247832738399)); #43039=CARTESIAN_POINT('',(12.65,14.8428255442343,2.04361466690902)); #43040=CARTESIAN_POINT('',(12.65,14.781570627231,2.06884242733299)); #43041=CARTESIAN_POINT('',(12.65,14.6411320754717,2.06884242733299)); #43042=CARTESIAN_POINT('',(12.65,14.5640285568587,2.04742478327384)); #43043=CARTESIAN_POINT('',(12.65,14.4734319224885,2.00458949515553)); #43044=CARTESIAN_POINT('',(12.65,14.4734319224885,2.00458949515553)); #43045=CARTESIAN_POINT('',(12.65,14.5067516573177,2.20305966343702)); #43046=CARTESIAN_POINT('',(12.65,14.5400713921469,2.40152983171851)); #43047=CARTESIAN_POINT('',(12.65,14.573391126976,2.6)); #43048=CARTESIAN_POINT('',(12.65,14.4734319224885,2.00458949515553)); #43049=CARTESIAN_POINT('',(12.65,15.0218968729163,1.57812398156552)); #43050=CARTESIAN_POINT('',(12.7,13.704875063743,1.79408465068842)); #43051=CARTESIAN_POINT('',(12.7,13.8108006119327,1.79408465068842)); #43052=CARTESIAN_POINT('',(12.7,13.9167261601224,1.79408465068842)); #43053=CARTESIAN_POINT('',(12.7,14.0226517083121,1.79408465068842)); #43054=CARTESIAN_POINT('',(12.7,13.704875063743,1.79408465068842)); #43055=CARTESIAN_POINT('',(12.7,14.0226517083121,1.79408465068842)); #43056=CARTESIAN_POINT('',(12.65,13.704875063743,1.79408465068842)); #43057=CARTESIAN_POINT('',(12.65,13.704875063743,1.79408465068842)); #43058=CARTESIAN_POINT('',(12.65,13.704875063743,1.79408465068842)); #43059=CARTESIAN_POINT('',(12.65,13.8108006119327,1.79408465068842)); #43060=CARTESIAN_POINT('',(12.65,13.9167261601224,1.79408465068842)); #43061=CARTESIAN_POINT('',(12.65,14.0226517083121,1.79408465068842)); #43062=CARTESIAN_POINT('',(12.65,14.0226517083121,1.79408465068842)); #43063=CARTESIAN_POINT('',(12.65,14.0226517083121,1.79408465068842)); #43064=CARTESIAN_POINT('',(12.65,13.704875063743,1.79408465068842)); #43065=CARTESIAN_POINT('',(12.7,14.0226517083121,1.79408465068842)); #43066=CARTESIAN_POINT('',(12.7,14.0226517083121,1.96073431922489)); #43067=CARTESIAN_POINT('',(12.7,14.0226517083121,2.12738398776135)); #43068=CARTESIAN_POINT('',(12.7,14.0226517083121,2.29403365629781)); #43069=CARTESIAN_POINT('',(12.7,14.0226517083121,2.29403365629781)); #43070=CARTESIAN_POINT('',(12.65,14.0226517083121,1.79408465068842)); #43071=CARTESIAN_POINT('',(12.65,14.0226517083121,1.96073431922489)); #43072=CARTESIAN_POINT('',(12.65,14.0226517083121,2.12738398776135)); #43073=CARTESIAN_POINT('',(12.65,14.0226517083121,2.29403365629781)); #43074=CARTESIAN_POINT('',(12.65,14.0226517083121,2.29403365629781)); #43075=CARTESIAN_POINT('',(12.65,14.0226517083121,2.29403365629781)); #43076=CARTESIAN_POINT('',(12.65,14.0226517083121,1.79408465068842)); #43077=CARTESIAN_POINT('',(12.65,13.506608873024,1.6790413054564)); #43078=CARTESIAN_POINT('',(12.65,13.506608873024,1.6790413054564)); #43079=CARTESIAN_POINT('',(12.65,13.506608873024,1.6790413054564)); #43080=CARTESIAN_POINT('',(12.65,13.7072616012239,1.99612442631311)); #43081=CARTESIAN_POINT('',(12.65,13.9079143294238,2.31320754716981)); #43082=CARTESIAN_POINT('',(12.65,14.1085670576237,2.63029066802652)); #43083=CARTESIAN_POINT('',(12.65,14.1085670576237,2.63029066802652)); #43084=CARTESIAN_POINT('',(12.65,14.1085670576237,2.63029066802652)); #43085=CARTESIAN_POINT('',(12.65,13.506608873024,1.6790413054564)); #43086=CARTESIAN_POINT('',(12.65,14.1085670576237,2.63029066802652)); #43087=CARTESIAN_POINT('',(12.65,14.1159102498725,2.63029066802652)); #43088=CARTESIAN_POINT('',(12.65,14.1232534421214,2.63029066802652)); #43089=CARTESIAN_POINT('',(12.65,14.1305966343702,2.63029066802652)); #43090=CARTESIAN_POINT('',(12.65,14.1305966343702,2.63029066802652)); #43091=CARTESIAN_POINT('',(12.65,14.1305966343702,2.63029066802652)); #43092=CARTESIAN_POINT('',(12.65,14.1085670576237,2.63029066802652)); #43093=CARTESIAN_POINT('',(12.65,14.1305966343702,2.63029066802652)); #43094=CARTESIAN_POINT('',(12.65,14.1305966343702,2.35155532891382)); #43095=CARTESIAN_POINT('',(12.65,14.1305966343702,2.07281998980112)); #43096=CARTESIAN_POINT('',(12.65,14.1305966343702,1.79408465068842)); #43097=CARTESIAN_POINT('',(12.65,14.1305966343702,1.79408465068842)); #43098=CARTESIAN_POINT('',(12.65,14.1305966343702,1.79408465068842)); #43099=CARTESIAN_POINT('',(12.65,14.1305966343702,2.63029066802652)); #43100=CARTESIAN_POINT('',(12.65,14.1305966343702,1.79408465068842)); #43101=CARTESIAN_POINT('',(12.65,14.1741968383478,1.79408465068842)); #43102=CARTESIAN_POINT('',(12.65,14.2177970423253,1.79408465068842)); #43103=CARTESIAN_POINT('',(12.65,14.2613972463029,1.79408465068842)); #43104=CARTESIAN_POINT('',(12.65,14.2613972463029,1.79408465068842)); #43105=CARTESIAN_POINT('',(12.65,14.2613972463029,1.79408465068842)); #43106=CARTESIAN_POINT('',(12.65,14.1305966343702,1.79408465068842)); #43107=CARTESIAN_POINT('',(12.65,14.2613972463029,1.79408465068842)); #43108=CARTESIAN_POINT('',(12.65,14.2613972463029,1.75573686894442)); #43109=CARTESIAN_POINT('',(12.65,14.2613972463029,1.71738908720041)); #43110=CARTESIAN_POINT('',(12.65,14.2613972463029,1.6790413054564)); #43111=CARTESIAN_POINT('',(12.65,14.2613972463029,1.6790413054564)); #43112=CARTESIAN_POINT('',(12.65,14.2613972463029,1.6790413054564)); #43113=CARTESIAN_POINT('',(12.65,14.2613972463029,1.79408465068842)); #43114=CARTESIAN_POINT('',(12.65,14.2613972463029,1.6790413054564)); #43115=CARTESIAN_POINT('',(12.65,14.2177970423253,1.6790413054564)); #43116=CARTESIAN_POINT('',(12.65,14.1741968383478,1.6790413054564)); #43117=CARTESIAN_POINT('',(12.65,14.1305966343702,1.6790413054564)); #43118=CARTESIAN_POINT('',(12.65,14.1305966343702,1.6790413054564)); #43119=CARTESIAN_POINT('',(12.65,14.1305966343702,1.6790413054564)); #43120=CARTESIAN_POINT('',(12.65,14.2613972463029,1.6790413054564)); #43121=CARTESIAN_POINT('',(12.65,14.1305966343702,1.6790413054564)); #43122=CARTESIAN_POINT('',(12.65,14.1305966343702,1.58602753697093)); #43123=CARTESIAN_POINT('',(12.65,14.1305966343702,1.49301376848547)); #43124=CARTESIAN_POINT('',(12.65,14.1305966343702,1.4)); #43125=CARTESIAN_POINT('',(12.65,14.1305966343702,1.4)); #43126=CARTESIAN_POINT('',(12.65,14.1305966343702,1.4)); #43127=CARTESIAN_POINT('',(12.65,14.1305966343702,1.6790413054564)); #43128=CARTESIAN_POINT('',(12.65,14.1305966343702,1.4)); #43129=CARTESIAN_POINT('',(12.65,14.0946149923508,1.4)); #43130=CARTESIAN_POINT('',(12.65,14.0586333503315,1.4)); #43131=CARTESIAN_POINT('',(12.65,14.0226517083121,1.4)); #43132=CARTESIAN_POINT('',(12.65,14.0226517083121,1.4)); #43133=CARTESIAN_POINT('',(12.65,14.0226517083121,1.4)); #43134=CARTESIAN_POINT('',(12.65,14.1305966343702,1.4)); #43135=CARTESIAN_POINT('',(12.65,14.0226517083121,1.4)); #43136=CARTESIAN_POINT('',(12.65,14.0226517083121,1.49301376848547)); #43137=CARTESIAN_POINT('',(12.65,14.0226517083121,1.58602753697093)); #43138=CARTESIAN_POINT('',(12.65,14.0226517083121,1.6790413054564)); #43139=CARTESIAN_POINT('',(12.65,14.0226517083121,1.6790413054564)); #43140=CARTESIAN_POINT('',(12.65,14.0226517083121,1.6790413054564)); #43141=CARTESIAN_POINT('',(12.65,14.0226517083121,1.4)); #43142=CARTESIAN_POINT('',(12.65,14.0226517083121,1.6790413054564)); #43143=CARTESIAN_POINT('',(12.65,13.8506374298827,1.6790413054564)); #43144=CARTESIAN_POINT('',(12.65,13.6786231514533,1.6790413054564)); #43145=CARTESIAN_POINT('',(12.65,13.506608873024,1.6790413054564)); #43146=CARTESIAN_POINT('',(12.65,14.0226517083121,1.6790413054564)); #43147=CARTESIAN_POINT('',(12.7,14.0226517083121,2.29403365629781)); #43148=CARTESIAN_POINT('',(12.7,13.9167261601224,2.12738398776135)); #43149=CARTESIAN_POINT('',(12.7,13.8108006119327,1.96073431922489)); #43150=CARTESIAN_POINT('',(12.7,13.704875063743,1.79408465068842)); #43151=CARTESIAN_POINT('',(12.65,14.0226517083121,2.29403365629781)); #43152=CARTESIAN_POINT('',(12.65,13.9167261601224,2.12738398776135)); #43153=CARTESIAN_POINT('',(12.65,13.8108006119327,1.96073431922489)); #43154=CARTESIAN_POINT('',(12.65,13.704875063743,1.79408465068842)); #43155=CARTESIAN_POINT('',(12.65,14.0226517083121,2.29403365629781)); #43156=CARTESIAN_POINT('',(12.65,13.8075879653238,2.15466598674146)); #43157=CARTESIAN_POINT('',(12.7,10.25,0.)); #43158=CARTESIAN_POINT('',(12.7,11.774212136665,2.30504844467109)); #43159=CARTESIAN_POINT('',(12.7,11.7521825599184,2.23590005099439)); #43160=CARTESIAN_POINT('',(12.7,11.7411677715451,2.13309535951045)); #43161=CARTESIAN_POINT('',(12.7,11.7411677715451,1.86170321264661)); #43162=CARTESIAN_POINT('',(12.7,11.7521825599184,1.75920448750637)); #43163=CARTESIAN_POINT('',(12.7,11.7957785799158,1.62062923406868)); #43164=CARTESIAN_POINT('',(12.7,11.8279092299847,1.5679755226925)); #43165=CARTESIAN_POINT('',(12.7,11.9130347261758,1.49611633759613)); #43166=CARTESIAN_POINT('',(12.7,11.9581591024987,1.47832738398776)); #43167=CARTESIAN_POINT('',(12.7,12.0534370219276,1.47832738398776)); #43168=CARTESIAN_POINT('',(12.7,12.0983222845487,1.49576746557879)); #43169=CARTESIAN_POINT('',(12.7,12.181333445683,1.56601237750254)); #43170=CARTESIAN_POINT('',(12.7,12.2131514533401,1.61876593574707)); #43171=CARTESIAN_POINT('',(12.7,12.234630290668,1.68883222845487)); #43172=CARTESIAN_POINT('',(12.7,11.774212136665,2.30504844467109)); #43173=CARTESIAN_POINT('',(12.7,12.234630290668,1.68883222845487)); #43174=CARTESIAN_POINT('',(12.65,11.774212136665,2.30504844467109)); #43175=CARTESIAN_POINT('',(12.65,11.774212136665,2.30504844467109)); #43176=CARTESIAN_POINT('',(12.65,11.774212136665,2.30504844467109)); #43177=CARTESIAN_POINT('',(12.65,11.7521825599184,2.23590005099439)); #43178=CARTESIAN_POINT('',(12.65,11.7411677715451,2.13309535951045)); #43179=CARTESIAN_POINT('',(12.65,11.7411677715451,1.86170321264661)); #43180=CARTESIAN_POINT('',(12.65,11.7521825599184,1.75920448750637)); #43181=CARTESIAN_POINT('',(12.65,11.7957785799158,1.62062923406868)); #43182=CARTESIAN_POINT('',(12.65,11.8279092299847,1.5679755226925)); #43183=CARTESIAN_POINT('',(12.65,11.9130347261758,1.49611633759613)); #43184=CARTESIAN_POINT('',(12.65,11.9581591024987,1.47832738398776)); #43185=CARTESIAN_POINT('',(12.65,12.0534370219276,1.47832738398776)); #43186=CARTESIAN_POINT('',(12.65,12.0983222845487,1.49576746557879)); #43187=CARTESIAN_POINT('',(12.65,12.181333445683,1.56601237750254)); #43188=CARTESIAN_POINT('',(12.65,12.2131514533401,1.61876593574707)); #43189=CARTESIAN_POINT('',(12.65,12.234630290668,1.68883222845487)); #43190=CARTESIAN_POINT('',(12.65,12.234630290668,1.68883222845487)); #43191=CARTESIAN_POINT('',(12.65,12.234630290668,1.68883222845487)); #43192=CARTESIAN_POINT('',(12.65,11.774212136665,2.30504844467109)); #43193=CARTESIAN_POINT('',(12.65,11.7521825599184,2.23590005099439)); #43194=CARTESIAN_POINT('',(12.65,11.7411677715451,2.13309535951045)); #43195=CARTESIAN_POINT('',(12.65,11.7411677715451,1.86170321264661)); #43196=CARTESIAN_POINT('',(12.65,11.7521825599184,1.75920448750637)); #43197=CARTESIAN_POINT('',(12.65,11.7957785799158,1.62062923406868)); #43198=CARTESIAN_POINT('',(12.65,11.8279092299847,1.5679755226925)); #43199=CARTESIAN_POINT('',(12.65,11.9130347261758,1.49611633759613)); #43200=CARTESIAN_POINT('',(12.65,11.9581591024987,1.47832738398776)); #43201=CARTESIAN_POINT('',(12.65,12.0534370219276,1.47832738398776)); #43202=CARTESIAN_POINT('',(12.65,12.0983222845487,1.49576746557879)); #43203=CARTESIAN_POINT('',(12.65,12.181333445683,1.56601237750254)); #43204=CARTESIAN_POINT('',(12.65,12.2131514533401,1.61876593574707)); #43205=CARTESIAN_POINT('',(12.65,12.234630290668,1.68883222845487)); #43206=CARTESIAN_POINT('',(12.65,11.6858184599694,1.63284038755737)); #43207=CARTESIAN_POINT('',(12.65,11.6547016828149,1.72004079551249)); #43208=CARTESIAN_POINT('',(12.65,11.639005609383,1.84242733299337)); #43209=CARTESIAN_POINT('',(12.65,11.639005609383,2.15787863335033)); #43210=CARTESIAN_POINT('',(12.65,11.6544263131056,2.28026517083121)); #43211=CARTESIAN_POINT('',(12.65,11.7154623678713,2.45317011075828)); #43212=CARTESIAN_POINT('',(12.65,11.7587914329424,2.51891891891892)); #43213=CARTESIAN_POINT('',(12.65,11.8705724973735,2.60763404941977)); #43214=CARTESIAN_POINT('',(12.65,11.9328250892402,2.63029066802652)); #43215=CARTESIAN_POINT('',(12.65,12.0718867924528,2.63029066802652)); #43216=CARTESIAN_POINT('',(12.65,12.1352218255992,2.60795512493626)); #43217=CARTESIAN_POINT('',(12.65,12.248674145844,2.51800101988781)); #43218=CARTESIAN_POINT('',(12.65,12.2938347781744,2.45068842427333)); #43219=CARTESIAN_POINT('',(12.65,12.3599235084141,2.27139214686384)); #43220=CARTESIAN_POINT('',(12.65,12.376445690974,2.15084140744518)); #43221=CARTESIAN_POINT('',(12.65,12.376445690974,1.84885262621112)); #43222=CARTESIAN_POINT('',(12.65,12.3599235084141,1.72891381947986)); #43223=CARTESIAN_POINT('',(12.65,12.2948376992372,1.55057134800658)); #43224=CARTESIAN_POINT('',(12.65,12.2500509943906,1.483222845487)); #43225=CARTESIAN_POINT('',(12.65,12.1353480314672,1.39253887907318)); #43226=CARTESIAN_POINT('',(12.65,12.0718867924528,1.36970933197348)); #43227=CARTESIAN_POINT('',(12.65,11.9328250892402,1.36970933197348)); #43228=CARTESIAN_POINT('',(12.65,11.8705915349312,1.39173890872004)); #43229=CARTESIAN_POINT('',(12.65,11.7598929117797,1.47985721570627)); #43230=CARTESIAN_POINT('',(12.65,11.7169352371239,1.54563997960224)); #43231=CARTESIAN_POINT('',(12.65,11.6858184599694,1.63284038755737)); #43232=CARTESIAN_POINT('',(12.65,11.6858184599694,1.63284038755737)); #43233=CARTESIAN_POINT('',(12.65,11.6858184599694,1.63284038755737)); #43234=CARTESIAN_POINT('',(12.65,11.6547016828149,1.72004079551249)); #43235=CARTESIAN_POINT('',(12.65,11.639005609383,1.84242733299337)); #43236=CARTESIAN_POINT('',(12.65,11.639005609383,2.15787863335033)); #43237=CARTESIAN_POINT('',(12.65,11.6544263131056,2.28026517083121)); #43238=CARTESIAN_POINT('',(12.65,11.7154623678713,2.45317011075828)); #43239=CARTESIAN_POINT('',(12.65,11.7587914329424,2.51891891891892)); #43240=CARTESIAN_POINT('',(12.65,11.8705724973735,2.60763404941977)); #43241=CARTESIAN_POINT('',(12.65,11.9328250892402,2.63029066802652)); #43242=CARTESIAN_POINT('',(12.65,12.0718867924528,2.63029066802652)); #43243=CARTESIAN_POINT('',(12.65,12.1352218255992,2.60795512493626)); #43244=CARTESIAN_POINT('',(12.65,12.248674145844,2.51800101988781)); #43245=CARTESIAN_POINT('',(12.65,12.2938347781744,2.45068842427333)); #43246=CARTESIAN_POINT('',(12.65,12.3599235084141,2.27139214686384)); #43247=CARTESIAN_POINT('',(12.65,12.376445690974,2.15084140744518)); #43248=CARTESIAN_POINT('',(12.65,12.376445690974,1.84885262621112)); #43249=CARTESIAN_POINT('',(12.65,12.3599235084141,1.72891381947986)); #43250=CARTESIAN_POINT('',(12.65,12.2948376992372,1.55057134800658)); #43251=CARTESIAN_POINT('',(12.65,12.2500509943906,1.483222845487)); #43252=CARTESIAN_POINT('',(12.65,12.1353480314672,1.39253887907318)); #43253=CARTESIAN_POINT('',(12.65,12.0718867924528,1.36970933197348)); #43254=CARTESIAN_POINT('',(12.65,11.9328250892402,1.36970933197348)); #43255=CARTESIAN_POINT('',(12.65,11.8705915349312,1.39173890872004)); #43256=CARTESIAN_POINT('',(12.65,11.7598929117797,1.47985721570627)); #43257=CARTESIAN_POINT('',(12.65,11.7169352371239,1.54563997960224)); #43258=CARTESIAN_POINT('',(12.65,11.6858184599694,1.63284038755737)); #43259=CARTESIAN_POINT('',(12.7,12.234630290668,1.68883222845487)); #43260=CARTESIAN_POINT('',(12.7,12.2616165221826,1.77419683834778)); #43261=CARTESIAN_POINT('',(12.7,12.2751096379398,1.87700152983172)); #43262=CARTESIAN_POINT('',(12.7,12.2751096379398,2.11779704232534)); #43263=CARTESIAN_POINT('',(12.7,12.2629933707292,2.21662417134115)); #43264=CARTESIAN_POINT('',(12.7,12.2150790413055,2.37083120856706)); #43265=CARTESIAN_POINT('',(12.7,12.1817593064763,2.42712901580826)); #43266=CARTESIAN_POINT('',(12.7,12.0973348904807,2.49824907576099)); #43267=CARTESIAN_POINT('',(12.7,12.0526109127996,2.5161652218256)); #43268=CARTESIAN_POINT('',(12.7,11.9576083630801,2.5161652218256)); #43269=CARTESIAN_POINT('',(12.7,11.9124477307496,2.49811320754717)); #43270=CARTESIAN_POINT('',(12.7,11.828184599694,2.42712901580826)); #43271=CARTESIAN_POINT('',(12.7,11.7962417134115,2.37450280469148)); #43272=CARTESIAN_POINT('',(12.7,11.774212136665,2.30504844467109)); #43273=CARTESIAN_POINT('',(12.65,12.234630290668,1.68883222845487)); #43274=CARTESIAN_POINT('',(12.65,12.2616165221826,1.77419683834778)); #43275=CARTESIAN_POINT('',(12.65,12.2751096379398,1.87700152983172)); #43276=CARTESIAN_POINT('',(12.65,12.2751096379398,2.11779704232534)); #43277=CARTESIAN_POINT('',(12.65,12.2629933707292,2.21662417134115)); #43278=CARTESIAN_POINT('',(12.65,12.2150790413055,2.37083120856706)); #43279=CARTESIAN_POINT('',(12.65,12.1817593064763,2.42712901580826)); #43280=CARTESIAN_POINT('',(12.65,12.0973348904807,2.49824907576099)); #43281=CARTESIAN_POINT('',(12.65,12.0526109127996,2.5161652218256)); #43282=CARTESIAN_POINT('',(12.65,11.9576083630801,2.5161652218256)); #43283=CARTESIAN_POINT('',(12.65,11.9124477307496,2.49811320754717)); #43284=CARTESIAN_POINT('',(12.65,11.828184599694,2.42712901580826)); #43285=CARTESIAN_POINT('',(12.65,11.7962417134115,2.37450280469148)); #43286=CARTESIAN_POINT('',(12.65,11.774212136665,2.30504844467109)); #43287=CARTESIAN_POINT('',(12.65,12.234630290668,1.68883222845487)); #43288=CARTESIAN_POINT('',(12.65,12.2616165221826,1.77419683834778)); #43289=CARTESIAN_POINT('',(12.65,12.2751096379398,1.87700152983172)); #43290=CARTESIAN_POINT('',(12.65,12.2751096379398,2.11779704232534)); #43291=CARTESIAN_POINT('',(12.65,12.2629933707292,2.21662417134115)); #43292=CARTESIAN_POINT('',(12.65,12.2150790413055,2.37083120856706)); #43293=CARTESIAN_POINT('',(12.65,12.1817593064763,2.42712901580826)); #43294=CARTESIAN_POINT('',(12.65,12.0973348904807,2.49824907576099)); #43295=CARTESIAN_POINT('',(12.65,12.0526109127996,2.5161652218256)); #43296=CARTESIAN_POINT('',(12.65,11.9576083630801,2.5161652218256)); #43297=CARTESIAN_POINT('',(12.65,11.9124477307496,2.49811320754717)); #43298=CARTESIAN_POINT('',(12.65,11.828184599694,2.42712901580826)); #43299=CARTESIAN_POINT('',(12.65,11.7962417134115,2.37450280469148)); #43300=CARTESIAN_POINT('',(12.65,11.774212136665,2.30504844467109)); #43301=CARTESIAN_POINT('',(12.65,12.3284456506765,2.35673276774211)); #43302=CARTESIAN_POINT('',(12.7,10.25,0.)); #43303=CARTESIAN_POINT('',(12.65,10.9935390107088,1.4)); #43304=CARTESIAN_POINT('',(12.65,10.9935390107088,1.4)); #43305=CARTESIAN_POINT('',(12.65,10.9935390107088,1.4)); #43306=CARTESIAN_POINT('',(12.65,10.9935390107088,1.8)); #43307=CARTESIAN_POINT('',(12.65,10.9935390107088,2.2)); #43308=CARTESIAN_POINT('',(12.65,10.9935390107088,2.6)); #43309=CARTESIAN_POINT('',(12.65,10.9935390107088,2.6)); #43310=CARTESIAN_POINT('',(12.65,10.9935390107088,2.6)); #43311=CARTESIAN_POINT('',(12.65,10.9935390107088,1.4)); #43312=CARTESIAN_POINT('',(12.65,10.9935390107088,2.6)); #43313=CARTESIAN_POINT('',(12.65,11.1739979602244,2.6)); #43314=CARTESIAN_POINT('',(12.65,11.3544569097399,2.6)); #43315=CARTESIAN_POINT('',(12.65,11.5349158592555,2.6)); #43316=CARTESIAN_POINT('',(12.65,11.5349158592555,2.6)); #43317=CARTESIAN_POINT('',(12.65,11.5349158592555,2.6)); #43318=CARTESIAN_POINT('',(12.65,10.9935390107088,2.6)); #43319=CARTESIAN_POINT('',(12.65,11.5349158592555,2.6)); #43320=CARTESIAN_POINT('',(12.65,11.5349158592555,2.56063233044365)); #43321=CARTESIAN_POINT('',(12.65,11.5349158592555,2.5212646608873)); #43322=CARTESIAN_POINT('',(12.65,11.5349158592555,2.48189699133095)); #43323=CARTESIAN_POINT('',(12.65,11.5349158592555,2.48189699133095)); #43324=CARTESIAN_POINT('',(12.65,11.5349158592555,2.48189699133095)); #43325=CARTESIAN_POINT('',(12.65,11.5349158592555,2.6)); #43326=CARTESIAN_POINT('',(12.65,11.5349158592555,2.48189699133095)); #43327=CARTESIAN_POINT('',(12.65,11.3902549719531,2.48189699133095)); #43328=CARTESIAN_POINT('',(12.65,11.2455940846507,2.48189699133095)); #43329=CARTESIAN_POINT('',(12.65,11.1009331973483,2.48189699133095)); #43330=CARTESIAN_POINT('',(12.65,11.1009331973483,2.48189699133095)); #43331=CARTESIAN_POINT('',(12.65,11.1009331973483,2.48189699133095)); #43332=CARTESIAN_POINT('',(12.65,11.5349158592555,2.48189699133095)); #43333=CARTESIAN_POINT('',(12.65,11.1009331973483,2.48189699133095)); #43334=CARTESIAN_POINT('',(12.65,11.1009331973483,2.35675675675676)); #43335=CARTESIAN_POINT('',(12.65,11.1009331973483,2.23161652218256)); #43336=CARTESIAN_POINT('',(12.65,11.1009331973483,2.10647628760836)); #43337=CARTESIAN_POINT('',(12.65,11.1009331973483,2.10647628760836)); #43338=CARTESIAN_POINT('',(12.65,11.1009331973483,2.10647628760836)); #43339=CARTESIAN_POINT('',(12.65,11.1009331973483,2.48189699133095)); #43340=CARTESIAN_POINT('',(12.65,11.1009331973483,2.10647628760836)); #43341=CARTESIAN_POINT('',(12.65,11.2455940846507,2.10647628760836)); #43342=CARTESIAN_POINT('',(12.65,11.3902549719531,2.10647628760836)); #43343=CARTESIAN_POINT('',(12.65,11.5349158592555,2.10647628760836)); #43344=CARTESIAN_POINT('',(12.65,11.5349158592555,2.10647628760836)); #43345=CARTESIAN_POINT('',(12.65,11.5349158592555,2.10647628760836)); #43346=CARTESIAN_POINT('',(12.65,11.1009331973483,2.10647628760836)); #43347=CARTESIAN_POINT('',(12.65,11.5349158592555,2.10647628760836)); #43348=CARTESIAN_POINT('',(12.65,11.5349158592555,2.06700662927078)); #43349=CARTESIAN_POINT('',(12.65,11.5349158592555,2.0275369709332)); #43350=CARTESIAN_POINT('',(12.65,11.5349158592555,1.98806731259561)); #43351=CARTESIAN_POINT('',(12.65,11.5349158592555,1.98806731259561)); #43352=CARTESIAN_POINT('',(12.65,11.5349158592555,1.98806731259561)); #43353=CARTESIAN_POINT('',(12.65,11.5349158592555,2.10647628760836)); #43354=CARTESIAN_POINT('',(12.65,11.5349158592555,1.98806731259561)); #43355=CARTESIAN_POINT('',(12.65,11.3902549719531,1.98806731259561)); #43356=CARTESIAN_POINT('',(12.65,11.2455940846507,1.98806731259561)); #43357=CARTESIAN_POINT('',(12.65,11.1009331973483,1.98806731259561)); #43358=CARTESIAN_POINT('',(12.65,11.1009331973483,1.98806731259561)); #43359=CARTESIAN_POINT('',(12.65,11.1009331973483,1.98806731259561)); #43360=CARTESIAN_POINT('',(12.65,11.5349158592555,1.98806731259561)); #43361=CARTESIAN_POINT('',(12.65,11.1009331973483,1.98806731259561)); #43362=CARTESIAN_POINT('',(12.65,11.1009331973483,1.79204487506374)); #43363=CARTESIAN_POINT('',(12.65,11.1009331973483,1.59602243753187)); #43364=CARTESIAN_POINT('',(12.65,11.1009331973483,1.4)); #43365=CARTESIAN_POINT('',(12.65,11.1009331973483,1.4)); #43366=CARTESIAN_POINT('',(12.65,11.1009331973483,1.4)); #43367=CARTESIAN_POINT('',(12.65,11.1009331973483,1.98806731259561)); #43368=CARTESIAN_POINT('',(12.65,11.1009331973483,1.4)); #43369=CARTESIAN_POINT('',(12.65,11.0651351351351,1.4)); #43370=CARTESIAN_POINT('',(12.65,11.029337072922,1.4)); #43371=CARTESIAN_POINT('',(12.65,10.9935390107088,1.4)); #43372=CARTESIAN_POINT('',(12.65,11.1009331973483,1.4)); #43373=CARTESIAN_POINT('',(12.65,10.9935390107088,2.)); #43374=CARTESIAN_POINT('',(12.7,10.2654614992351,1.79408465068842)); #43375=CARTESIAN_POINT('',(12.7,10.3713870474248,1.79408465068842)); #43376=CARTESIAN_POINT('',(12.7,10.4773125956145,1.79408465068842)); #43377=CARTESIAN_POINT('',(12.7,10.5832381438042,1.79408465068842)); #43378=CARTESIAN_POINT('',(12.7,10.2654614992351,1.79408465068842)); #43379=CARTESIAN_POINT('',(12.7,10.5832381438042,1.79408465068842)); #43380=CARTESIAN_POINT('',(12.65,10.2654614992351,1.79408465068842)); #43381=CARTESIAN_POINT('',(12.65,10.2654614992351,1.79408465068842)); #43382=CARTESIAN_POINT('',(12.65,10.2654614992351,1.79408465068842)); #43383=CARTESIAN_POINT('',(12.65,10.3713870474248,1.79408465068842)); #43384=CARTESIAN_POINT('',(12.65,10.4773125956145,1.79408465068842)); #43385=CARTESIAN_POINT('',(12.65,10.5832381438042,1.79408465068842)); #43386=CARTESIAN_POINT('',(12.65,10.5832381438042,1.79408465068842)); #43387=CARTESIAN_POINT('',(12.65,10.5832381438042,1.79408465068842)); #43388=CARTESIAN_POINT('',(12.65,10.2654614992351,1.79408465068842)); #43389=CARTESIAN_POINT('',(12.7,10.5832381438042,1.79408465068842)); #43390=CARTESIAN_POINT('',(12.7,10.5832381438042,1.96073431922489)); #43391=CARTESIAN_POINT('',(12.7,10.5832381438042,2.12738398776135)); #43392=CARTESIAN_POINT('',(12.7,10.5832381438042,2.29403365629781)); #43393=CARTESIAN_POINT('',(12.7,10.5832381438042,2.29403365629781)); #43394=CARTESIAN_POINT('',(12.65,10.5832381438042,1.79408465068842)); #43395=CARTESIAN_POINT('',(12.65,10.5832381438042,1.96073431922489)); #43396=CARTESIAN_POINT('',(12.65,10.5832381438042,2.12738398776135)); #43397=CARTESIAN_POINT('',(12.65,10.5832381438042,2.29403365629781)); #43398=CARTESIAN_POINT('',(12.65,10.5832381438042,2.29403365629781)); #43399=CARTESIAN_POINT('',(12.65,10.5832381438042,2.29403365629781)); #43400=CARTESIAN_POINT('',(12.65,10.5832381438042,1.79408465068842)); #43401=CARTESIAN_POINT('',(12.65,10.0671953085161,1.6790413054564)); #43402=CARTESIAN_POINT('',(12.65,10.0671953085161,1.6790413054564)); #43403=CARTESIAN_POINT('',(12.65,10.0671953085161,1.6790413054564)); #43404=CARTESIAN_POINT('',(12.65,10.267848036716,1.99612442631311)); #43405=CARTESIAN_POINT('',(12.65,10.4685007649159,2.31320754716981)); #43406=CARTESIAN_POINT('',(12.65,10.6691534931158,2.63029066802652)); #43407=CARTESIAN_POINT('',(12.65,10.6691534931158,2.63029066802652)); #43408=CARTESIAN_POINT('',(12.65,10.6691534931158,2.63029066802652)); #43409=CARTESIAN_POINT('',(12.65,10.0671953085161,1.6790413054564)); #43410=CARTESIAN_POINT('',(12.65,10.6691534931158,2.63029066802652)); #43411=CARTESIAN_POINT('',(12.65,10.6764966853646,2.63029066802652)); #43412=CARTESIAN_POINT('',(12.65,10.6838398776135,2.63029066802652)); #43413=CARTESIAN_POINT('',(12.65,10.6911830698623,2.63029066802652)); #43414=CARTESIAN_POINT('',(12.65,10.6911830698623,2.63029066802652)); #43415=CARTESIAN_POINT('',(12.65,10.6911830698623,2.63029066802652)); #43416=CARTESIAN_POINT('',(12.65,10.6691534931158,2.63029066802652)); #43417=CARTESIAN_POINT('',(12.65,10.6911830698623,2.63029066802652)); #43418=CARTESIAN_POINT('',(12.65,10.6911830698623,2.35155532891382)); #43419=CARTESIAN_POINT('',(12.65,10.6911830698623,2.07281998980112)); #43420=CARTESIAN_POINT('',(12.65,10.6911830698623,1.79408465068842)); #43421=CARTESIAN_POINT('',(12.65,10.6911830698623,1.79408465068842)); #43422=CARTESIAN_POINT('',(12.65,10.6911830698623,1.79408465068842)); #43423=CARTESIAN_POINT('',(12.65,10.6911830698623,2.63029066802652)); #43424=CARTESIAN_POINT('',(12.65,10.6911830698623,1.79408465068842)); #43425=CARTESIAN_POINT('',(12.65,10.7347832738399,1.79408465068842)); #43426=CARTESIAN_POINT('',(12.65,10.7783834778174,1.79408465068842)); #43427=CARTESIAN_POINT('',(12.65,10.821983681795,1.79408465068842)); #43428=CARTESIAN_POINT('',(12.65,10.821983681795,1.79408465068842)); #43429=CARTESIAN_POINT('',(12.65,10.821983681795,1.79408465068842)); #43430=CARTESIAN_POINT('',(12.65,10.6911830698623,1.79408465068842)); #43431=CARTESIAN_POINT('',(12.65,10.821983681795,1.79408465068842)); #43432=CARTESIAN_POINT('',(12.65,10.821983681795,1.75573686894442)); #43433=CARTESIAN_POINT('',(12.65,10.821983681795,1.71738908720041)); #43434=CARTESIAN_POINT('',(12.65,10.821983681795,1.6790413054564)); #43435=CARTESIAN_POINT('',(12.65,10.821983681795,1.6790413054564)); #43436=CARTESIAN_POINT('',(12.65,10.821983681795,1.6790413054564)); #43437=CARTESIAN_POINT('',(12.65,10.821983681795,1.79408465068842)); #43438=CARTESIAN_POINT('',(12.65,10.821983681795,1.6790413054564)); #43439=CARTESIAN_POINT('',(12.65,10.7783834778174,1.6790413054564)); #43440=CARTESIAN_POINT('',(12.65,10.7347832738399,1.6790413054564)); #43441=CARTESIAN_POINT('',(12.65,10.6911830698623,1.6790413054564)); #43442=CARTESIAN_POINT('',(12.65,10.6911830698623,1.6790413054564)); #43443=CARTESIAN_POINT('',(12.65,10.6911830698623,1.6790413054564)); #43444=CARTESIAN_POINT('',(12.65,10.821983681795,1.6790413054564)); #43445=CARTESIAN_POINT('',(12.65,10.6911830698623,1.6790413054564)); #43446=CARTESIAN_POINT('',(12.65,10.6911830698623,1.58602753697093)); #43447=CARTESIAN_POINT('',(12.65,10.6911830698623,1.49301376848547)); #43448=CARTESIAN_POINT('',(12.65,10.6911830698623,1.4)); #43449=CARTESIAN_POINT('',(12.65,10.6911830698623,1.4)); #43450=CARTESIAN_POINT('',(12.65,10.6911830698623,1.4)); #43451=CARTESIAN_POINT('',(12.65,10.6911830698623,1.6790413054564)); #43452=CARTESIAN_POINT('',(12.65,10.6911830698623,1.4)); #43453=CARTESIAN_POINT('',(12.65,10.6552014278429,1.4)); #43454=CARTESIAN_POINT('',(12.65,10.6192197858236,1.4)); #43455=CARTESIAN_POINT('',(12.65,10.5832381438042,1.4)); #43456=CARTESIAN_POINT('',(12.65,10.5832381438042,1.4)); #43457=CARTESIAN_POINT('',(12.65,10.5832381438042,1.4)); #43458=CARTESIAN_POINT('',(12.65,10.6911830698623,1.4)); #43459=CARTESIAN_POINT('',(12.65,10.5832381438042,1.4)); #43460=CARTESIAN_POINT('',(12.65,10.5832381438042,1.49301376848547)); #43461=CARTESIAN_POINT('',(12.65,10.5832381438042,1.58602753697093)); #43462=CARTESIAN_POINT('',(12.65,10.5832381438042,1.6790413054564)); #43463=CARTESIAN_POINT('',(12.65,10.5832381438042,1.6790413054564)); #43464=CARTESIAN_POINT('',(12.65,10.5832381438042,1.6790413054564)); #43465=CARTESIAN_POINT('',(12.65,10.5832381438042,1.4)); #43466=CARTESIAN_POINT('',(12.65,10.5832381438042,1.6790413054564)); #43467=CARTESIAN_POINT('',(12.65,10.4112238653748,1.6790413054564)); #43468=CARTESIAN_POINT('',(12.65,10.2392095869454,1.6790413054564)); #43469=CARTESIAN_POINT('',(12.65,10.0671953085161,1.6790413054564)); #43470=CARTESIAN_POINT('',(12.65,10.5832381438042,1.6790413054564)); #43471=CARTESIAN_POINT('',(12.7,10.5832381438042,2.29403365629781)); #43472=CARTESIAN_POINT('',(12.7,10.4773125956145,2.12738398776135)); #43473=CARTESIAN_POINT('',(12.7,10.3713870474248,1.96073431922489)); #43474=CARTESIAN_POINT('',(12.7,10.2654614992351,1.79408465068842)); #43475=CARTESIAN_POINT('',(12.65,10.5832381438042,2.29403365629781)); #43476=CARTESIAN_POINT('',(12.65,10.4773125956145,2.12738398776135)); #43477=CARTESIAN_POINT('',(12.65,10.3713870474248,1.96073431922489)); #43478=CARTESIAN_POINT('',(12.65,10.2654614992351,1.79408465068842)); #43479=CARTESIAN_POINT('',(12.65,10.5832381438042,2.29403365629781)); #43480=CARTESIAN_POINT('',(12.65,10.3681744008159,2.15466598674146)); #43481=CARTESIAN_POINT('',(12.7,10.25,0.)); #43482=CARTESIAN_POINT('',(12.65,8.83353901070882,1.4)); #43483=CARTESIAN_POINT('',(12.65,8.83353901070882,1.4)); #43484=CARTESIAN_POINT('',(12.65,8.83353901070882,1.4)); #43485=CARTESIAN_POINT('',(12.65,8.79884242733299,1.4)); #43486=CARTESIAN_POINT('',(12.65,8.76414584395716,1.4)); #43487=CARTESIAN_POINT('',(12.65,8.72944926058134,1.4)); #43488=CARTESIAN_POINT('',(12.65,8.72944926058134,1.4)); #43489=CARTESIAN_POINT('',(12.65,8.72944926058134,1.4)); #43490=CARTESIAN_POINT('',(12.65,8.83353901070882,1.4)); #43491=CARTESIAN_POINT('',(12.65,8.72944926058134,1.4)); #43492=CARTESIAN_POINT('',(12.65,8.78057623661397,1.8)); #43493=CARTESIAN_POINT('',(12.65,8.83170321264661,2.2)); #43494=CARTESIAN_POINT('',(12.65,8.88283018867925,2.6)); #43495=CARTESIAN_POINT('',(12.65,8.88283018867925,2.6)); #43496=CARTESIAN_POINT('',(12.65,8.88283018867925,2.6)); #43497=CARTESIAN_POINT('',(12.65,8.72944926058134,1.4)); #43498=CARTESIAN_POINT('',(12.65,8.88283018867925,2.6)); #43499=CARTESIAN_POINT('',(12.65,8.88870474247833,2.6)); #43500=CARTESIAN_POINT('',(12.65,8.89457929627741,2.6)); #43501=CARTESIAN_POINT('',(12.65,8.90045385007649,2.6)); #43502=CARTESIAN_POINT('',(12.65,8.90045385007649,2.6)); #43503=CARTESIAN_POINT('',(12.65,8.90045385007649,2.6)); #43504=CARTESIAN_POINT('',(12.65,8.88283018867925,2.6)); #43505=CARTESIAN_POINT('',(12.65,8.90045385007649,2.6)); #43506=CARTESIAN_POINT('',(12.65,9.04685874553799,2.27180010198878)); #43507=CARTESIAN_POINT('',(12.65,9.19326364099949,1.94360020397756)); #43508=CARTESIAN_POINT('',(12.65,9.33966853646099,1.61540030596634)); #43509=CARTESIAN_POINT('',(12.65,9.33966853646099,1.61540030596634)); #43510=CARTESIAN_POINT('',(12.65,9.33966853646099,1.61540030596634)); #43511=CARTESIAN_POINT('',(12.65,8.90045385007649,2.6)); #43512=CARTESIAN_POINT('',(12.65,9.33966853646099,1.61540030596634)); #43513=CARTESIAN_POINT('',(12.65,9.48451300356961,1.94360020397756)); #43514=CARTESIAN_POINT('',(12.65,9.62935747067823,2.27180010198878)); #43515=CARTESIAN_POINT('',(12.65,9.77420193778685,2.6)); #43516=CARTESIAN_POINT('',(12.65,9.77420193778685,2.6)); #43517=CARTESIAN_POINT('',(12.65,9.77420193778685,2.6)); #43518=CARTESIAN_POINT('',(12.65,9.33966853646099,1.61540030596634)); #43519=CARTESIAN_POINT('',(12.65,9.77420193778685,2.6)); #43520=CARTESIAN_POINT('',(12.65,9.78007649158593,2.6)); #43521=CARTESIAN_POINT('',(12.65,9.78595104538501,2.6)); #43522=CARTESIAN_POINT('',(12.65,9.79182559918409,2.6)); #43523=CARTESIAN_POINT('',(12.65,9.79182559918409,2.6)); #43524=CARTESIAN_POINT('',(12.65,9.79182559918409,2.6)); #43525=CARTESIAN_POINT('',(12.65,9.77420193778685,2.6)); #43526=CARTESIAN_POINT('',(12.65,9.79182559918409,2.6)); #43527=CARTESIAN_POINT('',(12.65,9.84350331463539,2.2)); #43528=CARTESIAN_POINT('',(12.65,9.89518103008669,1.8)); #43529=CARTESIAN_POINT('',(12.65,9.94685874553799,1.4)); #43530=CARTESIAN_POINT('',(12.65,9.94685874553799,1.4)); #43531=CARTESIAN_POINT('',(12.65,9.94685874553799,1.4)); #43532=CARTESIAN_POINT('',(12.65,9.79182559918409,2.6)); #43533=CARTESIAN_POINT('',(12.65,9.94685874553799,1.4)); #43534=CARTESIAN_POINT('',(12.65,9.91133605303417,1.4)); #43535=CARTESIAN_POINT('',(12.65,9.87581336053034,1.4)); #43536=CARTESIAN_POINT('',(12.65,9.84029066802652,1.4)); #43537=CARTESIAN_POINT('',(12.65,9.84029066802652,1.4)); #43538=CARTESIAN_POINT('',(12.65,9.84029066802652,1.4)); #43539=CARTESIAN_POINT('',(12.65,9.94685874553799,1.4)); #43540=CARTESIAN_POINT('',(12.65,9.84029066802652,1.4)); #43541=CARTESIAN_POINT('',(12.65,9.80504334523202,1.68607853136155)); #43542=CARTESIAN_POINT('',(12.65,9.76979602243753,1.9721570627231)); #43543=CARTESIAN_POINT('',(12.65,9.73454869964304,2.25823559408465)); #43544=CARTESIAN_POINT('',(12.65,9.73454869964304,2.25823559408465)); #43545=CARTESIAN_POINT('',(12.65,9.73454869964304,2.25823559408465)); #43546=CARTESIAN_POINT('',(12.65,9.84029066802652,1.4)); #43547=CARTESIAN_POINT('',(12.65,9.73454869964304,2.25823559408465)); #43548=CARTESIAN_POINT('',(12.65,9.60732789393167,1.9721570627231)); #43549=CARTESIAN_POINT('',(12.65,9.4801070882203,1.68607853136155)); #43550=CARTESIAN_POINT('',(12.65,9.35288628250893,1.4)); #43551=CARTESIAN_POINT('',(12.65,9.35288628250893,1.4)); #43552=CARTESIAN_POINT('',(12.65,9.35288628250893,1.4)); #43553=CARTESIAN_POINT('',(12.65,9.73454869964304,2.25823559408465)); #43554=CARTESIAN_POINT('',(12.65,9.35288628250893,1.4)); #43555=CARTESIAN_POINT('',(12.65,9.34379908210097,1.4)); #43556=CARTESIAN_POINT('',(12.65,9.33471188169301,1.4)); #43557=CARTESIAN_POINT('',(12.65,9.32562468128506,1.4)); #43558=CARTESIAN_POINT('',(12.65,9.32562468128506,1.4)); #43559=CARTESIAN_POINT('',(12.65,9.32562468128506,1.4)); #43560=CARTESIAN_POINT('',(12.65,9.35288628250893,1.4)); #43561=CARTESIAN_POINT('',(12.65,9.32562468128506,1.4)); #43562=CARTESIAN_POINT('',(12.65,9.19665986741459,1.68822029576747)); #43563=CARTESIAN_POINT('',(12.65,9.06769505354411,1.97644059153493)); #43564=CARTESIAN_POINT('',(12.65,8.93873023967364,2.2646608873024)); #43565=CARTESIAN_POINT('',(12.65,8.93873023967364,2.2646608873024)); #43566=CARTESIAN_POINT('',(12.65,8.93873023967364,2.2646608873024)); #43567=CARTESIAN_POINT('',(12.65,9.32562468128506,1.4)); #43568=CARTESIAN_POINT('',(12.65,8.93873023967364,2.2646608873024)); #43569=CARTESIAN_POINT('',(12.65,8.90366649668536,1.97644059153493)); #43570=CARTESIAN_POINT('',(12.65,8.86860275369709,1.68822029576747)); #43571=CARTESIAN_POINT('',(12.65,8.83353901070882,1.4)); #43572=CARTESIAN_POINT('',(12.65,8.93873023967364,2.2646608873024)); #43573=CARTESIAN_POINT('',(12.65,8.78149413564508,1.4)); #43574=CARTESIAN_POINT('',(12.7,8.22194288628251,2.44334523202448)); #43575=CARTESIAN_POINT('',(12.7,8.1495206527282,2.48954614992351)); #43576=CARTESIAN_POINT('',(12.7,8.07269250382458,2.51279959204488)); #43577=CARTESIAN_POINT('',(12.7,7.91160122386538,2.51279959204488)); #43578=CARTESIAN_POINT('',(12.7,7.83614992350841,2.48954614992351)); #43579=CARTESIAN_POINT('',(12.7,7.69315197814783,2.39726969800279)); #43580=CARTESIAN_POINT('',(12.7,7.63733299337073,2.3350331463539)); #43581=CARTESIAN_POINT('',(12.7,7.55698230279167,2.17848994400809)); #43582=CARTESIAN_POINT('',(12.7,7.53709841917389,2.09087200407955)); #43583=CARTESIAN_POINT('',(12.7,7.53709841917389,1.85221825599184)); #43584=CARTESIAN_POINT('',(12.7,7.58143294237634,1.73197348291688)); #43585=CARTESIAN_POINT('',(12.7,7.67037735849057,1.63375828658848)); #43586=CARTESIAN_POINT('',(12.7,8.22194288628251,2.44334523202448)); #43587=CARTESIAN_POINT('',(12.7,7.67037735849057,1.63375828658848)); #43588=CARTESIAN_POINT('',(12.65,8.22194288628251,2.44334523202448)); #43589=CARTESIAN_POINT('',(12.65,8.22194288628251,2.44334523202448)); #43590=CARTESIAN_POINT('',(12.65,8.22194288628251,2.44334523202448)); #43591=CARTESIAN_POINT('',(12.65,8.1495206527282,2.48954614992351)); #43592=CARTESIAN_POINT('',(12.65,8.07269250382458,2.51279959204488)); #43593=CARTESIAN_POINT('',(12.65,7.91160122386538,2.51279959204488)); #43594=CARTESIAN_POINT('',(12.65,7.83614992350841,2.48954614992351)); #43595=CARTESIAN_POINT('',(12.65,7.69315197814783,2.39726969800279)); #43596=CARTESIAN_POINT('',(12.65,7.63733299337073,2.3350331463539)); #43597=CARTESIAN_POINT('',(12.65,7.55698230279167,2.17848994400809)); #43598=CARTESIAN_POINT('',(12.65,7.53709841917389,2.09087200407955)); #43599=CARTESIAN_POINT('',(12.65,7.53709841917389,1.85221825599184)); #43600=CARTESIAN_POINT('',(12.65,7.58143294237634,1.73197348291688)); #43601=CARTESIAN_POINT('',(12.65,7.67037735849057,1.63375828658848)); #43602=CARTESIAN_POINT('',(12.65,7.67037735849057,1.63375828658848)); #43603=CARTESIAN_POINT('',(12.65,7.67037735849057,1.63375828658848)); #43604=CARTESIAN_POINT('',(12.65,8.22194288628251,2.44334523202448)); #43605=CARTESIAN_POINT('',(12.65,8.1495206527282,2.48954614992351)); #43606=CARTESIAN_POINT('',(12.65,8.07269250382458,2.51279959204488)); #43607=CARTESIAN_POINT('',(12.65,7.91160122386538,2.51279959204488)); #43608=CARTESIAN_POINT('',(12.65,7.83614992350841,2.48954614992351)); #43609=CARTESIAN_POINT('',(12.65,7.69315197814783,2.39726969800279)); #43610=CARTESIAN_POINT('',(12.65,7.63733299337073,2.3350331463539)); #43611=CARTESIAN_POINT('',(12.65,7.55698230279167,2.17848994400809)); #43612=CARTESIAN_POINT('',(12.65,7.53709841917389,2.09087200407955)); #43613=CARTESIAN_POINT('',(12.65,7.53709841917389,1.85221825599184)); #43614=CARTESIAN_POINT('',(12.65,7.58143294237634,1.73197348291688)); #43615=CARTESIAN_POINT('',(12.65,7.67037735849057,1.63375828658848)); #43616=CARTESIAN_POINT('',(12.65,7.70507394186639,2.54676185619582)); #43617=CARTESIAN_POINT('',(12.65,7.70507394186639,2.54676185619582)); #43618=CARTESIAN_POINT('',(12.65,7.70507394186639,2.54676185619582)); #43619=CARTESIAN_POINT('',(12.65,7.79181540030597,2.60244773074962)); #43620=CARTESIAN_POINT('',(12.65,7.88544110147884,2.63029066802652)); #43621=CARTESIAN_POINT('',(12.65,8.14979602243753,2.63029066802652)); #43622=CARTESIAN_POINT('',(12.65,8.28665476797552,2.56970933197348)); #43623=CARTESIAN_POINT('',(12.65,8.50679836812071,2.32693986181338)); #43624=CARTESIAN_POINT('',(12.65,8.5620244773075,2.17776644569097)); #43625=CARTESIAN_POINT('',(12.65,8.5620244773075,1.82468128505864)); #43626=CARTESIAN_POINT('',(12.65,8.50722590515043,1.67567567567568)); #43627=CARTESIAN_POINT('',(12.65,8.39707802141765,1.5532891381948)); #43628=CARTESIAN_POINT('',(12.65,8.39707802141765,1.5532891381948)); #43629=CARTESIAN_POINT('',(12.65,8.39707802141765,1.5532891381948)); #43630=CARTESIAN_POINT('',(12.65,7.70507394186639,2.54676185619582)); #43631=CARTESIAN_POINT('',(12.65,7.79181540030597,2.60244773074962)); #43632=CARTESIAN_POINT('',(12.65,7.88544110147884,2.63029066802652)); #43633=CARTESIAN_POINT('',(12.65,8.14979602243753,2.63029066802652)); #43634=CARTESIAN_POINT('',(12.65,8.28665476797552,2.56970933197348)); #43635=CARTESIAN_POINT('',(12.65,8.50679836812071,2.32693986181338)); #43636=CARTESIAN_POINT('',(12.65,8.5620244773075,2.17776644569097)); #43637=CARTESIAN_POINT('',(12.65,8.5620244773075,1.82468128505864)); #43638=CARTESIAN_POINT('',(12.65,8.50722590515043,1.67567567567568)); #43639=CARTESIAN_POINT('',(12.65,8.39707802141765,1.5532891381948)); #43640=CARTESIAN_POINT('',(12.65,8.39707802141765,1.5532891381948)); #43641=CARTESIAN_POINT('',(12.65,8.28705328423314,1.43103943021202)); #43642=CARTESIAN_POINT('',(12.65,8.15337582865885,1.36970933197348)); #43643=CARTESIAN_POINT('',(12.65,7.83504844467109,1.36970933197348)); #43644=CARTESIAN_POINT('',(12.65,7.70011728709842,1.43059663437022)); #43645=CARTESIAN_POINT('',(12.65,7.47997361140846,1.67458920825993)); #43646=CARTESIAN_POINT('',(12.65,7.42474757776644,1.82162162162162)); #43647=CARTESIAN_POINT('',(12.65,7.42474757776644,2.11014788373279)); #43648=CARTESIAN_POINT('',(12.65,7.44980622131565,2.21693013768485)); #43649=CARTESIAN_POINT('',(12.65,7.54991718771638,2.41372947334441)); #43650=CARTESIAN_POINT('',(12.65,7.61860785313615,2.49077001529832)); #43651=CARTESIAN_POINT('',(12.65,7.70507394186639,2.54676185619582)); #43652=CARTESIAN_POINT('',(12.65,8.39707802141765,1.5532891381948)); #43653=CARTESIAN_POINT('',(12.65,8.28705328423314,1.43103943021202)); #43654=CARTESIAN_POINT('',(12.65,8.15337582865885,1.36970933197348)); #43655=CARTESIAN_POINT('',(12.65,7.83504844467109,1.36970933197348)); #43656=CARTESIAN_POINT('',(12.65,7.70011728709842,1.43059663437022)); #43657=CARTESIAN_POINT('',(12.65,7.47997361140846,1.67458920825993)); #43658=CARTESIAN_POINT('',(12.65,7.42474757776644,1.82162162162162)); #43659=CARTESIAN_POINT('',(12.65,7.42474757776644,2.11014788373279)); #43660=CARTESIAN_POINT('',(12.65,7.44980622131565,2.21693013768485)); #43661=CARTESIAN_POINT('',(12.65,7.54991718771638,2.41372947334441)); #43662=CARTESIAN_POINT('',(12.65,7.61860785313615,2.49077001529832)); #43663=CARTESIAN_POINT('',(12.65,7.70507394186639,2.54676185619582)); #43664=CARTESIAN_POINT('',(12.7,7.67037735849057,1.63375828658848)); #43665=CARTESIAN_POINT('',(12.7,7.7591978022246,1.53567998442602)); #43666=CARTESIAN_POINT('',(12.7,7.8661652218256,1.48658847526772)); #43667=CARTESIAN_POINT('',(12.7,8.07517083120857,1.48658847526772)); #43668=CARTESIAN_POINT('',(12.7,8.15282508924018,1.50892401835798)); #43669=CARTESIAN_POINT('',(12.7,8.29497382288188,1.59952700104089)); #43670=CARTESIAN_POINT('',(12.7,8.35081591024987,1.66098929117797)); #43671=CARTESIAN_POINT('',(12.7,8.4301787183393,1.81775533184845)); #43672=CARTESIAN_POINT('',(12.7,8.45049974502805,1.90484446710862)); #43673=CARTESIAN_POINT('',(12.7,8.45049974502805,2.09576746557879)); #43674=CARTESIAN_POINT('',(12.7,8.43039775624681,2.1817440081591)); #43675=CARTESIAN_POINT('',(12.7,8.35047351548694,2.33546802678537)); #43676=CARTESIAN_POINT('',(12.7,8.29464048954615,2.39714431412545)); #43677=CARTESIAN_POINT('',(12.7,8.22194288628251,2.44334523202448)); #43678=CARTESIAN_POINT('',(12.65,7.67037735849057,1.63375828658848)); #43679=CARTESIAN_POINT('',(12.65,7.7591978022246,1.53567998442602)); #43680=CARTESIAN_POINT('',(12.65,7.8661652218256,1.48658847526772)); #43681=CARTESIAN_POINT('',(12.65,8.07517083120857,1.48658847526772)); #43682=CARTESIAN_POINT('',(12.65,8.15282508924018,1.50892401835798)); #43683=CARTESIAN_POINT('',(12.65,8.29497382288188,1.59952700104089)); #43684=CARTESIAN_POINT('',(12.65,8.35081591024987,1.66098929117797)); #43685=CARTESIAN_POINT('',(12.65,8.4301787183393,1.81775533184845)); #43686=CARTESIAN_POINT('',(12.65,8.45049974502805,1.90484446710862)); #43687=CARTESIAN_POINT('',(12.65,8.45049974502805,2.09576746557879)); #43688=CARTESIAN_POINT('',(12.65,8.43039775624681,2.1817440081591)); #43689=CARTESIAN_POINT('',(12.65,8.35047351548694,2.33546802678537)); #43690=CARTESIAN_POINT('',(12.65,8.29464048954615,2.39714431412545)); #43691=CARTESIAN_POINT('',(12.65,8.22194288628251,2.44334523202448)); #43692=CARTESIAN_POINT('',(12.65,7.67037735849057,1.63375828658848)); #43693=CARTESIAN_POINT('',(12.65,7.7591978022246,1.53567998442602)); #43694=CARTESIAN_POINT('',(12.65,7.8661652218256,1.48658847526772)); #43695=CARTESIAN_POINT('',(12.65,8.07517083120857,1.48658847526772)); #43696=CARTESIAN_POINT('',(12.65,8.15282508924018,1.50892401835798)); #43697=CARTESIAN_POINT('',(12.65,8.29497382288188,1.59952700104089)); #43698=CARTESIAN_POINT('',(12.65,8.35081591024987,1.66098929117797)); #43699=CARTESIAN_POINT('',(12.65,8.4301787183393,1.81775533184845)); #43700=CARTESIAN_POINT('',(12.65,8.45049974502805,1.90484446710862)); #43701=CARTESIAN_POINT('',(12.65,8.45049974502805,2.09576746557879)); #43702=CARTESIAN_POINT('',(12.65,8.43039775624681,2.1817440081591)); #43703=CARTESIAN_POINT('',(12.65,8.35047351548694,2.33546802678537)); #43704=CARTESIAN_POINT('',(12.65,8.29464048954615,2.39714431412545)); #43705=CARTESIAN_POINT('',(12.65,8.22194288628251,2.44334523202448)); #43706=CARTESIAN_POINT('',(12.65,8.45202581470821,2.37834476048586)); #43707=CARTESIAN_POINT('',(12.7,10.25,0.)); #43708=CARTESIAN_POINT('',(12.65,6.96570627231004,2.48342682304946)); #43709=CARTESIAN_POINT('',(12.65,6.96570627231004,2.48342682304946)); #43710=CARTESIAN_POINT('',(12.65,6.96570627231004,2.48342682304946)); #43711=CARTESIAN_POINT('',(12.65,6.885206527282,2.48342682304946)); #43712=CARTESIAN_POINT('',(12.65,6.80470678225395,2.48342682304946)); #43713=CARTESIAN_POINT('',(12.65,6.7242070372259,2.48342682304946)); #43714=CARTESIAN_POINT('',(12.65,6.7242070372259,2.48342682304946)); #43715=CARTESIAN_POINT('',(12.65,6.7242070372259,2.48342682304946)); #43716=CARTESIAN_POINT('',(12.65,6.96570627231004,2.48342682304946)); #43717=CARTESIAN_POINT('',(12.65,6.7242070372259,2.48342682304946)); #43718=CARTESIAN_POINT('',(12.65,6.7242070372259,2.52228454869964)); #43719=CARTESIAN_POINT('',(12.65,6.7242070372259,2.56114227434982)); #43720=CARTESIAN_POINT('',(12.65,6.7242070372259,2.6)); #43721=CARTESIAN_POINT('',(12.65,6.7242070372259,2.6)); #43722=CARTESIAN_POINT('',(12.65,6.7242070372259,2.6)); #43723=CARTESIAN_POINT('',(12.65,6.7242070372259,2.48342682304946)); #43724=CARTESIAN_POINT('',(12.65,6.7242070372259,2.6)); #43725=CARTESIAN_POINT('',(12.65,6.92173890872004,2.6)); #43726=CARTESIAN_POINT('',(12.65,7.11927078021418,2.6)); #43727=CARTESIAN_POINT('',(12.65,7.31680265170831,2.6)); #43728=CARTESIAN_POINT('',(12.65,7.31680265170831,2.6)); #43729=CARTESIAN_POINT('',(12.65,7.31680265170831,2.6)); #43730=CARTESIAN_POINT('',(12.65,6.7242070372259,2.6)); #43731=CARTESIAN_POINT('',(12.65,7.31680265170831,2.6)); #43732=CARTESIAN_POINT('',(12.65,7.31680265170831,2.56114227434982)); #43733=CARTESIAN_POINT('',(12.65,7.31680265170831,2.52228454869964)); #43734=CARTESIAN_POINT('',(12.65,7.31680265170831,2.48342682304946)); #43735=CARTESIAN_POINT('',(12.65,7.31680265170831,2.48342682304946)); #43736=CARTESIAN_POINT('',(12.65,7.31680265170831,2.48342682304946)); #43737=CARTESIAN_POINT('',(12.65,7.31680265170831,2.6)); #43738=CARTESIAN_POINT('',(12.65,7.31680265170831,2.48342682304946)); #43739=CARTESIAN_POINT('',(12.65,7.23648648648649,2.48342682304946)); #43740=CARTESIAN_POINT('',(12.65,7.15617032126466,2.48342682304946)); #43741=CARTESIAN_POINT('',(12.65,7.07585415604283,2.48342682304946)); #43742=CARTESIAN_POINT('',(12.65,7.07585415604283,2.48342682304946)); #43743=CARTESIAN_POINT('',(12.65,7.07585415604283,2.48342682304946)); #43744=CARTESIAN_POINT('',(12.65,7.31680265170831,2.48342682304946)); #43745=CARTESIAN_POINT('',(12.65,7.07585415604283,2.48342682304946)); #43746=CARTESIAN_POINT('',(12.65,7.07585415604283,2.12228454869964)); #43747=CARTESIAN_POINT('',(12.65,7.07585415604283,1.76114227434982)); #43748=CARTESIAN_POINT('',(12.65,7.07585415604283,1.4)); #43749=CARTESIAN_POINT('',(12.65,7.07585415604283,1.4)); #43750=CARTESIAN_POINT('',(12.65,7.07585415604283,1.4)); #43751=CARTESIAN_POINT('',(12.65,7.07585415604283,2.48342682304946)); #43752=CARTESIAN_POINT('',(12.65,7.07585415604283,1.4)); #43753=CARTESIAN_POINT('',(12.65,7.03913819479857,1.4)); #43754=CARTESIAN_POINT('',(12.65,7.00242223355431,1.4)); #43755=CARTESIAN_POINT('',(12.65,6.96570627231004,1.4)); #43756=CARTESIAN_POINT('',(12.65,6.96570627231004,1.4)); #43757=CARTESIAN_POINT('',(12.65,6.96570627231004,1.4)); #43758=CARTESIAN_POINT('',(12.65,7.07585415604283,1.4)); #43759=CARTESIAN_POINT('',(12.65,6.96570627231004,1.4)); #43760=CARTESIAN_POINT('',(12.65,6.96570627231004,1.76114227434982)); #43761=CARTESIAN_POINT('',(12.65,6.96570627231004,2.12228454869964)); #43762=CARTESIAN_POINT('',(12.65,6.96570627231004,2.48342682304946)); #43763=CARTESIAN_POINT('',(12.65,6.96570627231004,1.4)); #43764=CARTESIAN_POINT('',(12.65,6.84495665476797,2.48342682304946)); #43765=CARTESIAN_POINT('',(12.7,6.33070372259051,1.91065782763896)); #43766=CARTESIAN_POINT('',(12.7,6.2694798572157,2.05558388577257)); #43767=CARTESIAN_POINT('',(12.7,6.2082559918409,2.20050994390617)); #43768=CARTESIAN_POINT('',(12.7,6.14703212646609,2.34543600203978)); #43769=CARTESIAN_POINT('',(12.7,6.33070372259051,1.91065782763896)); #43770=CARTESIAN_POINT('',(12.7,6.14703212646609,2.34543600203978)); #43771=CARTESIAN_POINT('',(12.65,6.33070372259051,1.91065782763896)); #43772=CARTESIAN_POINT('',(12.65,6.33070372259051,1.91065782763896)); #43773=CARTESIAN_POINT('',(12.65,6.33070372259051,1.91065782763896)); #43774=CARTESIAN_POINT('',(12.65,6.2694798572157,2.05558388577257)); #43775=CARTESIAN_POINT('',(12.65,6.2082559918409,2.20050994390617)); #43776=CARTESIAN_POINT('',(12.65,6.14703212646609,2.34543600203978)); #43777=CARTESIAN_POINT('',(12.65,6.14703212646609,2.34543600203978)); #43778=CARTESIAN_POINT('',(12.65,6.14703212646609,2.34543600203978)); #43779=CARTESIAN_POINT('',(12.65,6.33070372259051,1.91065782763896)); #43780=CARTESIAN_POINT('',(12.7,6.14703212646609,2.34543600203978)); #43781=CARTESIAN_POINT('',(12.7,6.08507394186639,2.20050994390617)); #43782=CARTESIAN_POINT('',(12.7,6.0231157572667,2.05558388577257)); #43783=CARTESIAN_POINT('',(12.7,5.961157572667,1.91065782763896)); #43784=CARTESIAN_POINT('',(12.7,5.961157572667,1.91065782763896)); #43785=CARTESIAN_POINT('',(12.65,6.14703212646609,2.34543600203978)); #43786=CARTESIAN_POINT('',(12.65,6.08507394186639,2.20050994390617)); #43787=CARTESIAN_POINT('',(12.65,6.0231157572667,2.05558388577257)); #43788=CARTESIAN_POINT('',(12.65,5.961157572667,1.91065782763896)); #43789=CARTESIAN_POINT('',(12.65,5.961157572667,1.91065782763896)); #43790=CARTESIAN_POINT('',(12.65,5.961157572667,1.91065782763896)); #43791=CARTESIAN_POINT('',(12.65,6.14703212646609,2.34543600203978)); #43792=CARTESIAN_POINT('',(12.65,6.13381438041815,2.6)); #43793=CARTESIAN_POINT('',(12.65,6.13381438041815,2.6)); #43794=CARTESIAN_POINT('',(12.65,6.13381438041815,2.6)); #43795=CARTESIAN_POINT('',(12.65,6.14262621111678,2.6)); #43796=CARTESIAN_POINT('',(12.65,6.1514380418154,2.6)); #43797=CARTESIAN_POINT('',(12.65,6.16024987251402,2.6)); #43798=CARTESIAN_POINT('',(12.65,6.16024987251402,2.6)); #43799=CARTESIAN_POINT('',(12.65,6.16024987251402,2.6)); #43800=CARTESIAN_POINT('',(12.65,6.13381438041815,2.6)); #43801=CARTESIAN_POINT('',(12.65,6.16024987251402,2.6)); #43802=CARTESIAN_POINT('',(12.65,6.32813360530341,2.2)); #43803=CARTESIAN_POINT('',(12.65,6.49601733809281,1.8)); #43804=CARTESIAN_POINT('',(12.65,6.6639010708822,1.4)); #43805=CARTESIAN_POINT('',(12.65,6.6639010708822,1.4)); #43806=CARTESIAN_POINT('',(12.65,6.6639010708822,1.4)); #43807=CARTESIAN_POINT('',(12.65,6.16024987251402,2.6)); #43808=CARTESIAN_POINT('',(12.65,6.6639010708822,1.4)); #43809=CARTESIAN_POINT('',(12.65,6.62525752167261,1.4)); #43810=CARTESIAN_POINT('',(12.65,6.58661397246303,1.4)); #43811=CARTESIAN_POINT('',(12.65,6.54797042325344,1.4)); #43812=CARTESIAN_POINT('',(12.65,6.54797042325344,1.4)); #43813=CARTESIAN_POINT('',(12.65,6.54797042325344,1.4)); #43814=CARTESIAN_POINT('',(12.65,6.6639010708822,1.4)); #43815=CARTESIAN_POINT('',(12.65,6.54797042325344,1.4)); #43816=CARTESIAN_POINT('',(12.65,6.49142784293727,1.53166751657318)); #43817=CARTESIAN_POINT('',(12.65,6.43488526262111,1.66333503314635)); #43818=CARTESIAN_POINT('',(12.65,6.37834268230494,1.79500254971953)); #43819=CARTESIAN_POINT('',(12.65,6.37834268230494,1.79500254971953)); #43820=CARTESIAN_POINT('',(12.65,6.37834268230494,1.79500254971953)); #43821=CARTESIAN_POINT('',(12.65,6.54797042325344,1.4)); #43822=CARTESIAN_POINT('',(12.65,6.37834268230494,1.79500254971953)); #43823=CARTESIAN_POINT('',(12.65,6.22312595614482,1.79500254971953)); #43824=CARTESIAN_POINT('',(12.65,6.0679092299847,1.79500254971953)); #43825=CARTESIAN_POINT('',(12.65,5.91269250382458,1.79500254971953)); #43826=CARTESIAN_POINT('',(12.65,5.91269250382458,1.79500254971953)); #43827=CARTESIAN_POINT('',(12.65,5.91269250382458,1.79500254971953)); #43828=CARTESIAN_POINT('',(12.65,6.37834268230494,1.79500254971953)); #43829=CARTESIAN_POINT('',(12.65,5.91269250382458,1.79500254971953)); #43830=CARTESIAN_POINT('',(12.65,5.85642529321775,1.66333503314635)); #43831=CARTESIAN_POINT('',(12.65,5.80015808261091,1.53166751657318)); #43832=CARTESIAN_POINT('',(12.65,5.74389087200408,1.4)); #43833=CARTESIAN_POINT('',(12.65,5.74389087200408,1.4)); #43834=CARTESIAN_POINT('',(12.65,5.74389087200408,1.4)); #43835=CARTESIAN_POINT('',(12.65,5.91269250382458,1.79500254971953)); #43836=CARTESIAN_POINT('',(12.65,5.74389087200408,1.4)); #43837=CARTESIAN_POINT('',(12.65,5.70377868434472,1.4)); #43838=CARTESIAN_POINT('',(12.65,5.66366649668536,1.4)); #43839=CARTESIAN_POINT('',(12.65,5.62355430902601,1.4)); #43840=CARTESIAN_POINT('',(12.65,5.62355430902601,1.4)); #43841=CARTESIAN_POINT('',(12.65,5.62355430902601,1.4)); #43842=CARTESIAN_POINT('',(12.65,5.74389087200408,1.4)); #43843=CARTESIAN_POINT('',(12.65,5.62355430902601,1.4)); #43844=CARTESIAN_POINT('',(12.65,5.79364099949005,1.8)); #43845=CARTESIAN_POINT('',(12.65,5.9637276899541,2.2)); #43846=CARTESIAN_POINT('',(12.65,6.13381438041815,2.6)); #43847=CARTESIAN_POINT('',(12.65,5.62355430902601,1.4)); #43848=CARTESIAN_POINT('',(12.7,5.961157572667,1.91065782763896)); #43849=CARTESIAN_POINT('',(12.7,6.08433962264151,1.91065782763896)); #43850=CARTESIAN_POINT('',(12.7,6.20752167261601,1.91065782763896)); #43851=CARTESIAN_POINT('',(12.7,6.33070372259051,1.91065782763896)); #43852=CARTESIAN_POINT('',(12.65,5.961157572667,1.91065782763896)); #43853=CARTESIAN_POINT('',(12.65,6.08433962264151,1.91065782763896)); #43854=CARTESIAN_POINT('',(12.65,6.20752167261601,1.91065782763896)); #43855=CARTESIAN_POINT('',(12.65,6.33070372259051,1.91065782763896)); #43856=CARTESIAN_POINT('',(12.65,5.961157572667,1.91065782763896)); #43857=CARTESIAN_POINT('',(12.65,6.14703212646609,2.6)); #43858=CARTESIAN_POINT('',(12.7,10.25,0.)); #43859=CARTESIAN_POINT('',(6.67085134145123,7.91524791385932,3.973)); #43860=CARTESIAN_POINT('',(6.67085134145123,7.91524791385932,3.973)); #43861=CARTESIAN_POINT('',(6.99085134145124,8.1,3.973)); #43862=CARTESIAN_POINT('',(6.99085134145124,8.1,3.973)); #43863=CARTESIAN_POINT('',(6.67085134145123,8.28475208614068,3.973)); #43864=CARTESIAN_POINT('',(6.67085134145123,8.28475208614068,3.973)); #43865=CARTESIAN_POINT('',(6.99085134145124,8.1,3.973)); #43866=CARTESIAN_POINT('',(6.4,5.11207639902224,4.023)); #43867=CARTESIAN_POINT('',(6.4,8.3,4.023)); #43868=CARTESIAN_POINT('',(6.4,15.4,4.023)); #43869=CARTESIAN_POINT('',(6.4,15.4,4.023)); #43870=CARTESIAN_POINT('',(6.4,15.4,3.783)); #43871=CARTESIAN_POINT('',(6.4,5.11207639902224,3.783)); #43872=CARTESIAN_POINT('',(6.4,8.3,3.783)); #43873=CARTESIAN_POINT('',(6.4,8.3,4.023)); #43874=CARTESIAN_POINT('',(6.4,5.11207639902224,4.023)); #43875=CARTESIAN_POINT('',(7.,5.5,3.923)); #43876=CARTESIAN_POINT('',(7.,16.2,3.923)); #43877=CARTESIAN_POINT('',(7.,7.5,3.923)); #43878=CARTESIAN_POINT('',(7.,16.2,3.923)); #43879=CARTESIAN_POINT('',(7.,16.2,4.023)); #43880=CARTESIAN_POINT('',(7.,5.5,4.023)); #43881=CARTESIAN_POINT('',(7.,7.5,4.023)); #43882=CARTESIAN_POINT('',(7.,7.5,3.923)); #43883=CARTESIAN_POINT('',(7.,5.5,3.923)); #43884=CARTESIAN_POINT('',(6.67085134145123,8.28475208614068,4.023)); #43885=CARTESIAN_POINT('',(6.67085134145123,8.28475208614068,4.023)); #43886=CARTESIAN_POINT('',(6.67085134145123,7.91524791385932,4.023)); #43887=CARTESIAN_POINT('',(6.67085134145123,7.91524791385932,3.973)); #43888=CARTESIAN_POINT('',(6.67085134145123,8.28475208614068,3.973)); #43889=CARTESIAN_POINT('',(6.67085134145123,8.28475208614068,3.973)); #43890=CARTESIAN_POINT('',(6.67085134145123,7.91524791385932,4.023)); #43891=CARTESIAN_POINT('',(6.99085134145124,8.1,4.023)); #43892=CARTESIAN_POINT('',(6.99085134145124,8.1,3.973)); #43893=CARTESIAN_POINT('',(6.67085134145123,7.91524791385932,3.973)); #43894=CARTESIAN_POINT('',(6.99085134145124,8.1,4.023)); #43895=CARTESIAN_POINT('',(6.99085134145124,8.1,3.973)); #43896=CARTESIAN_POINT('',(6.5,8.3,3.753)); #43897=CARTESIAN_POINT('',(6.5,8.3,3.753)); #43898=CARTESIAN_POINT('',(6.5,8.3,4.023)); #43899=CARTESIAN_POINT('',(6.5,8.3,4.023)); #43900=CARTESIAN_POINT('',(6.4,8.3,3.783)); #43901=CARTESIAN_POINT('',(7.445,8.3,3.358)); #43902=CARTESIAN_POINT('',(6.4,15.4,3.783)); #43903=CARTESIAN_POINT('',(6.5,15.4,3.753)); #43904=CARTESIAN_POINT('',(6.5,15.4,4.023)); #43905=CARTESIAN_POINT('',(6.5,15.4,4.023)); #43906=CARTESIAN_POINT('',(6.5,15.4,3.753)); #43907=CARTESIAN_POINT('',(7.445,15.4,3.358)); #43908=CARTESIAN_POINT('',(6.5,5.11207639902224,3.753)); #43909=CARTESIAN_POINT('',(6.4,5.11207639902224,3.783)); #43910=CARTESIAN_POINT('',(6.5,11.54,3.273)); #43911=CARTESIAN_POINT('',(6.5,11.54,3.273)); #43912=CARTESIAN_POINT('',(7.15,11.54,3.273)); #43913=CARTESIAN_POINT('',(7.15,11.66,3.273)); #43914=CARTESIAN_POINT('',(7.15,11.66,3.273)); #43915=CARTESIAN_POINT('',(6.5,11.66,3.273)); #43916=CARTESIAN_POINT('',(6.5,11.66,3.273)); #43917=CARTESIAN_POINT('',(6.5,11.66,3.273)); #43918=CARTESIAN_POINT('',(6.5,11.66,3.273)); #43919=CARTESIAN_POINT('',(6.5,11.54,3.273)); #43920=CARTESIAN_POINT('',(6.5,11.54,3.023)); #43921=CARTESIAN_POINT('',(6.5,11.66,3.273)); #43922=CARTESIAN_POINT('',(6.5,11.66,3.023)); #43923=CARTESIAN_POINT('',(6.5,11.66,3.023)); #43924=CARTESIAN_POINT('',(6.5,11.66,3.273)); #43925=CARTESIAN_POINT('',(6.5,11.54,3.023)); #43926=CARTESIAN_POINT('',(6.55,11.54,2.923)); #43927=CARTESIAN_POINT('',(6.5,11.66,3.023)); #43928=CARTESIAN_POINT('',(6.55,11.66,2.923)); #43929=CARTESIAN_POINT('',(6.55,11.66,2.923)); #43930=CARTESIAN_POINT('',(6.5,11.66,3.023)); #43931=CARTESIAN_POINT('',(6.55,11.54,2.923)); #43932=CARTESIAN_POINT('',(6.86,11.54,2.923)); #43933=CARTESIAN_POINT('',(6.55,11.66,2.923)); #43934=CARTESIAN_POINT('',(6.86,11.66,2.923)); #43935=CARTESIAN_POINT('',(6.86,11.66,2.923)); #43936=CARTESIAN_POINT('',(6.55,11.66,2.923)); #43937=CARTESIAN_POINT('',(6.86,11.54,2.923)); #43938=CARTESIAN_POINT('',(6.9,11.54,3.023)); #43939=CARTESIAN_POINT('',(6.86,11.66,2.923)); #43940=CARTESIAN_POINT('',(6.9,11.66,3.023)); #43941=CARTESIAN_POINT('',(6.9,11.66,3.023)); #43942=CARTESIAN_POINT('',(6.86,11.66,2.923)); #43943=CARTESIAN_POINT('',(6.9,11.54,3.023)); #43944=CARTESIAN_POINT('',(6.96,11.54,3.023)); #43945=CARTESIAN_POINT('',(6.9,11.66,3.023)); #43946=CARTESIAN_POINT('',(6.96,11.66,3.023)); #43947=CARTESIAN_POINT('',(6.96,11.66,3.023)); #43948=CARTESIAN_POINT('',(6.9,11.66,3.023)); #43949=CARTESIAN_POINT('',(6.96,11.54,3.023)); #43950=CARTESIAN_POINT('',(7.06,11.54,2.923)); #43951=CARTESIAN_POINT('',(6.96,11.66,3.023)); #43952=CARTESIAN_POINT('',(7.06,11.66,2.923)); #43953=CARTESIAN_POINT('',(7.06,11.66,2.923)); #43954=CARTESIAN_POINT('',(6.96,11.66,3.023)); #43955=CARTESIAN_POINT('',(7.06,11.54,2.923)); #43956=CARTESIAN_POINT('',(7.24,11.54,2.923)); #43957=CARTESIAN_POINT('',(7.06,11.66,2.923)); #43958=CARTESIAN_POINT('',(7.24,11.66,2.923)); #43959=CARTESIAN_POINT('',(7.24,11.66,2.923)); #43960=CARTESIAN_POINT('',(7.06,11.66,2.923)); #43961=CARTESIAN_POINT('',(7.24,11.54,2.923)); #43962=CARTESIAN_POINT('',(7.24,11.54,3.053)); #43963=CARTESIAN_POINT('',(7.24,11.66,2.923)); #43964=CARTESIAN_POINT('',(7.24,11.66,3.053)); #43965=CARTESIAN_POINT('',(7.24,11.66,3.053)); #43966=CARTESIAN_POINT('',(7.24,11.66,2.923)); #43967=CARTESIAN_POINT('',(7.15,7.68,3.278)); #43968=CARTESIAN_POINT('',(7.15,11.66,3.278)); #43969=CARTESIAN_POINT('',(7.15,11.54,3.278)); #43970=CARTESIAN_POINT('',(7.15,11.66,3.81288957189034)); #43971=CARTESIAN_POINT('',(7.15,11.54,3.81288957189034)); #43972=CARTESIAN_POINT('',(7.15,11.66,3.81288957189034)); #43973=CARTESIAN_POINT('',(6.5,11.04,3.273)); #43974=CARTESIAN_POINT('',(6.5,11.04,3.273)); #43975=CARTESIAN_POINT('',(7.15,11.04,3.273)); #43976=CARTESIAN_POINT('',(7.15,11.16,3.273)); #43977=CARTESIAN_POINT('',(7.15,11.16,3.273)); #43978=CARTESIAN_POINT('',(6.5,11.16,3.273)); #43979=CARTESIAN_POINT('',(6.5,11.16,3.273)); #43980=CARTESIAN_POINT('',(6.5,11.16,3.273)); #43981=CARTESIAN_POINT('',(6.5,11.16,3.273)); #43982=CARTESIAN_POINT('',(6.5,11.04,3.273)); #43983=CARTESIAN_POINT('',(6.5,11.04,3.023)); #43984=CARTESIAN_POINT('',(6.5,11.16,3.273)); #43985=CARTESIAN_POINT('',(6.5,11.16,3.023)); #43986=CARTESIAN_POINT('',(6.5,11.16,3.023)); #43987=CARTESIAN_POINT('',(6.5,11.16,3.273)); #43988=CARTESIAN_POINT('',(6.5,11.04,3.023)); #43989=CARTESIAN_POINT('',(6.55,11.04,2.923)); #43990=CARTESIAN_POINT('',(6.5,11.16,3.023)); #43991=CARTESIAN_POINT('',(6.55,11.16,2.923)); #43992=CARTESIAN_POINT('',(6.55,11.16,2.923)); #43993=CARTESIAN_POINT('',(6.5,11.16,3.023)); #43994=CARTESIAN_POINT('',(6.55,11.04,2.923)); #43995=CARTESIAN_POINT('',(6.86,11.04,2.923)); #43996=CARTESIAN_POINT('',(6.55,11.16,2.923)); #43997=CARTESIAN_POINT('',(6.86,11.16,2.923)); #43998=CARTESIAN_POINT('',(6.86,11.16,2.923)); #43999=CARTESIAN_POINT('',(6.55,11.16,2.923)); #44000=CARTESIAN_POINT('',(6.86,11.04,2.923)); #44001=CARTESIAN_POINT('',(6.9,11.04,3.023)); #44002=CARTESIAN_POINT('',(6.86,11.16,2.923)); #44003=CARTESIAN_POINT('',(6.9,11.16,3.023)); #44004=CARTESIAN_POINT('',(6.9,11.16,3.023)); #44005=CARTESIAN_POINT('',(6.86,11.16,2.923)); #44006=CARTESIAN_POINT('',(6.9,11.04,3.023)); #44007=CARTESIAN_POINT('',(6.96,11.04,3.023)); #44008=CARTESIAN_POINT('',(6.9,11.16,3.023)); #44009=CARTESIAN_POINT('',(6.96,11.16,3.023)); #44010=CARTESIAN_POINT('',(6.96,11.16,3.023)); #44011=CARTESIAN_POINT('',(6.9,11.16,3.023)); #44012=CARTESIAN_POINT('',(6.96,11.04,3.023)); #44013=CARTESIAN_POINT('',(7.06,11.04,2.923)); #44014=CARTESIAN_POINT('',(6.96,11.16,3.023)); #44015=CARTESIAN_POINT('',(7.06,11.16,2.923)); #44016=CARTESIAN_POINT('',(7.06,11.16,2.923)); #44017=CARTESIAN_POINT('',(6.96,11.16,3.023)); #44018=CARTESIAN_POINT('',(7.06,11.04,2.923)); #44019=CARTESIAN_POINT('',(7.24,11.04,2.923)); #44020=CARTESIAN_POINT('',(7.06,11.16,2.923)); #44021=CARTESIAN_POINT('',(7.24,11.16,2.923)); #44022=CARTESIAN_POINT('',(7.24,11.16,2.923)); #44023=CARTESIAN_POINT('',(7.06,11.16,2.923)); #44024=CARTESIAN_POINT('',(7.24,11.04,2.923)); #44025=CARTESIAN_POINT('',(7.24,11.04,3.053)); #44026=CARTESIAN_POINT('',(7.24,11.16,2.923)); #44027=CARTESIAN_POINT('',(7.24,11.16,3.053)); #44028=CARTESIAN_POINT('',(7.24,11.16,3.053)); #44029=CARTESIAN_POINT('',(7.24,11.16,2.923)); #44030=CARTESIAN_POINT('',(7.15,7.68,3.278)); #44031=CARTESIAN_POINT('',(7.15,11.16,3.278)); #44032=CARTESIAN_POINT('',(7.15,11.04,3.278)); #44033=CARTESIAN_POINT('',(7.15,11.16,3.81288957189034)); #44034=CARTESIAN_POINT('',(7.15,11.04,3.81288957189034)); #44035=CARTESIAN_POINT('',(7.15,11.16,3.81288957189034)); #44036=CARTESIAN_POINT('',(6.5,10.54,3.273)); #44037=CARTESIAN_POINT('',(6.5,10.54,3.273)); #44038=CARTESIAN_POINT('',(7.15,10.54,3.273)); #44039=CARTESIAN_POINT('',(7.15,10.66,3.273)); #44040=CARTESIAN_POINT('',(7.15,10.66,3.273)); #44041=CARTESIAN_POINT('',(6.5,10.66,3.273)); #44042=CARTESIAN_POINT('',(6.5,10.66,3.273)); #44043=CARTESIAN_POINT('',(6.5,10.66,3.273)); #44044=CARTESIAN_POINT('',(6.5,10.66,3.273)); #44045=CARTESIAN_POINT('',(6.5,10.54,3.273)); #44046=CARTESIAN_POINT('',(6.5,10.54,3.023)); #44047=CARTESIAN_POINT('',(6.5,10.66,3.273)); #44048=CARTESIAN_POINT('',(6.5,10.66,3.023)); #44049=CARTESIAN_POINT('',(6.5,10.66,3.023)); #44050=CARTESIAN_POINT('',(6.5,10.66,3.273)); #44051=CARTESIAN_POINT('',(6.5,10.54,3.023)); #44052=CARTESIAN_POINT('',(6.55,10.54,2.923)); #44053=CARTESIAN_POINT('',(6.5,10.66,3.023)); #44054=CARTESIAN_POINT('',(6.55,10.66,2.923)); #44055=CARTESIAN_POINT('',(6.55,10.66,2.923)); #44056=CARTESIAN_POINT('',(6.5,10.66,3.023)); #44057=CARTESIAN_POINT('',(6.55,10.54,2.923)); #44058=CARTESIAN_POINT('',(6.86,10.54,2.923)); #44059=CARTESIAN_POINT('',(6.55,10.66,2.923)); #44060=CARTESIAN_POINT('',(6.86,10.66,2.923)); #44061=CARTESIAN_POINT('',(6.86,10.66,2.923)); #44062=CARTESIAN_POINT('',(6.55,10.66,2.923)); #44063=CARTESIAN_POINT('',(6.86,10.54,2.923)); #44064=CARTESIAN_POINT('',(6.9,10.54,3.023)); #44065=CARTESIAN_POINT('',(6.86,10.66,2.923)); #44066=CARTESIAN_POINT('',(6.9,10.66,3.023)); #44067=CARTESIAN_POINT('',(6.9,10.66,3.023)); #44068=CARTESIAN_POINT('',(6.86,10.66,2.923)); #44069=CARTESIAN_POINT('',(6.9,10.54,3.023)); #44070=CARTESIAN_POINT('',(6.96,10.54,3.023)); #44071=CARTESIAN_POINT('',(6.9,10.66,3.023)); #44072=CARTESIAN_POINT('',(6.96,10.66,3.023)); #44073=CARTESIAN_POINT('',(6.96,10.66,3.023)); #44074=CARTESIAN_POINT('',(6.9,10.66,3.023)); #44075=CARTESIAN_POINT('',(6.96,10.54,3.023)); #44076=CARTESIAN_POINT('',(7.06,10.54,2.923)); #44077=CARTESIAN_POINT('',(6.96,10.66,3.023)); #44078=CARTESIAN_POINT('',(7.06,10.66,2.923)); #44079=CARTESIAN_POINT('',(7.06,10.66,2.923)); #44080=CARTESIAN_POINT('',(6.96,10.66,3.023)); #44081=CARTESIAN_POINT('',(7.06,10.54,2.923)); #44082=CARTESIAN_POINT('',(7.24,10.54,2.923)); #44083=CARTESIAN_POINT('',(7.06,10.66,2.923)); #44084=CARTESIAN_POINT('',(7.24,10.66,2.923)); #44085=CARTESIAN_POINT('',(7.24,10.66,2.923)); #44086=CARTESIAN_POINT('',(7.06,10.66,2.923)); #44087=CARTESIAN_POINT('',(7.24,10.54,2.923)); #44088=CARTESIAN_POINT('',(7.24,10.54,3.053)); #44089=CARTESIAN_POINT('',(7.24,10.66,2.923)); #44090=CARTESIAN_POINT('',(7.24,10.66,3.053)); #44091=CARTESIAN_POINT('',(7.24,10.66,3.053)); #44092=CARTESIAN_POINT('',(7.24,10.66,2.923)); #44093=CARTESIAN_POINT('',(7.15,7.68,3.278)); #44094=CARTESIAN_POINT('',(7.15,10.66,3.278)); #44095=CARTESIAN_POINT('',(7.15,10.54,3.278)); #44096=CARTESIAN_POINT('',(7.15,10.66,3.81288957189034)); #44097=CARTESIAN_POINT('',(7.15,10.54,3.81288957189034)); #44098=CARTESIAN_POINT('',(7.15,10.66,3.81288957189034)); #44099=CARTESIAN_POINT('',(6.5,10.04,3.273)); #44100=CARTESIAN_POINT('',(6.5,10.04,3.273)); #44101=CARTESIAN_POINT('',(7.15,10.04,3.273)); #44102=CARTESIAN_POINT('',(7.15,10.16,3.273)); #44103=CARTESIAN_POINT('',(7.15,10.16,3.273)); #44104=CARTESIAN_POINT('',(6.5,10.16,3.273)); #44105=CARTESIAN_POINT('',(6.5,10.16,3.273)); #44106=CARTESIAN_POINT('',(6.5,10.16,3.273)); #44107=CARTESIAN_POINT('',(6.5,10.16,3.273)); #44108=CARTESIAN_POINT('',(6.5,10.04,3.273)); #44109=CARTESIAN_POINT('',(6.5,10.04,3.023)); #44110=CARTESIAN_POINT('',(6.5,10.16,3.273)); #44111=CARTESIAN_POINT('',(6.5,10.16,3.023)); #44112=CARTESIAN_POINT('',(6.5,10.16,3.023)); #44113=CARTESIAN_POINT('',(6.5,10.16,3.273)); #44114=CARTESIAN_POINT('',(6.5,10.04,3.023)); #44115=CARTESIAN_POINT('',(6.55,10.04,2.923)); #44116=CARTESIAN_POINT('',(6.5,10.16,3.023)); #44117=CARTESIAN_POINT('',(6.55,10.16,2.923)); #44118=CARTESIAN_POINT('',(6.55,10.16,2.923)); #44119=CARTESIAN_POINT('',(6.5,10.16,3.023)); #44120=CARTESIAN_POINT('',(6.55,10.04,2.923)); #44121=CARTESIAN_POINT('',(6.86,10.04,2.923)); #44122=CARTESIAN_POINT('',(6.55,10.16,2.923)); #44123=CARTESIAN_POINT('',(6.86,10.16,2.923)); #44124=CARTESIAN_POINT('',(6.86,10.16,2.923)); #44125=CARTESIAN_POINT('',(6.55,10.16,2.923)); #44126=CARTESIAN_POINT('',(6.86,10.04,2.923)); #44127=CARTESIAN_POINT('',(6.9,10.04,3.023)); #44128=CARTESIAN_POINT('',(6.86,10.16,2.923)); #44129=CARTESIAN_POINT('',(6.9,10.16,3.023)); #44130=CARTESIAN_POINT('',(6.9,10.16,3.023)); #44131=CARTESIAN_POINT('',(6.86,10.16,2.923)); #44132=CARTESIAN_POINT('',(6.9,10.04,3.023)); #44133=CARTESIAN_POINT('',(6.96,10.04,3.023)); #44134=CARTESIAN_POINT('',(6.9,10.16,3.023)); #44135=CARTESIAN_POINT('',(6.96,10.16,3.023)); #44136=CARTESIAN_POINT('',(6.96,10.16,3.023)); #44137=CARTESIAN_POINT('',(6.9,10.16,3.023)); #44138=CARTESIAN_POINT('',(6.96,10.04,3.023)); #44139=CARTESIAN_POINT('',(7.06,10.04,2.923)); #44140=CARTESIAN_POINT('',(6.96,10.16,3.023)); #44141=CARTESIAN_POINT('',(7.06,10.16,2.923)); #44142=CARTESIAN_POINT('',(7.06,10.16,2.923)); #44143=CARTESIAN_POINT('',(6.96,10.16,3.023)); #44144=CARTESIAN_POINT('',(7.06,10.04,2.923)); #44145=CARTESIAN_POINT('',(7.24,10.04,2.923)); #44146=CARTESIAN_POINT('',(7.06,10.16,2.923)); #44147=CARTESIAN_POINT('',(7.24,10.16,2.923)); #44148=CARTESIAN_POINT('',(7.24,10.16,2.923)); #44149=CARTESIAN_POINT('',(7.06,10.16,2.923)); #44150=CARTESIAN_POINT('',(7.24,10.04,2.923)); #44151=CARTESIAN_POINT('',(7.24,10.04,3.053)); #44152=CARTESIAN_POINT('',(7.24,10.16,2.923)); #44153=CARTESIAN_POINT('',(7.24,10.16,3.053)); #44154=CARTESIAN_POINT('',(7.24,10.16,3.053)); #44155=CARTESIAN_POINT('',(7.24,10.16,2.923)); #44156=CARTESIAN_POINT('',(7.15,7.68,3.278)); #44157=CARTESIAN_POINT('',(7.15,10.16,3.278)); #44158=CARTESIAN_POINT('',(7.15,10.04,3.278)); #44159=CARTESIAN_POINT('',(7.15,10.16,3.81288957189034)); #44160=CARTESIAN_POINT('',(7.15,10.04,3.81288957189034)); #44161=CARTESIAN_POINT('',(7.15,10.16,3.81288957189034)); #44162=CARTESIAN_POINT('',(6.5,9.53999999999999,3.273)); #44163=CARTESIAN_POINT('',(6.5,9.53999999999999,3.273)); #44164=CARTESIAN_POINT('',(7.15,9.53999999999999,3.273)); #44165=CARTESIAN_POINT('',(7.15,9.66,3.273)); #44166=CARTESIAN_POINT('',(7.15,9.66,3.273)); #44167=CARTESIAN_POINT('',(6.5,9.66,3.273)); #44168=CARTESIAN_POINT('',(6.5,9.66,3.273)); #44169=CARTESIAN_POINT('',(6.5,9.66,3.273)); #44170=CARTESIAN_POINT('',(6.5,9.66,3.273)); #44171=CARTESIAN_POINT('',(6.5,9.53999999999999,3.273)); #44172=CARTESIAN_POINT('',(6.5,9.53999999999999,3.023)); #44173=CARTESIAN_POINT('',(6.5,9.66,3.273)); #44174=CARTESIAN_POINT('',(6.5,9.66,3.023)); #44175=CARTESIAN_POINT('',(6.5,9.66,3.023)); #44176=CARTESIAN_POINT('',(6.5,9.66,3.273)); #44177=CARTESIAN_POINT('',(6.5,9.53999999999999,3.023)); #44178=CARTESIAN_POINT('',(6.55,9.53999999999999,2.923)); #44179=CARTESIAN_POINT('',(6.5,9.66,3.023)); #44180=CARTESIAN_POINT('',(6.55,9.66,2.923)); #44181=CARTESIAN_POINT('',(6.55,9.66,2.923)); #44182=CARTESIAN_POINT('',(6.5,9.66,3.023)); #44183=CARTESIAN_POINT('',(6.55,9.53999999999999,2.923)); #44184=CARTESIAN_POINT('',(6.86,9.53999999999999,2.923)); #44185=CARTESIAN_POINT('',(6.55,9.66,2.923)); #44186=CARTESIAN_POINT('',(6.86,9.66,2.923)); #44187=CARTESIAN_POINT('',(6.86,9.66,2.923)); #44188=CARTESIAN_POINT('',(6.55,9.66,2.923)); #44189=CARTESIAN_POINT('',(6.86,9.53999999999999,2.923)); #44190=CARTESIAN_POINT('',(6.9,9.53999999999999,3.023)); #44191=CARTESIAN_POINT('',(6.86,9.66,2.923)); #44192=CARTESIAN_POINT('',(6.9,9.66,3.023)); #44193=CARTESIAN_POINT('',(6.9,9.66,3.023)); #44194=CARTESIAN_POINT('',(6.86,9.66,2.923)); #44195=CARTESIAN_POINT('',(6.9,9.53999999999999,3.023)); #44196=CARTESIAN_POINT('',(6.96,9.53999999999999,3.023)); #44197=CARTESIAN_POINT('',(6.9,9.66,3.023)); #44198=CARTESIAN_POINT('',(6.96,9.66,3.023)); #44199=CARTESIAN_POINT('',(6.96,9.66,3.023)); #44200=CARTESIAN_POINT('',(6.9,9.66,3.023)); #44201=CARTESIAN_POINT('',(6.96,9.53999999999999,3.023)); #44202=CARTESIAN_POINT('',(7.06,9.53999999999999,2.923)); #44203=CARTESIAN_POINT('',(6.96,9.66,3.023)); #44204=CARTESIAN_POINT('',(7.06,9.66,2.923)); #44205=CARTESIAN_POINT('',(7.06,9.66,2.923)); #44206=CARTESIAN_POINT('',(6.96,9.66,3.023)); #44207=CARTESIAN_POINT('',(7.06,9.53999999999999,2.923)); #44208=CARTESIAN_POINT('',(7.24,9.53999999999999,2.923)); #44209=CARTESIAN_POINT('',(7.06,9.66,2.923)); #44210=CARTESIAN_POINT('',(7.24,9.66,2.923)); #44211=CARTESIAN_POINT('',(7.24,9.66,2.923)); #44212=CARTESIAN_POINT('',(7.06,9.66,2.923)); #44213=CARTESIAN_POINT('',(7.24,9.53999999999999,2.923)); #44214=CARTESIAN_POINT('',(7.24,9.53999999999999,3.053)); #44215=CARTESIAN_POINT('',(7.24,9.66,2.923)); #44216=CARTESIAN_POINT('',(7.24,9.66,3.053)); #44217=CARTESIAN_POINT('',(7.24,9.66,3.053)); #44218=CARTESIAN_POINT('',(7.24,9.66,2.923)); #44219=CARTESIAN_POINT('',(7.15,7.68,3.278)); #44220=CARTESIAN_POINT('',(7.15,9.66,3.278)); #44221=CARTESIAN_POINT('',(7.15,9.53999999999999,3.278)); #44222=CARTESIAN_POINT('',(7.15,9.66,3.81288957189034)); #44223=CARTESIAN_POINT('',(7.15,9.53999999999999,3.81288957189034)); #44224=CARTESIAN_POINT('',(7.15,9.66,3.81288957189034)); #44225=CARTESIAN_POINT('',(6.5,9.04,3.273)); #44226=CARTESIAN_POINT('',(6.5,9.04,3.273)); #44227=CARTESIAN_POINT('',(7.15,9.04,3.273)); #44228=CARTESIAN_POINT('',(7.15,9.16,3.273)); #44229=CARTESIAN_POINT('',(7.15,9.16,3.273)); #44230=CARTESIAN_POINT('',(6.5,9.16,3.273)); #44231=CARTESIAN_POINT('',(6.5,9.16,3.273)); #44232=CARTESIAN_POINT('',(6.5,9.16,3.273)); #44233=CARTESIAN_POINT('',(6.5,9.16,3.273)); #44234=CARTESIAN_POINT('',(6.5,9.04,3.273)); #44235=CARTESIAN_POINT('',(6.5,9.04,3.023)); #44236=CARTESIAN_POINT('',(6.5,9.16,3.273)); #44237=CARTESIAN_POINT('',(6.5,9.16,3.023)); #44238=CARTESIAN_POINT('',(6.5,9.16,3.023)); #44239=CARTESIAN_POINT('',(6.5,9.16,3.273)); #44240=CARTESIAN_POINT('',(6.5,9.04,3.023)); #44241=CARTESIAN_POINT('',(6.55,9.04,2.923)); #44242=CARTESIAN_POINT('',(6.5,9.16,3.023)); #44243=CARTESIAN_POINT('',(6.55,9.16,2.923)); #44244=CARTESIAN_POINT('',(6.55,9.16,2.923)); #44245=CARTESIAN_POINT('',(6.5,9.16,3.023)); #44246=CARTESIAN_POINT('',(6.55,9.04,2.923)); #44247=CARTESIAN_POINT('',(6.86,9.04,2.923)); #44248=CARTESIAN_POINT('',(6.55,9.16,2.923)); #44249=CARTESIAN_POINT('',(6.86,9.16,2.923)); #44250=CARTESIAN_POINT('',(6.86,9.16,2.923)); #44251=CARTESIAN_POINT('',(6.55,9.16,2.923)); #44252=CARTESIAN_POINT('',(6.86,9.04,2.923)); #44253=CARTESIAN_POINT('',(6.9,9.04,3.023)); #44254=CARTESIAN_POINT('',(6.86,9.16,2.923)); #44255=CARTESIAN_POINT('',(6.9,9.16,3.023)); #44256=CARTESIAN_POINT('',(6.9,9.16,3.023)); #44257=CARTESIAN_POINT('',(6.86,9.16,2.923)); #44258=CARTESIAN_POINT('',(6.9,9.04,3.023)); #44259=CARTESIAN_POINT('',(6.96,9.04,3.023)); #44260=CARTESIAN_POINT('',(6.9,9.16,3.023)); #44261=CARTESIAN_POINT('',(6.96,9.16,3.023)); #44262=CARTESIAN_POINT('',(6.96,9.16,3.023)); #44263=CARTESIAN_POINT('',(6.9,9.16,3.023)); #44264=CARTESIAN_POINT('',(6.96,9.04,3.023)); #44265=CARTESIAN_POINT('',(7.06,9.04,2.923)); #44266=CARTESIAN_POINT('',(6.96,9.16,3.023)); #44267=CARTESIAN_POINT('',(7.06,9.16,2.923)); #44268=CARTESIAN_POINT('',(7.06,9.16,2.923)); #44269=CARTESIAN_POINT('',(6.96,9.16,3.023)); #44270=CARTESIAN_POINT('',(7.06,9.04,2.923)); #44271=CARTESIAN_POINT('',(7.24,9.04,2.923)); #44272=CARTESIAN_POINT('',(7.06,9.16,2.923)); #44273=CARTESIAN_POINT('',(7.24,9.16,2.923)); #44274=CARTESIAN_POINT('',(7.24,9.16,2.923)); #44275=CARTESIAN_POINT('',(7.06,9.16,2.923)); #44276=CARTESIAN_POINT('',(7.24,9.04,2.923)); #44277=CARTESIAN_POINT('',(7.24,9.04,3.053)); #44278=CARTESIAN_POINT('',(7.24,9.16,2.923)); #44279=CARTESIAN_POINT('',(7.24,9.16,3.053)); #44280=CARTESIAN_POINT('',(7.24,9.16,3.053)); #44281=CARTESIAN_POINT('',(7.24,9.16,2.923)); #44282=CARTESIAN_POINT('',(7.15,7.68,3.278)); #44283=CARTESIAN_POINT('',(7.15,9.16,3.278)); #44284=CARTESIAN_POINT('',(7.15,9.04,3.278)); #44285=CARTESIAN_POINT('',(7.15,9.16,3.81288957189034)); #44286=CARTESIAN_POINT('',(7.15,9.04,3.81288957189034)); #44287=CARTESIAN_POINT('',(7.15,9.16,3.81288957189034)); #44288=CARTESIAN_POINT('',(6.5,8.54,3.273)); #44289=CARTESIAN_POINT('',(6.5,8.54,3.273)); #44290=CARTESIAN_POINT('',(7.15,8.54,3.273)); #44291=CARTESIAN_POINT('',(7.15,8.66,3.273)); #44292=CARTESIAN_POINT('',(7.15,8.66,3.273)); #44293=CARTESIAN_POINT('',(6.5,8.66,3.273)); #44294=CARTESIAN_POINT('',(6.5,8.66,3.273)); #44295=CARTESIAN_POINT('',(6.5,8.66,3.273)); #44296=CARTESIAN_POINT('',(6.5,8.66,3.273)); #44297=CARTESIAN_POINT('',(6.5,8.54,3.273)); #44298=CARTESIAN_POINT('',(6.5,8.54,3.023)); #44299=CARTESIAN_POINT('',(6.5,8.66,3.273)); #44300=CARTESIAN_POINT('',(6.5,8.66,3.023)); #44301=CARTESIAN_POINT('',(6.5,8.66,3.023)); #44302=CARTESIAN_POINT('',(6.5,8.66,3.273)); #44303=CARTESIAN_POINT('',(6.5,8.54,3.023)); #44304=CARTESIAN_POINT('',(6.55,8.54,2.923)); #44305=CARTESIAN_POINT('',(6.5,8.66,3.023)); #44306=CARTESIAN_POINT('',(6.55,8.66,2.923)); #44307=CARTESIAN_POINT('',(6.55,8.66,2.923)); #44308=CARTESIAN_POINT('',(6.5,8.66,3.023)); #44309=CARTESIAN_POINT('',(6.55,8.54,2.923)); #44310=CARTESIAN_POINT('',(6.86,8.54,2.923)); #44311=CARTESIAN_POINT('',(6.55,8.66,2.923)); #44312=CARTESIAN_POINT('',(6.86,8.66,2.923)); #44313=CARTESIAN_POINT('',(6.86,8.66,2.923)); #44314=CARTESIAN_POINT('',(6.55,8.66,2.923)); #44315=CARTESIAN_POINT('',(6.86,8.54,2.923)); #44316=CARTESIAN_POINT('',(6.9,8.54,3.023)); #44317=CARTESIAN_POINT('',(6.86,8.66,2.923)); #44318=CARTESIAN_POINT('',(6.9,8.66,3.023)); #44319=CARTESIAN_POINT('',(6.9,8.66,3.023)); #44320=CARTESIAN_POINT('',(6.86,8.66,2.923)); #44321=CARTESIAN_POINT('',(6.9,8.54,3.023)); #44322=CARTESIAN_POINT('',(6.96,8.54,3.023)); #44323=CARTESIAN_POINT('',(6.9,8.66,3.023)); #44324=CARTESIAN_POINT('',(6.96,8.66,3.023)); #44325=CARTESIAN_POINT('',(6.96,8.66,3.023)); #44326=CARTESIAN_POINT('',(6.9,8.66,3.023)); #44327=CARTESIAN_POINT('',(6.96,8.54,3.023)); #44328=CARTESIAN_POINT('',(7.06,8.54,2.923)); #44329=CARTESIAN_POINT('',(6.96,8.66,3.023)); #44330=CARTESIAN_POINT('',(7.06,8.66,2.923)); #44331=CARTESIAN_POINT('',(7.06,8.66,2.923)); #44332=CARTESIAN_POINT('',(6.96,8.66,3.023)); #44333=CARTESIAN_POINT('',(7.06,8.54,2.923)); #44334=CARTESIAN_POINT('',(7.24,8.54,2.923)); #44335=CARTESIAN_POINT('',(7.06,8.66,2.923)); #44336=CARTESIAN_POINT('',(7.24,8.66,2.923)); #44337=CARTESIAN_POINT('',(7.24,8.66,2.923)); #44338=CARTESIAN_POINT('',(7.06,8.66,2.923)); #44339=CARTESIAN_POINT('',(7.24,8.54,2.923)); #44340=CARTESIAN_POINT('',(7.24,8.54,3.053)); #44341=CARTESIAN_POINT('',(7.24,8.66,2.923)); #44342=CARTESIAN_POINT('',(7.24,8.66,3.053)); #44343=CARTESIAN_POINT('',(7.24,8.66,3.053)); #44344=CARTESIAN_POINT('',(7.24,8.66,2.923)); #44345=CARTESIAN_POINT('',(7.15,7.68,3.278)); #44346=CARTESIAN_POINT('',(7.15,8.66,3.278)); #44347=CARTESIAN_POINT('',(7.15,8.54,3.278)); #44348=CARTESIAN_POINT('',(7.15,8.66,3.81288957189034)); #44349=CARTESIAN_POINT('',(7.15,8.54,3.81288957189034)); #44350=CARTESIAN_POINT('',(7.15,8.66,3.81288957189034)); #44351=CARTESIAN_POINT('',(6.5,8.04,3.273)); #44352=CARTESIAN_POINT('',(6.5,8.04,3.273)); #44353=CARTESIAN_POINT('',(7.15,8.04,3.273)); #44354=CARTESIAN_POINT('',(7.15,8.15999999999999,3.273)); #44355=CARTESIAN_POINT('',(7.15,8.15999999999999,3.273)); #44356=CARTESIAN_POINT('',(6.5,8.15999999999999,3.273)); #44357=CARTESIAN_POINT('',(6.5,8.15999999999999,3.273)); #44358=CARTESIAN_POINT('',(6.5,8.15999999999999,3.273)); #44359=CARTESIAN_POINT('',(6.5,8.15999999999999,3.273)); #44360=CARTESIAN_POINT('',(6.5,8.04,3.273)); #44361=CARTESIAN_POINT('',(6.5,8.04,3.023)); #44362=CARTESIAN_POINT('',(6.5,8.15999999999999,3.273)); #44363=CARTESIAN_POINT('',(6.5,8.15999999999999,3.023)); #44364=CARTESIAN_POINT('',(6.5,8.15999999999999,3.023)); #44365=CARTESIAN_POINT('',(6.5,8.15999999999999,3.273)); #44366=CARTESIAN_POINT('',(6.5,8.04,3.023)); #44367=CARTESIAN_POINT('',(6.55,8.04,2.923)); #44368=CARTESIAN_POINT('',(6.5,8.15999999999999,3.023)); #44369=CARTESIAN_POINT('',(6.55,8.15999999999999,2.923)); #44370=CARTESIAN_POINT('',(6.55,8.15999999999999,2.923)); #44371=CARTESIAN_POINT('',(6.5,8.15999999999999,3.023)); #44372=CARTESIAN_POINT('',(6.55,8.04,2.923)); #44373=CARTESIAN_POINT('',(6.86,8.04,2.923)); #44374=CARTESIAN_POINT('',(6.55,8.15999999999999,2.923)); #44375=CARTESIAN_POINT('',(6.86,8.15999999999999,2.923)); #44376=CARTESIAN_POINT('',(6.86,8.15999999999999,2.923)); #44377=CARTESIAN_POINT('',(6.55,8.15999999999999,2.923)); #44378=CARTESIAN_POINT('',(6.86,8.04,2.923)); #44379=CARTESIAN_POINT('',(6.9,8.04,3.023)); #44380=CARTESIAN_POINT('',(6.86,8.15999999999999,2.923)); #44381=CARTESIAN_POINT('',(6.9,8.15999999999999,3.023)); #44382=CARTESIAN_POINT('',(6.9,8.15999999999999,3.023)); #44383=CARTESIAN_POINT('',(6.86,8.15999999999999,2.923)); #44384=CARTESIAN_POINT('',(6.9,8.04,3.023)); #44385=CARTESIAN_POINT('',(6.96,8.04,3.023)); #44386=CARTESIAN_POINT('',(6.9,8.15999999999999,3.023)); #44387=CARTESIAN_POINT('',(6.96,8.15999999999999,3.023)); #44388=CARTESIAN_POINT('',(6.96,8.15999999999999,3.023)); #44389=CARTESIAN_POINT('',(6.9,8.15999999999999,3.023)); #44390=CARTESIAN_POINT('',(6.96,8.04,3.023)); #44391=CARTESIAN_POINT('',(7.06,8.04,2.923)); #44392=CARTESIAN_POINT('',(6.96,8.15999999999999,3.023)); #44393=CARTESIAN_POINT('',(7.06,8.15999999999999,2.923)); #44394=CARTESIAN_POINT('',(7.06,8.15999999999999,2.923)); #44395=CARTESIAN_POINT('',(6.96,8.15999999999999,3.023)); #44396=CARTESIAN_POINT('',(7.06,8.04,2.923)); #44397=CARTESIAN_POINT('',(7.24,8.04,2.923)); #44398=CARTESIAN_POINT('',(7.06,8.15999999999999,2.923)); #44399=CARTESIAN_POINT('',(7.24,8.15999999999999,2.923)); #44400=CARTESIAN_POINT('',(7.24,8.15999999999999,2.923)); #44401=CARTESIAN_POINT('',(7.06,8.15999999999999,2.923)); #44402=CARTESIAN_POINT('',(7.24,8.04,2.923)); #44403=CARTESIAN_POINT('',(7.24,8.04,3.053)); #44404=CARTESIAN_POINT('',(7.24,8.15999999999999,2.923)); #44405=CARTESIAN_POINT('',(7.24,8.15999999999999,3.053)); #44406=CARTESIAN_POINT('',(7.24,8.15999999999999,3.053)); #44407=CARTESIAN_POINT('',(7.24,8.15999999999999,2.923)); #44408=CARTESIAN_POINT('',(7.15,7.68,3.278)); #44409=CARTESIAN_POINT('',(7.15,8.15999999999999,3.278)); #44410=CARTESIAN_POINT('',(7.15,8.04,3.278)); #44411=CARTESIAN_POINT('',(7.15,8.15999999999999,3.81288957189034)); #44412=CARTESIAN_POINT('',(7.15,8.04,3.81288957189034)); #44413=CARTESIAN_POINT('',(7.15,8.15999999999999,3.81288957189034)); #44414=CARTESIAN_POINT('',(6.5,15.54,3.273)); #44415=CARTESIAN_POINT('',(6.5,15.54,3.273)); #44416=CARTESIAN_POINT('',(7.15,15.54,3.273)); #44417=CARTESIAN_POINT('',(7.15,15.66,3.273)); #44418=CARTESIAN_POINT('',(7.15,15.66,3.273)); #44419=CARTESIAN_POINT('',(6.5,15.66,3.273)); #44420=CARTESIAN_POINT('',(6.5,15.66,3.273)); #44421=CARTESIAN_POINT('',(6.5,15.66,3.273)); #44422=CARTESIAN_POINT('',(6.5,15.66,3.273)); #44423=CARTESIAN_POINT('',(6.5,15.54,3.273)); #44424=CARTESIAN_POINT('',(6.5,15.54,3.023)); #44425=CARTESIAN_POINT('',(6.5,15.66,3.273)); #44426=CARTESIAN_POINT('',(6.5,15.66,3.023)); #44427=CARTESIAN_POINT('',(6.5,15.66,3.023)); #44428=CARTESIAN_POINT('',(6.5,15.66,3.273)); #44429=CARTESIAN_POINT('',(6.5,15.54,3.023)); #44430=CARTESIAN_POINT('',(6.55,15.54,2.923)); #44431=CARTESIAN_POINT('',(6.5,15.66,3.023)); #44432=CARTESIAN_POINT('',(6.55,15.66,2.923)); #44433=CARTESIAN_POINT('',(6.55,15.66,2.923)); #44434=CARTESIAN_POINT('',(6.5,15.66,3.023)); #44435=CARTESIAN_POINT('',(6.55,15.54,2.923)); #44436=CARTESIAN_POINT('',(6.86,15.54,2.923)); #44437=CARTESIAN_POINT('',(6.55,15.66,2.923)); #44438=CARTESIAN_POINT('',(6.86,15.66,2.923)); #44439=CARTESIAN_POINT('',(6.86,15.66,2.923)); #44440=CARTESIAN_POINT('',(6.55,15.66,2.923)); #44441=CARTESIAN_POINT('',(6.86,15.54,2.923)); #44442=CARTESIAN_POINT('',(6.9,15.54,3.023)); #44443=CARTESIAN_POINT('',(6.86,15.66,2.923)); #44444=CARTESIAN_POINT('',(6.9,15.66,3.023)); #44445=CARTESIAN_POINT('',(6.9,15.66,3.023)); #44446=CARTESIAN_POINT('',(6.86,15.66,2.923)); #44447=CARTESIAN_POINT('',(6.9,15.54,3.023)); #44448=CARTESIAN_POINT('',(6.96,15.54,3.023)); #44449=CARTESIAN_POINT('',(6.9,15.66,3.023)); #44450=CARTESIAN_POINT('',(6.96,15.66,3.023)); #44451=CARTESIAN_POINT('',(6.96,15.66,3.023)); #44452=CARTESIAN_POINT('',(6.9,15.66,3.023)); #44453=CARTESIAN_POINT('',(6.96,15.54,3.023)); #44454=CARTESIAN_POINT('',(7.06,15.54,2.923)); #44455=CARTESIAN_POINT('',(6.96,15.66,3.023)); #44456=CARTESIAN_POINT('',(7.06,15.66,2.923)); #44457=CARTESIAN_POINT('',(7.06,15.66,2.923)); #44458=CARTESIAN_POINT('',(6.96,15.66,3.023)); #44459=CARTESIAN_POINT('',(7.06,15.54,2.923)); #44460=CARTESIAN_POINT('',(7.24,15.54,2.923)); #44461=CARTESIAN_POINT('',(7.06,15.66,2.923)); #44462=CARTESIAN_POINT('',(7.24,15.66,2.923)); #44463=CARTESIAN_POINT('',(7.24,15.66,2.923)); #44464=CARTESIAN_POINT('',(7.06,15.66,2.923)); #44465=CARTESIAN_POINT('',(7.24,15.54,2.923)); #44466=CARTESIAN_POINT('',(7.24,15.54,3.053)); #44467=CARTESIAN_POINT('',(7.24,15.66,2.923)); #44468=CARTESIAN_POINT('',(7.24,15.66,3.053)); #44469=CARTESIAN_POINT('',(7.24,15.66,3.053)); #44470=CARTESIAN_POINT('',(7.24,15.66,2.923)); #44471=CARTESIAN_POINT('',(7.15,5.68,3.278)); #44472=CARTESIAN_POINT('',(7.15,15.66,3.278)); #44473=CARTESIAN_POINT('',(7.15,15.54,3.278)); #44474=CARTESIAN_POINT('',(7.15,15.66,3.81288957189034)); #44475=CARTESIAN_POINT('',(7.15,15.54,3.81288957189034)); #44476=CARTESIAN_POINT('',(7.15,15.66,3.81288957189034)); #44477=CARTESIAN_POINT('',(6.5,15.04,3.273)); #44478=CARTESIAN_POINT('',(6.5,15.04,3.273)); #44479=CARTESIAN_POINT('',(7.15,15.04,3.273)); #44480=CARTESIAN_POINT('',(7.15,15.16,3.273)); #44481=CARTESIAN_POINT('',(7.15,15.16,3.273)); #44482=CARTESIAN_POINT('',(6.5,15.16,3.273)); #44483=CARTESIAN_POINT('',(6.5,15.16,3.273)); #44484=CARTESIAN_POINT('',(6.5,15.16,3.273)); #44485=CARTESIAN_POINT('',(6.5,15.16,3.273)); #44486=CARTESIAN_POINT('',(6.5,15.04,3.273)); #44487=CARTESIAN_POINT('',(6.5,15.04,3.023)); #44488=CARTESIAN_POINT('',(6.5,15.16,3.273)); #44489=CARTESIAN_POINT('',(6.5,15.16,3.023)); #44490=CARTESIAN_POINT('',(6.5,15.16,3.023)); #44491=CARTESIAN_POINT('',(6.5,15.16,3.273)); #44492=CARTESIAN_POINT('',(6.5,15.04,3.023)); #44493=CARTESIAN_POINT('',(6.55,15.04,2.923)); #44494=CARTESIAN_POINT('',(6.5,15.16,3.023)); #44495=CARTESIAN_POINT('',(6.55,15.16,2.923)); #44496=CARTESIAN_POINT('',(6.55,15.16,2.923)); #44497=CARTESIAN_POINT('',(6.5,15.16,3.023)); #44498=CARTESIAN_POINT('',(6.55,15.04,2.923)); #44499=CARTESIAN_POINT('',(6.86,15.04,2.923)); #44500=CARTESIAN_POINT('',(6.55,15.16,2.923)); #44501=CARTESIAN_POINT('',(6.86,15.16,2.923)); #44502=CARTESIAN_POINT('',(6.86,15.16,2.923)); #44503=CARTESIAN_POINT('',(6.55,15.16,2.923)); #44504=CARTESIAN_POINT('',(6.86,15.04,2.923)); #44505=CARTESIAN_POINT('',(6.9,15.04,3.023)); #44506=CARTESIAN_POINT('',(6.86,15.16,2.923)); #44507=CARTESIAN_POINT('',(6.9,15.16,3.023)); #44508=CARTESIAN_POINT('',(6.9,15.16,3.023)); #44509=CARTESIAN_POINT('',(6.86,15.16,2.923)); #44510=CARTESIAN_POINT('',(6.9,15.04,3.023)); #44511=CARTESIAN_POINT('',(6.96,15.04,3.023)); #44512=CARTESIAN_POINT('',(6.9,15.16,3.023)); #44513=CARTESIAN_POINT('',(6.96,15.16,3.023)); #44514=CARTESIAN_POINT('',(6.96,15.16,3.023)); #44515=CARTESIAN_POINT('',(6.9,15.16,3.023)); #44516=CARTESIAN_POINT('',(6.96,15.04,3.023)); #44517=CARTESIAN_POINT('',(7.06,15.04,2.923)); #44518=CARTESIAN_POINT('',(6.96,15.16,3.023)); #44519=CARTESIAN_POINT('',(7.06,15.16,2.923)); #44520=CARTESIAN_POINT('',(7.06,15.16,2.923)); #44521=CARTESIAN_POINT('',(6.96,15.16,3.023)); #44522=CARTESIAN_POINT('',(7.06,15.04,2.923)); #44523=CARTESIAN_POINT('',(7.24,15.04,2.923)); #44524=CARTESIAN_POINT('',(7.06,15.16,2.923)); #44525=CARTESIAN_POINT('',(7.24,15.16,2.923)); #44526=CARTESIAN_POINT('',(7.24,15.16,2.923)); #44527=CARTESIAN_POINT('',(7.06,15.16,2.923)); #44528=CARTESIAN_POINT('',(7.24,15.04,2.923)); #44529=CARTESIAN_POINT('',(7.24,15.04,3.053)); #44530=CARTESIAN_POINT('',(7.24,15.16,2.923)); #44531=CARTESIAN_POINT('',(7.24,15.16,3.053)); #44532=CARTESIAN_POINT('',(7.24,15.16,3.053)); #44533=CARTESIAN_POINT('',(7.24,15.16,2.923)); #44534=CARTESIAN_POINT('',(7.15,5.68,3.278)); #44535=CARTESIAN_POINT('',(7.15,15.16,3.278)); #44536=CARTESIAN_POINT('',(7.15,15.04,3.278)); #44537=CARTESIAN_POINT('',(7.15,15.16,3.81288957189034)); #44538=CARTESIAN_POINT('',(7.15,15.04,3.81288957189034)); #44539=CARTESIAN_POINT('',(7.15,15.16,3.81288957189034)); #44540=CARTESIAN_POINT('',(6.5,14.54,3.273)); #44541=CARTESIAN_POINT('',(6.5,14.54,3.273)); #44542=CARTESIAN_POINT('',(7.15,14.54,3.273)); #44543=CARTESIAN_POINT('',(7.15,14.66,3.273)); #44544=CARTESIAN_POINT('',(7.15,14.66,3.273)); #44545=CARTESIAN_POINT('',(6.5,14.66,3.273)); #44546=CARTESIAN_POINT('',(6.5,14.66,3.273)); #44547=CARTESIAN_POINT('',(6.5,14.66,3.273)); #44548=CARTESIAN_POINT('',(6.5,14.66,3.273)); #44549=CARTESIAN_POINT('',(6.5,14.54,3.273)); #44550=CARTESIAN_POINT('',(6.5,14.54,3.023)); #44551=CARTESIAN_POINT('',(6.5,14.66,3.273)); #44552=CARTESIAN_POINT('',(6.5,14.66,3.023)); #44553=CARTESIAN_POINT('',(6.5,14.66,3.023)); #44554=CARTESIAN_POINT('',(6.5,14.66,3.273)); #44555=CARTESIAN_POINT('',(6.5,14.54,3.023)); #44556=CARTESIAN_POINT('',(6.55,14.54,2.923)); #44557=CARTESIAN_POINT('',(6.5,14.66,3.023)); #44558=CARTESIAN_POINT('',(6.55,14.66,2.923)); #44559=CARTESIAN_POINT('',(6.55,14.66,2.923)); #44560=CARTESIAN_POINT('',(6.5,14.66,3.023)); #44561=CARTESIAN_POINT('',(6.55,14.54,2.923)); #44562=CARTESIAN_POINT('',(6.86,14.54,2.923)); #44563=CARTESIAN_POINT('',(6.55,14.66,2.923)); #44564=CARTESIAN_POINT('',(6.86,14.66,2.923)); #44565=CARTESIAN_POINT('',(6.86,14.66,2.923)); #44566=CARTESIAN_POINT('',(6.55,14.66,2.923)); #44567=CARTESIAN_POINT('',(6.86,14.54,2.923)); #44568=CARTESIAN_POINT('',(6.9,14.54,3.023)); #44569=CARTESIAN_POINT('',(6.86,14.66,2.923)); #44570=CARTESIAN_POINT('',(6.9,14.66,3.023)); #44571=CARTESIAN_POINT('',(6.9,14.66,3.023)); #44572=CARTESIAN_POINT('',(6.86,14.66,2.923)); #44573=CARTESIAN_POINT('',(6.9,14.54,3.023)); #44574=CARTESIAN_POINT('',(6.96,14.54,3.023)); #44575=CARTESIAN_POINT('',(6.9,14.66,3.023)); #44576=CARTESIAN_POINT('',(6.96,14.66,3.023)); #44577=CARTESIAN_POINT('',(6.96,14.66,3.023)); #44578=CARTESIAN_POINT('',(6.9,14.66,3.023)); #44579=CARTESIAN_POINT('',(6.96,14.54,3.023)); #44580=CARTESIAN_POINT('',(7.06,14.54,2.923)); #44581=CARTESIAN_POINT('',(6.96,14.66,3.023)); #44582=CARTESIAN_POINT('',(7.06,14.66,2.923)); #44583=CARTESIAN_POINT('',(7.06,14.66,2.923)); #44584=CARTESIAN_POINT('',(6.96,14.66,3.023)); #44585=CARTESIAN_POINT('',(7.06,14.54,2.923)); #44586=CARTESIAN_POINT('',(7.24,14.54,2.923)); #44587=CARTESIAN_POINT('',(7.06,14.66,2.923)); #44588=CARTESIAN_POINT('',(7.24,14.66,2.923)); #44589=CARTESIAN_POINT('',(7.24,14.66,2.923)); #44590=CARTESIAN_POINT('',(7.06,14.66,2.923)); #44591=CARTESIAN_POINT('',(7.24,14.54,2.923)); #44592=CARTESIAN_POINT('',(7.24,14.54,3.053)); #44593=CARTESIAN_POINT('',(7.24,14.66,2.923)); #44594=CARTESIAN_POINT('',(7.24,14.66,3.053)); #44595=CARTESIAN_POINT('',(7.24,14.66,3.053)); #44596=CARTESIAN_POINT('',(7.24,14.66,2.923)); #44597=CARTESIAN_POINT('',(7.15,5.68,3.278)); #44598=CARTESIAN_POINT('',(7.15,14.66,3.278)); #44599=CARTESIAN_POINT('',(7.15,14.54,3.278)); #44600=CARTESIAN_POINT('',(7.15,14.66,3.81288957189034)); #44601=CARTESIAN_POINT('',(7.15,14.54,3.81288957189034)); #44602=CARTESIAN_POINT('',(7.15,14.66,3.81288957189034)); #44603=CARTESIAN_POINT('',(6.5,14.04,3.273)); #44604=CARTESIAN_POINT('',(6.5,14.04,3.273)); #44605=CARTESIAN_POINT('',(7.15,14.04,3.273)); #44606=CARTESIAN_POINT('',(7.15,14.16,3.273)); #44607=CARTESIAN_POINT('',(7.15,14.16,3.273)); #44608=CARTESIAN_POINT('',(6.5,14.16,3.273)); #44609=CARTESIAN_POINT('',(6.5,14.16,3.273)); #44610=CARTESIAN_POINT('',(6.5,14.16,3.273)); #44611=CARTESIAN_POINT('',(6.5,14.16,3.273)); #44612=CARTESIAN_POINT('',(6.5,14.04,3.273)); #44613=CARTESIAN_POINT('',(6.5,14.04,3.023)); #44614=CARTESIAN_POINT('',(6.5,14.16,3.273)); #44615=CARTESIAN_POINT('',(6.5,14.16,3.023)); #44616=CARTESIAN_POINT('',(6.5,14.16,3.023)); #44617=CARTESIAN_POINT('',(6.5,14.16,3.273)); #44618=CARTESIAN_POINT('',(6.5,14.04,3.023)); #44619=CARTESIAN_POINT('',(6.55,14.04,2.923)); #44620=CARTESIAN_POINT('',(6.5,14.16,3.023)); #44621=CARTESIAN_POINT('',(6.55,14.16,2.923)); #44622=CARTESIAN_POINT('',(6.55,14.16,2.923)); #44623=CARTESIAN_POINT('',(6.5,14.16,3.023)); #44624=CARTESIAN_POINT('',(6.55,14.04,2.923)); #44625=CARTESIAN_POINT('',(6.86,14.04,2.923)); #44626=CARTESIAN_POINT('',(6.55,14.16,2.923)); #44627=CARTESIAN_POINT('',(6.86,14.16,2.923)); #44628=CARTESIAN_POINT('',(6.86,14.16,2.923)); #44629=CARTESIAN_POINT('',(6.55,14.16,2.923)); #44630=CARTESIAN_POINT('',(6.86,14.04,2.923)); #44631=CARTESIAN_POINT('',(6.9,14.04,3.023)); #44632=CARTESIAN_POINT('',(6.86,14.16,2.923)); #44633=CARTESIAN_POINT('',(6.9,14.16,3.023)); #44634=CARTESIAN_POINT('',(6.9,14.16,3.023)); #44635=CARTESIAN_POINT('',(6.86,14.16,2.923)); #44636=CARTESIAN_POINT('',(6.9,14.04,3.023)); #44637=CARTESIAN_POINT('',(6.96,14.04,3.023)); #44638=CARTESIAN_POINT('',(6.9,14.16,3.023)); #44639=CARTESIAN_POINT('',(6.96,14.16,3.023)); #44640=CARTESIAN_POINT('',(6.96,14.16,3.023)); #44641=CARTESIAN_POINT('',(6.9,14.16,3.023)); #44642=CARTESIAN_POINT('',(6.96,14.04,3.023)); #44643=CARTESIAN_POINT('',(7.06,14.04,2.923)); #44644=CARTESIAN_POINT('',(6.96,14.16,3.023)); #44645=CARTESIAN_POINT('',(7.06,14.16,2.923)); #44646=CARTESIAN_POINT('',(7.06,14.16,2.923)); #44647=CARTESIAN_POINT('',(6.96,14.16,3.023)); #44648=CARTESIAN_POINT('',(7.06,14.04,2.923)); #44649=CARTESIAN_POINT('',(7.24,14.04,2.923)); #44650=CARTESIAN_POINT('',(7.06,14.16,2.923)); #44651=CARTESIAN_POINT('',(7.24,14.16,2.923)); #44652=CARTESIAN_POINT('',(7.24,14.16,2.923)); #44653=CARTESIAN_POINT('',(7.06,14.16,2.923)); #44654=CARTESIAN_POINT('',(7.24,14.04,2.923)); #44655=CARTESIAN_POINT('',(7.24,14.04,3.053)); #44656=CARTESIAN_POINT('',(7.24,14.16,2.923)); #44657=CARTESIAN_POINT('',(7.24,14.16,3.053)); #44658=CARTESIAN_POINT('',(7.24,14.16,3.053)); #44659=CARTESIAN_POINT('',(7.24,14.16,2.923)); #44660=CARTESIAN_POINT('',(7.15,5.68,3.278)); #44661=CARTESIAN_POINT('',(7.15,14.16,3.278)); #44662=CARTESIAN_POINT('',(7.15,14.04,3.278)); #44663=CARTESIAN_POINT('',(7.15,14.16,3.81288957189034)); #44664=CARTESIAN_POINT('',(7.15,14.04,3.81288957189034)); #44665=CARTESIAN_POINT('',(7.15,14.16,3.81288957189034)); #44666=CARTESIAN_POINT('',(6.5,13.54,3.273)); #44667=CARTESIAN_POINT('',(6.5,13.54,3.273)); #44668=CARTESIAN_POINT('',(7.15,13.54,3.273)); #44669=CARTESIAN_POINT('',(7.15,13.66,3.273)); #44670=CARTESIAN_POINT('',(7.15,13.66,3.273)); #44671=CARTESIAN_POINT('',(6.5,13.66,3.273)); #44672=CARTESIAN_POINT('',(6.5,13.66,3.273)); #44673=CARTESIAN_POINT('',(6.5,13.66,3.273)); #44674=CARTESIAN_POINT('',(6.5,13.66,3.273)); #44675=CARTESIAN_POINT('',(6.5,13.54,3.273)); #44676=CARTESIAN_POINT('',(6.5,13.54,3.023)); #44677=CARTESIAN_POINT('',(6.5,13.66,3.273)); #44678=CARTESIAN_POINT('',(6.5,13.66,3.023)); #44679=CARTESIAN_POINT('',(6.5,13.66,3.023)); #44680=CARTESIAN_POINT('',(6.5,13.66,3.273)); #44681=CARTESIAN_POINT('',(6.5,13.54,3.023)); #44682=CARTESIAN_POINT('',(6.55,13.54,2.923)); #44683=CARTESIAN_POINT('',(6.5,13.66,3.023)); #44684=CARTESIAN_POINT('',(6.55,13.66,2.923)); #44685=CARTESIAN_POINT('',(6.55,13.66,2.923)); #44686=CARTESIAN_POINT('',(6.5,13.66,3.023)); #44687=CARTESIAN_POINT('',(6.55,13.54,2.923)); #44688=CARTESIAN_POINT('',(6.86,13.54,2.923)); #44689=CARTESIAN_POINT('',(6.55,13.66,2.923)); #44690=CARTESIAN_POINT('',(6.86,13.66,2.923)); #44691=CARTESIAN_POINT('',(6.86,13.66,2.923)); #44692=CARTESIAN_POINT('',(6.55,13.66,2.923)); #44693=CARTESIAN_POINT('',(6.86,13.54,2.923)); #44694=CARTESIAN_POINT('',(6.9,13.54,3.023)); #44695=CARTESIAN_POINT('',(6.86,13.66,2.923)); #44696=CARTESIAN_POINT('',(6.9,13.66,3.023)); #44697=CARTESIAN_POINT('',(6.9,13.66,3.023)); #44698=CARTESIAN_POINT('',(6.86,13.66,2.923)); #44699=CARTESIAN_POINT('',(6.9,13.54,3.023)); #44700=CARTESIAN_POINT('',(6.96,13.54,3.023)); #44701=CARTESIAN_POINT('',(6.9,13.66,3.023)); #44702=CARTESIAN_POINT('',(6.96,13.66,3.023)); #44703=CARTESIAN_POINT('',(6.96,13.66,3.023)); #44704=CARTESIAN_POINT('',(6.9,13.66,3.023)); #44705=CARTESIAN_POINT('',(6.96,13.54,3.023)); #44706=CARTESIAN_POINT('',(7.06,13.54,2.923)); #44707=CARTESIAN_POINT('',(6.96,13.66,3.023)); #44708=CARTESIAN_POINT('',(7.06,13.66,2.923)); #44709=CARTESIAN_POINT('',(7.06,13.66,2.923)); #44710=CARTESIAN_POINT('',(6.96,13.66,3.023)); #44711=CARTESIAN_POINT('',(7.06,13.54,2.923)); #44712=CARTESIAN_POINT('',(7.24,13.54,2.923)); #44713=CARTESIAN_POINT('',(7.06,13.66,2.923)); #44714=CARTESIAN_POINT('',(7.24,13.66,2.923)); #44715=CARTESIAN_POINT('',(7.24,13.66,2.923)); #44716=CARTESIAN_POINT('',(7.06,13.66,2.923)); #44717=CARTESIAN_POINT('',(7.24,13.54,2.923)); #44718=CARTESIAN_POINT('',(7.24,13.54,3.053)); #44719=CARTESIAN_POINT('',(7.24,13.66,2.923)); #44720=CARTESIAN_POINT('',(7.24,13.66,3.053)); #44721=CARTESIAN_POINT('',(7.24,13.66,3.053)); #44722=CARTESIAN_POINT('',(7.24,13.66,2.923)); #44723=CARTESIAN_POINT('',(7.15,5.68,3.278)); #44724=CARTESIAN_POINT('',(7.15,13.66,3.278)); #44725=CARTESIAN_POINT('',(7.15,13.54,3.278)); #44726=CARTESIAN_POINT('',(7.15,13.66,3.81288957189034)); #44727=CARTESIAN_POINT('',(7.15,13.54,3.81288957189034)); #44728=CARTESIAN_POINT('',(7.15,13.66,3.81288957189034)); #44729=CARTESIAN_POINT('',(6.5,13.04,3.273)); #44730=CARTESIAN_POINT('',(6.5,13.04,3.273)); #44731=CARTESIAN_POINT('',(7.15,13.04,3.273)); #44732=CARTESIAN_POINT('',(7.15,13.16,3.273)); #44733=CARTESIAN_POINT('',(7.15,13.16,3.273)); #44734=CARTESIAN_POINT('',(6.5,13.16,3.273)); #44735=CARTESIAN_POINT('',(6.5,13.16,3.273)); #44736=CARTESIAN_POINT('',(6.5,13.16,3.273)); #44737=CARTESIAN_POINT('',(6.5,13.16,3.273)); #44738=CARTESIAN_POINT('',(6.5,13.04,3.273)); #44739=CARTESIAN_POINT('',(6.5,13.04,3.023)); #44740=CARTESIAN_POINT('',(6.5,13.16,3.273)); #44741=CARTESIAN_POINT('',(6.5,13.16,3.023)); #44742=CARTESIAN_POINT('',(6.5,13.16,3.023)); #44743=CARTESIAN_POINT('',(6.5,13.16,3.273)); #44744=CARTESIAN_POINT('',(6.5,13.04,3.023)); #44745=CARTESIAN_POINT('',(6.55,13.04,2.923)); #44746=CARTESIAN_POINT('',(6.5,13.16,3.023)); #44747=CARTESIAN_POINT('',(6.55,13.16,2.923)); #44748=CARTESIAN_POINT('',(6.55,13.16,2.923)); #44749=CARTESIAN_POINT('',(6.5,13.16,3.023)); #44750=CARTESIAN_POINT('',(6.55,13.04,2.923)); #44751=CARTESIAN_POINT('',(6.86,13.04,2.923)); #44752=CARTESIAN_POINT('',(6.55,13.16,2.923)); #44753=CARTESIAN_POINT('',(6.86,13.16,2.923)); #44754=CARTESIAN_POINT('',(6.86,13.16,2.923)); #44755=CARTESIAN_POINT('',(6.55,13.16,2.923)); #44756=CARTESIAN_POINT('',(6.86,13.04,2.923)); #44757=CARTESIAN_POINT('',(6.9,13.04,3.023)); #44758=CARTESIAN_POINT('',(6.86,13.16,2.923)); #44759=CARTESIAN_POINT('',(6.9,13.16,3.023)); #44760=CARTESIAN_POINT('',(6.9,13.16,3.023)); #44761=CARTESIAN_POINT('',(6.86,13.16,2.923)); #44762=CARTESIAN_POINT('',(6.9,13.04,3.023)); #44763=CARTESIAN_POINT('',(6.96,13.04,3.023)); #44764=CARTESIAN_POINT('',(6.9,13.16,3.023)); #44765=CARTESIAN_POINT('',(6.96,13.16,3.023)); #44766=CARTESIAN_POINT('',(6.96,13.16,3.023)); #44767=CARTESIAN_POINT('',(6.9,13.16,3.023)); #44768=CARTESIAN_POINT('',(6.96,13.04,3.023)); #44769=CARTESIAN_POINT('',(7.06,13.04,2.923)); #44770=CARTESIAN_POINT('',(6.96,13.16,3.023)); #44771=CARTESIAN_POINT('',(7.06,13.16,2.923)); #44772=CARTESIAN_POINT('',(7.06,13.16,2.923)); #44773=CARTESIAN_POINT('',(6.96,13.16,3.023)); #44774=CARTESIAN_POINT('',(7.06,13.04,2.923)); #44775=CARTESIAN_POINT('',(7.24,13.04,2.923)); #44776=CARTESIAN_POINT('',(7.06,13.16,2.923)); #44777=CARTESIAN_POINT('',(7.24,13.16,2.923)); #44778=CARTESIAN_POINT('',(7.24,13.16,2.923)); #44779=CARTESIAN_POINT('',(7.06,13.16,2.923)); #44780=CARTESIAN_POINT('',(7.24,13.04,2.923)); #44781=CARTESIAN_POINT('',(7.24,13.04,3.053)); #44782=CARTESIAN_POINT('',(7.24,13.16,2.923)); #44783=CARTESIAN_POINT('',(7.24,13.16,3.053)); #44784=CARTESIAN_POINT('',(7.24,13.16,3.053)); #44785=CARTESIAN_POINT('',(7.24,13.16,2.923)); #44786=CARTESIAN_POINT('',(7.15,5.68,3.278)); #44787=CARTESIAN_POINT('',(7.15,13.16,3.278)); #44788=CARTESIAN_POINT('',(7.15,13.04,3.278)); #44789=CARTESIAN_POINT('',(7.15,13.16,3.81288957189034)); #44790=CARTESIAN_POINT('',(7.15,13.04,3.81288957189034)); #44791=CARTESIAN_POINT('',(7.15,13.16,3.81288957189034)); #44792=CARTESIAN_POINT('',(6.5,12.54,3.273)); #44793=CARTESIAN_POINT('',(6.5,12.54,3.273)); #44794=CARTESIAN_POINT('',(7.15,12.54,3.273)); #44795=CARTESIAN_POINT('',(7.15,12.66,3.273)); #44796=CARTESIAN_POINT('',(7.15,12.66,3.273)); #44797=CARTESIAN_POINT('',(6.5,12.66,3.273)); #44798=CARTESIAN_POINT('',(6.5,12.66,3.273)); #44799=CARTESIAN_POINT('',(6.5,12.66,3.273)); #44800=CARTESIAN_POINT('',(6.5,12.66,3.273)); #44801=CARTESIAN_POINT('',(6.5,12.54,3.273)); #44802=CARTESIAN_POINT('',(6.5,12.54,3.023)); #44803=CARTESIAN_POINT('',(6.5,12.66,3.273)); #44804=CARTESIAN_POINT('',(6.5,12.66,3.023)); #44805=CARTESIAN_POINT('',(6.5,12.66,3.023)); #44806=CARTESIAN_POINT('',(6.5,12.66,3.273)); #44807=CARTESIAN_POINT('',(6.5,12.54,3.023)); #44808=CARTESIAN_POINT('',(6.55,12.54,2.923)); #44809=CARTESIAN_POINT('',(6.5,12.66,3.023)); #44810=CARTESIAN_POINT('',(6.55,12.66,2.923)); #44811=CARTESIAN_POINT('',(6.55,12.66,2.923)); #44812=CARTESIAN_POINT('',(6.5,12.66,3.023)); #44813=CARTESIAN_POINT('',(6.55,12.54,2.923)); #44814=CARTESIAN_POINT('',(6.86,12.54,2.923)); #44815=CARTESIAN_POINT('',(6.55,12.66,2.923)); #44816=CARTESIAN_POINT('',(6.86,12.66,2.923)); #44817=CARTESIAN_POINT('',(6.86,12.66,2.923)); #44818=CARTESIAN_POINT('',(6.55,12.66,2.923)); #44819=CARTESIAN_POINT('',(6.86,12.54,2.923)); #44820=CARTESIAN_POINT('',(6.9,12.54,3.023)); #44821=CARTESIAN_POINT('',(6.86,12.66,2.923)); #44822=CARTESIAN_POINT('',(6.9,12.66,3.023)); #44823=CARTESIAN_POINT('',(6.9,12.66,3.023)); #44824=CARTESIAN_POINT('',(6.86,12.66,2.923)); #44825=CARTESIAN_POINT('',(6.9,12.54,3.023)); #44826=CARTESIAN_POINT('',(6.96,12.54,3.023)); #44827=CARTESIAN_POINT('',(6.9,12.66,3.023)); #44828=CARTESIAN_POINT('',(6.96,12.66,3.023)); #44829=CARTESIAN_POINT('',(6.96,12.66,3.023)); #44830=CARTESIAN_POINT('',(6.9,12.66,3.023)); #44831=CARTESIAN_POINT('',(6.96,12.54,3.023)); #44832=CARTESIAN_POINT('',(7.06,12.54,2.923)); #44833=CARTESIAN_POINT('',(6.96,12.66,3.023)); #44834=CARTESIAN_POINT('',(7.06,12.66,2.923)); #44835=CARTESIAN_POINT('',(7.06,12.66,2.923)); #44836=CARTESIAN_POINT('',(6.96,12.66,3.023)); #44837=CARTESIAN_POINT('',(7.06,12.54,2.923)); #44838=CARTESIAN_POINT('',(7.24,12.54,2.923)); #44839=CARTESIAN_POINT('',(7.06,12.66,2.923)); #44840=CARTESIAN_POINT('',(7.24,12.66,2.923)); #44841=CARTESIAN_POINT('',(7.24,12.66,2.923)); #44842=CARTESIAN_POINT('',(7.06,12.66,2.923)); #44843=CARTESIAN_POINT('',(7.24,12.54,2.923)); #44844=CARTESIAN_POINT('',(7.24,12.54,3.053)); #44845=CARTESIAN_POINT('',(7.24,12.66,2.923)); #44846=CARTESIAN_POINT('',(7.24,12.66,3.053)); #44847=CARTESIAN_POINT('',(7.24,12.66,3.053)); #44848=CARTESIAN_POINT('',(7.24,12.66,2.923)); #44849=CARTESIAN_POINT('',(7.15,5.68,3.278)); #44850=CARTESIAN_POINT('',(7.15,12.66,3.278)); #44851=CARTESIAN_POINT('',(7.15,12.54,3.278)); #44852=CARTESIAN_POINT('',(7.15,12.66,3.81288957189034)); #44853=CARTESIAN_POINT('',(7.15,12.54,3.81288957189034)); #44854=CARTESIAN_POINT('',(7.15,12.66,3.81288957189034)); #44855=CARTESIAN_POINT('',(6.5,12.04,3.273)); #44856=CARTESIAN_POINT('',(6.5,12.04,3.273)); #44857=CARTESIAN_POINT('',(7.15,12.04,3.273)); #44858=CARTESIAN_POINT('',(7.15,12.16,3.273)); #44859=CARTESIAN_POINT('',(7.15,12.16,3.273)); #44860=CARTESIAN_POINT('',(6.5,12.16,3.273)); #44861=CARTESIAN_POINT('',(6.5,12.16,3.273)); #44862=CARTESIAN_POINT('',(6.5,12.16,3.273)); #44863=CARTESIAN_POINT('',(6.5,12.16,3.273)); #44864=CARTESIAN_POINT('',(6.5,12.04,3.273)); #44865=CARTESIAN_POINT('',(6.5,12.04,3.023)); #44866=CARTESIAN_POINT('',(6.5,12.16,3.273)); #44867=CARTESIAN_POINT('',(6.5,12.16,3.023)); #44868=CARTESIAN_POINT('',(6.5,12.16,3.023)); #44869=CARTESIAN_POINT('',(6.5,12.16,3.273)); #44870=CARTESIAN_POINT('',(6.5,12.04,3.023)); #44871=CARTESIAN_POINT('',(6.55,12.04,2.923)); #44872=CARTESIAN_POINT('',(6.5,12.16,3.023)); #44873=CARTESIAN_POINT('',(6.55,12.16,2.923)); #44874=CARTESIAN_POINT('',(6.55,12.16,2.923)); #44875=CARTESIAN_POINT('',(6.5,12.16,3.023)); #44876=CARTESIAN_POINT('',(6.55,12.04,2.923)); #44877=CARTESIAN_POINT('',(6.86,12.04,2.923)); #44878=CARTESIAN_POINT('',(6.55,12.16,2.923)); #44879=CARTESIAN_POINT('',(6.86,12.16,2.923)); #44880=CARTESIAN_POINT('',(6.86,12.16,2.923)); #44881=CARTESIAN_POINT('',(6.55,12.16,2.923)); #44882=CARTESIAN_POINT('',(6.86,12.04,2.923)); #44883=CARTESIAN_POINT('',(6.9,12.04,3.023)); #44884=CARTESIAN_POINT('',(6.86,12.16,2.923)); #44885=CARTESIAN_POINT('',(6.9,12.16,3.023)); #44886=CARTESIAN_POINT('',(6.9,12.16,3.023)); #44887=CARTESIAN_POINT('',(6.86,12.16,2.923)); #44888=CARTESIAN_POINT('',(6.9,12.04,3.023)); #44889=CARTESIAN_POINT('',(6.96,12.04,3.023)); #44890=CARTESIAN_POINT('',(6.9,12.16,3.023)); #44891=CARTESIAN_POINT('',(6.96,12.16,3.023)); #44892=CARTESIAN_POINT('',(6.96,12.16,3.023)); #44893=CARTESIAN_POINT('',(6.9,12.16,3.023)); #44894=CARTESIAN_POINT('',(6.96,12.04,3.023)); #44895=CARTESIAN_POINT('',(7.06,12.04,2.923)); #44896=CARTESIAN_POINT('',(6.96,12.16,3.023)); #44897=CARTESIAN_POINT('',(7.06,12.16,2.923)); #44898=CARTESIAN_POINT('',(7.06,12.16,2.923)); #44899=CARTESIAN_POINT('',(6.96,12.16,3.023)); #44900=CARTESIAN_POINT('',(7.06,12.04,2.923)); #44901=CARTESIAN_POINT('',(7.24,12.04,2.923)); #44902=CARTESIAN_POINT('',(7.06,12.16,2.923)); #44903=CARTESIAN_POINT('',(7.24,12.16,2.923)); #44904=CARTESIAN_POINT('',(7.24,12.16,2.923)); #44905=CARTESIAN_POINT('',(7.06,12.16,2.923)); #44906=CARTESIAN_POINT('',(7.24,12.04,2.923)); #44907=CARTESIAN_POINT('',(7.24,12.04,3.053)); #44908=CARTESIAN_POINT('',(7.24,12.16,2.923)); #44909=CARTESIAN_POINT('',(7.24,12.16,3.053)); #44910=CARTESIAN_POINT('',(7.24,12.16,3.053)); #44911=CARTESIAN_POINT('',(7.24,12.16,2.923)); #44912=CARTESIAN_POINT('',(7.15,5.68,3.278)); #44913=CARTESIAN_POINT('',(7.15,12.16,3.278)); #44914=CARTESIAN_POINT('',(7.15,12.04,3.278)); #44915=CARTESIAN_POINT('',(7.15,12.16,3.81288957189034)); #44916=CARTESIAN_POINT('',(7.15,12.04,3.81288957189034)); #44917=CARTESIAN_POINT('',(7.15,12.16,3.81288957189034)); #44918=CARTESIAN_POINT('',(7.50898443564501,7.5,3.923)); #44919=CARTESIAN_POINT('',(7.44979491924311,7.5,3.923)); #44920=CARTESIAN_POINT('',(7.50898443564501,7.5,4.023)); #44921=CARTESIAN_POINT('',(7.423,7.5,4.023)); #44922=CARTESIAN_POINT('',(7.423,7.5,4.023)); #44923=CARTESIAN_POINT('',(7.50898443564501,7.5,3.923)); #44924=CARTESIAN_POINT('',(6.5,7.6,3.283)); #44925=CARTESIAN_POINT('',(7.09085134145124,7.6,3.283)); #44926=CARTESIAN_POINT('',(6.5,7.6,3.283)); #44927=CARTESIAN_POINT('',(7.09085134145124,7.6,3.008)); #44928=CARTESIAN_POINT('',(7.09085134145124,7.6,3.008)); #44929=CARTESIAN_POINT('',(7.445,7.6,3.008)); #44930=CARTESIAN_POINT('',(6.5,7.6,3.008)); #44931=CARTESIAN_POINT('',(6.5,7.6,3.008)); #44932=CARTESIAN_POINT('',(7.445,7.6,3.358)); #44933=CARTESIAN_POINT('',(6.5,18.851,3.283)); #44934=CARTESIAN_POINT('',(6.5,16.1,3.283)); #44935=CARTESIAN_POINT('',(6.5,16.1,3.283)); #44936=CARTESIAN_POINT('',(7.09085134145124,16.1,3.283)); #44937=CARTESIAN_POINT('',(7.09085134145124,18.851,3.283)); #44938=CARTESIAN_POINT('',(6.5,16.851,3.283)); #44939=CARTESIAN_POINT('',(7.445,16.1,3.008)); #44940=CARTESIAN_POINT('',(7.09085134145124,16.1,3.008)); #44941=CARTESIAN_POINT('',(6.5,16.1,3.008)); #44942=CARTESIAN_POINT('',(7.09085134145124,16.1,3.008)); #44943=CARTESIAN_POINT('',(6.5,16.1,3.008)); #44944=CARTESIAN_POINT('',(7.445,16.1,3.358)); #44945=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #44946=CARTESIAN_POINT('',(7.44979491924311,12.04,3.923)); #44947=CARTESIAN_POINT('',(7.44979491924311,11.66,3.923)); #44948=CARTESIAN_POINT('',(7.623,12.04,3.923)); #44949=CARTESIAN_POINT('',(7.223,12.04,3.923)); #44950=CARTESIAN_POINT('',(7.223,12.04,3.923)); #44951=CARTESIAN_POINT('',(7.223,12.16,3.923)); #44952=CARTESIAN_POINT('',(7.50898443564501,12.16,3.923)); #44953=CARTESIAN_POINT('',(7.44979491924311,12.16,3.923)); #44954=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #44955=CARTESIAN_POINT('',(7.44979491924311,12.54,3.923)); #44956=CARTESIAN_POINT('',(7.623,12.54,3.923)); #44957=CARTESIAN_POINT('',(7.223,12.54,3.923)); #44958=CARTESIAN_POINT('',(7.223,12.54,3.923)); #44959=CARTESIAN_POINT('',(7.223,12.66,3.923)); #44960=CARTESIAN_POINT('',(7.50898443564501,12.66,3.923)); #44961=CARTESIAN_POINT('',(7.44979491924311,12.66,3.923)); #44962=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #44963=CARTESIAN_POINT('',(7.44979491924311,13.04,3.923)); #44964=CARTESIAN_POINT('',(7.623,13.04,3.923)); #44965=CARTESIAN_POINT('',(7.223,13.04,3.923)); #44966=CARTESIAN_POINT('',(7.223,13.04,3.923)); #44967=CARTESIAN_POINT('',(7.223,13.16,3.923)); #44968=CARTESIAN_POINT('',(7.50898443564501,13.16,3.923)); #44969=CARTESIAN_POINT('',(7.44979491924311,13.16,3.923)); #44970=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #44971=CARTESIAN_POINT('',(7.44979491924311,13.54,3.923)); #44972=CARTESIAN_POINT('',(7.623,13.54,3.923)); #44973=CARTESIAN_POINT('',(7.223,13.54,3.923)); #44974=CARTESIAN_POINT('',(7.223,13.54,3.923)); #44975=CARTESIAN_POINT('',(7.223,13.66,3.923)); #44976=CARTESIAN_POINT('',(7.50898443564501,13.66,3.923)); #44977=CARTESIAN_POINT('',(7.44979491924311,13.66,3.923)); #44978=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #44979=CARTESIAN_POINT('',(7.44979491924311,14.04,3.923)); #44980=CARTESIAN_POINT('',(7.623,14.04,3.923)); #44981=CARTESIAN_POINT('',(7.223,14.04,3.923)); #44982=CARTESIAN_POINT('',(7.223,14.04,3.923)); #44983=CARTESIAN_POINT('',(7.223,14.16,3.923)); #44984=CARTESIAN_POINT('',(7.50898443564501,14.16,3.923)); #44985=CARTESIAN_POINT('',(7.44979491924311,14.16,3.923)); #44986=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #44987=CARTESIAN_POINT('',(7.44979491924311,14.54,3.923)); #44988=CARTESIAN_POINT('',(7.623,14.54,3.923)); #44989=CARTESIAN_POINT('',(7.223,14.54,3.923)); #44990=CARTESIAN_POINT('',(7.223,14.54,3.923)); #44991=CARTESIAN_POINT('',(7.223,14.66,3.923)); #44992=CARTESIAN_POINT('',(7.50898443564501,14.66,3.923)); #44993=CARTESIAN_POINT('',(7.44979491924311,14.66,3.923)); #44994=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #44995=CARTESIAN_POINT('',(7.44979491924311,15.04,3.923)); #44996=CARTESIAN_POINT('',(7.623,15.04,3.923)); #44997=CARTESIAN_POINT('',(7.223,15.04,3.923)); #44998=CARTESIAN_POINT('',(7.223,15.04,3.923)); #44999=CARTESIAN_POINT('',(7.223,15.16,3.923)); #45000=CARTESIAN_POINT('',(7.50898443564501,15.16,3.923)); #45001=CARTESIAN_POINT('',(7.44979491924311,15.16,3.923)); #45002=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #45003=CARTESIAN_POINT('',(7.44979491924311,15.54,3.923)); #45004=CARTESIAN_POINT('',(7.623,15.54,3.923)); #45005=CARTESIAN_POINT('',(7.223,15.54,3.923)); #45006=CARTESIAN_POINT('',(7.223,15.54,3.923)); #45007=CARTESIAN_POINT('',(7.223,15.66,3.923)); #45008=CARTESIAN_POINT('',(7.50898443564501,15.66,3.923)); #45009=CARTESIAN_POINT('',(7.44979491924311,15.66,3.923)); #45010=CARTESIAN_POINT('',(7.44979491924311,5.6,3.923)); #45011=CARTESIAN_POINT('',(7.44979491924311,16.2,3.923)); #45012=CARTESIAN_POINT('',(7.50898443564501,16.2,3.923)); #45013=CARTESIAN_POINT('',(7.44979491924311,7.6,3.923)); #45014=CARTESIAN_POINT('',(7.44979491924311,8.04,3.923)); #45015=CARTESIAN_POINT('',(7.623,8.04,3.923)); #45016=CARTESIAN_POINT('',(7.223,8.04,3.923)); #45017=CARTESIAN_POINT('',(7.223,8.04,3.923)); #45018=CARTESIAN_POINT('',(7.223,8.15999999999999,3.923)); #45019=CARTESIAN_POINT('',(7.50898443564501,8.15999999999999,3.923)); #45020=CARTESIAN_POINT('',(7.44979491924311,8.15999999999999,3.923)); #45021=CARTESIAN_POINT('',(7.44979491924311,7.6,3.923)); #45022=CARTESIAN_POINT('',(7.44979491924311,8.54,3.923)); #45023=CARTESIAN_POINT('',(7.623,8.54,3.923)); #45024=CARTESIAN_POINT('',(7.223,8.54,3.923)); #45025=CARTESIAN_POINT('',(7.223,8.54,3.923)); #45026=CARTESIAN_POINT('',(7.223,8.66,3.923)); #45027=CARTESIAN_POINT('',(7.50898443564501,8.66,3.923)); #45028=CARTESIAN_POINT('',(7.44979491924311,8.66,3.923)); #45029=CARTESIAN_POINT('',(7.44979491924311,7.6,3.923)); #45030=CARTESIAN_POINT('',(7.44979491924311,9.04,3.923)); #45031=CARTESIAN_POINT('',(7.623,9.04,3.923)); #45032=CARTESIAN_POINT('',(7.223,9.04,3.923)); #45033=CARTESIAN_POINT('',(7.223,9.04,3.923)); #45034=CARTESIAN_POINT('',(7.223,9.16,3.923)); #45035=CARTESIAN_POINT('',(7.50898443564501,9.16,3.923)); #45036=CARTESIAN_POINT('',(7.44979491924311,9.16,3.923)); #45037=CARTESIAN_POINT('',(7.44979491924311,7.6,3.923)); #45038=CARTESIAN_POINT('',(7.44979491924311,9.53999999999999,3.923)); #45039=CARTESIAN_POINT('',(7.623,9.53999999999999,3.923)); #45040=CARTESIAN_POINT('',(7.223,9.53999999999999,3.923)); #45041=CARTESIAN_POINT('',(7.223,9.53999999999999,3.923)); #45042=CARTESIAN_POINT('',(7.223,9.66,3.923)); #45043=CARTESIAN_POINT('',(7.50898443564501,9.66,3.923)); #45044=CARTESIAN_POINT('',(7.44979491924311,9.66,3.923)); #45045=CARTESIAN_POINT('',(7.44979491924311,7.6,3.923)); #45046=CARTESIAN_POINT('',(7.44979491924311,10.04,3.923)); #45047=CARTESIAN_POINT('',(7.623,10.04,3.923)); #45048=CARTESIAN_POINT('',(7.223,10.04,3.923)); #45049=CARTESIAN_POINT('',(7.223,10.04,3.923)); #45050=CARTESIAN_POINT('',(7.223,10.16,3.923)); #45051=CARTESIAN_POINT('',(7.50898443564501,10.16,3.923)); #45052=CARTESIAN_POINT('',(7.44979491924311,10.16,3.923)); #45053=CARTESIAN_POINT('',(7.44979491924311,7.6,3.923)); #45054=CARTESIAN_POINT('',(7.44979491924311,10.54,3.923)); #45055=CARTESIAN_POINT('',(7.623,10.54,3.923)); #45056=CARTESIAN_POINT('',(7.223,10.54,3.923)); #45057=CARTESIAN_POINT('',(7.223,10.54,3.923)); #45058=CARTESIAN_POINT('',(7.223,10.66,3.923)); #45059=CARTESIAN_POINT('',(7.50898443564501,10.66,3.923)); #45060=CARTESIAN_POINT('',(7.44979491924311,10.66,3.923)); #45061=CARTESIAN_POINT('',(7.44979491924311,7.6,3.923)); #45062=CARTESIAN_POINT('',(7.44979491924311,11.04,3.923)); #45063=CARTESIAN_POINT('',(7.623,11.04,3.923)); #45064=CARTESIAN_POINT('',(7.223,11.04,3.923)); #45065=CARTESIAN_POINT('',(7.223,11.04,3.923)); #45066=CARTESIAN_POINT('',(7.223,11.16,3.923)); #45067=CARTESIAN_POINT('',(7.50898443564501,11.16,3.923)); #45068=CARTESIAN_POINT('',(7.44979491924311,11.16,3.923)); #45069=CARTESIAN_POINT('',(7.44979491924311,7.6,3.923)); #45070=CARTESIAN_POINT('',(7.44979491924311,11.54,3.923)); #45071=CARTESIAN_POINT('',(7.623,11.54,3.923)); #45072=CARTESIAN_POINT('',(7.223,11.54,3.923)); #45073=CARTESIAN_POINT('',(7.223,11.54,3.923)); #45074=CARTESIAN_POINT('',(7.223,11.66,3.923)); #45075=CARTESIAN_POINT('',(7.50898443564501,11.66,3.923)); #45076=CARTESIAN_POINT('',(7.50898443564501,5.5,3.923)); #45077=CARTESIAN_POINT('',(7.50898443564501,16.2,4.023)); #45078=CARTESIAN_POINT('',(7.423,16.2,4.023)); #45079=CARTESIAN_POINT('',(7.423,16.2,4.023)); #45080=CARTESIAN_POINT('',(7.50898443564501,16.2,3.923)); #45081=CARTESIAN_POINT('',(7.423,5.6,4.023)); #45082=CARTESIAN_POINT('',(7.423,16.3,4.023)); #45083=CARTESIAN_POINT('',(7.423,16.3,4.023)); #45084=CARTESIAN_POINT('',(6.5,16.3,4.023)); #45085=CARTESIAN_POINT('',(6.5,5.6,4.023)); #45086=CARTESIAN_POINT('',(6.5,7.6,4.023)); #45087=CARTESIAN_POINT('',(6.5,7.4,4.023)); #45088=CARTESIAN_POINT('',(7.423,7.4,4.023)); #45089=CARTESIAN_POINT('',(7.423,7.4,4.023)); #45090=CARTESIAN_POINT('',(7.423,7.6,4.023)); #45091=CARTESIAN_POINT('',(7.423,5.6,4.023)); #45092=CARTESIAN_POINT('',(6.5,16.3,3.008)); #45093=CARTESIAN_POINT('',(6.5,16.3,3.008)); #45094=CARTESIAN_POINT('',(6.5,16.1,3.008)); #45095=CARTESIAN_POINT('',(6.5,7.6,3.008)); #45096=CARTESIAN_POINT('',(6.5,7.4,3.008)); #45097=CARTESIAN_POINT('',(6.5,7.4,3.008)); #45098=CARTESIAN_POINT('',(6.5,5.6,3.008)); #45099=CARTESIAN_POINT('',(9.5,8.04,3.273)); #45100=CARTESIAN_POINT('',(9.5,8.04,3.273)); #45101=CARTESIAN_POINT('',(9.775,8.04,3.10116092822493)); #45102=CARTESIAN_POINT('',(9.775,8.15999999999999,3.10116092822493)); #45103=CARTESIAN_POINT('',(9.775,8.15999999999999,3.10116092822493)); #45104=CARTESIAN_POINT('',(9.5,8.15999999999999,3.273)); #45105=CARTESIAN_POINT('',(9.5,8.15999999999999,3.273)); #45106=CARTESIAN_POINT('',(9.5,8.15999999999999,3.273)); #45107=CARTESIAN_POINT('',(9.5,8.15999999999999,3.273)); #45108=CARTESIAN_POINT('',(9.42796767645617,8.04,3.193)); #45109=CARTESIAN_POINT('',(9.42796767645617,8.04,3.193)); #45110=CARTESIAN_POINT('',(9.42796767645617,8.15999999999999,3.193)); #45111=CARTESIAN_POINT('',(9.42796767645617,8.15999999999999,3.193)); #45112=CARTESIAN_POINT('',(9.42796767645617,8.15999999999999,3.193)); #45113=CARTESIAN_POINT('',(9.42796767645617,8.15999999999999,3.193)); #45114=CARTESIAN_POINT('',(9.5,8.54,3.273)); #45115=CARTESIAN_POINT('',(9.5,8.54,3.273)); #45116=CARTESIAN_POINT('',(9.775,8.54,3.10116092822493)); #45117=CARTESIAN_POINT('',(9.775,8.66,3.10116092822493)); #45118=CARTESIAN_POINT('',(9.775,8.66,3.10116092822493)); #45119=CARTESIAN_POINT('',(9.5,8.66,3.273)); #45120=CARTESIAN_POINT('',(9.5,8.66,3.273)); #45121=CARTESIAN_POINT('',(9.5,8.66,3.273)); #45122=CARTESIAN_POINT('',(9.5,8.66,3.273)); #45123=CARTESIAN_POINT('',(9.42796767645617,8.54,3.193)); #45124=CARTESIAN_POINT('',(9.42796767645617,8.54,3.193)); #45125=CARTESIAN_POINT('',(9.42796767645617,8.66,3.193)); #45126=CARTESIAN_POINT('',(9.42796767645617,8.66,3.193)); #45127=CARTESIAN_POINT('',(9.42796767645617,8.66,3.193)); #45128=CARTESIAN_POINT('',(9.42796767645617,8.66,3.193)); #45129=CARTESIAN_POINT('',(9.5,9.04,3.273)); #45130=CARTESIAN_POINT('',(9.5,9.04,3.273)); #45131=CARTESIAN_POINT('',(9.775,9.04,3.10116092822493)); #45132=CARTESIAN_POINT('',(9.775,9.16,3.10116092822493)); #45133=CARTESIAN_POINT('',(9.775,9.16,3.10116092822493)); #45134=CARTESIAN_POINT('',(9.5,9.16,3.273)); #45135=CARTESIAN_POINT('',(9.5,9.16,3.273)); #45136=CARTESIAN_POINT('',(9.5,9.16,3.273)); #45137=CARTESIAN_POINT('',(9.5,9.16,3.273)); #45138=CARTESIAN_POINT('',(9.42796767645617,9.04,3.193)); #45139=CARTESIAN_POINT('',(9.42796767645617,9.04,3.193)); #45140=CARTESIAN_POINT('',(9.42796767645617,9.16,3.193)); #45141=CARTESIAN_POINT('',(9.42796767645617,9.16,3.193)); #45142=CARTESIAN_POINT('',(9.42796767645617,9.16,3.193)); #45143=CARTESIAN_POINT('',(9.42796767645617,9.16,3.193)); #45144=CARTESIAN_POINT('',(9.5,9.53999999999999,3.273)); #45145=CARTESIAN_POINT('',(9.5,9.53999999999999,3.273)); #45146=CARTESIAN_POINT('',(9.775,9.53999999999999,3.10116092822493)); #45147=CARTESIAN_POINT('',(9.775,9.66,3.10116092822493)); #45148=CARTESIAN_POINT('',(9.775,9.66,3.10116092822493)); #45149=CARTESIAN_POINT('',(9.5,9.66,3.273)); #45150=CARTESIAN_POINT('',(9.5,9.66,3.273)); #45151=CARTESIAN_POINT('',(9.5,9.66,3.273)); #45152=CARTESIAN_POINT('',(9.5,9.66,3.273)); #45153=CARTESIAN_POINT('',(9.42796767645617,9.53999999999999,3.193)); #45154=CARTESIAN_POINT('',(9.42796767645617,9.53999999999999,3.193)); #45155=CARTESIAN_POINT('',(9.42796767645617,9.66,3.193)); #45156=CARTESIAN_POINT('',(9.42796767645617,9.66,3.193)); #45157=CARTESIAN_POINT('',(9.42796767645617,9.66,3.193)); #45158=CARTESIAN_POINT('',(9.42796767645617,9.66,3.193)); #45159=CARTESIAN_POINT('',(9.5,10.04,3.273)); #45160=CARTESIAN_POINT('',(9.5,10.04,3.273)); #45161=CARTESIAN_POINT('',(9.775,10.04,3.10116092822493)); #45162=CARTESIAN_POINT('',(9.775,10.16,3.10116092822493)); #45163=CARTESIAN_POINT('',(9.775,10.16,3.10116092822493)); #45164=CARTESIAN_POINT('',(9.5,10.16,3.273)); #45165=CARTESIAN_POINT('',(9.5,10.16,3.273)); #45166=CARTESIAN_POINT('',(9.5,10.16,3.273)); #45167=CARTESIAN_POINT('',(9.5,10.16,3.273)); #45168=CARTESIAN_POINT('',(9.42796767645617,10.04,3.193)); #45169=CARTESIAN_POINT('',(9.42796767645617,10.04,3.193)); #45170=CARTESIAN_POINT('',(9.42796767645617,10.16,3.193)); #45171=CARTESIAN_POINT('',(9.42796767645617,10.16,3.193)); #45172=CARTESIAN_POINT('',(9.42796767645617,10.16,3.193)); #45173=CARTESIAN_POINT('',(9.42796767645617,10.16,3.193)); #45174=CARTESIAN_POINT('',(9.5,10.54,3.273)); #45175=CARTESIAN_POINT('',(9.5,10.54,3.273)); #45176=CARTESIAN_POINT('',(9.775,10.54,3.10116092822493)); #45177=CARTESIAN_POINT('',(9.775,10.66,3.10116092822493)); #45178=CARTESIAN_POINT('',(9.775,10.66,3.10116092822493)); #45179=CARTESIAN_POINT('',(9.5,10.66,3.273)); #45180=CARTESIAN_POINT('',(9.5,10.66,3.273)); #45181=CARTESIAN_POINT('',(9.5,10.66,3.273)); #45182=CARTESIAN_POINT('',(9.5,10.66,3.273)); #45183=CARTESIAN_POINT('',(9.42796767645617,10.54,3.193)); #45184=CARTESIAN_POINT('',(9.42796767645617,10.54,3.193)); #45185=CARTESIAN_POINT('',(9.42796767645617,10.66,3.193)); #45186=CARTESIAN_POINT('',(9.42796767645617,10.66,3.193)); #45187=CARTESIAN_POINT('',(9.42796767645617,10.66,3.193)); #45188=CARTESIAN_POINT('',(9.42796767645617,10.66,3.193)); #45189=CARTESIAN_POINT('',(9.5,11.04,3.273)); #45190=CARTESIAN_POINT('',(9.5,11.04,3.273)); #45191=CARTESIAN_POINT('',(9.775,11.04,3.10116092822493)); #45192=CARTESIAN_POINT('',(9.775,11.16,3.10116092822493)); #45193=CARTESIAN_POINT('',(9.775,11.16,3.10116092822493)); #45194=CARTESIAN_POINT('',(9.5,11.16,3.273)); #45195=CARTESIAN_POINT('',(9.5,11.16,3.273)); #45196=CARTESIAN_POINT('',(9.5,11.16,3.273)); #45197=CARTESIAN_POINT('',(9.5,11.16,3.273)); #45198=CARTESIAN_POINT('',(9.42796767645617,11.04,3.193)); #45199=CARTESIAN_POINT('',(9.42796767645617,11.04,3.193)); #45200=CARTESIAN_POINT('',(9.42796767645617,11.16,3.193)); #45201=CARTESIAN_POINT('',(9.42796767645617,11.16,3.193)); #45202=CARTESIAN_POINT('',(9.42796767645617,11.16,3.193)); #45203=CARTESIAN_POINT('',(9.42796767645617,11.16,3.193)); #45204=CARTESIAN_POINT('',(9.5,11.54,3.273)); #45205=CARTESIAN_POINT('',(9.5,11.54,3.273)); #45206=CARTESIAN_POINT('',(9.775,11.54,3.10116092822493)); #45207=CARTESIAN_POINT('',(9.775,11.66,3.10116092822493)); #45208=CARTESIAN_POINT('',(9.775,11.66,3.10116092822493)); #45209=CARTESIAN_POINT('',(9.5,11.66,3.273)); #45210=CARTESIAN_POINT('',(9.5,11.66,3.273)); #45211=CARTESIAN_POINT('',(9.5,11.66,3.273)); #45212=CARTESIAN_POINT('',(9.5,11.66,3.273)); #45213=CARTESIAN_POINT('',(9.42796767645617,11.54,3.193)); #45214=CARTESIAN_POINT('',(9.42796767645617,11.54,3.193)); #45215=CARTESIAN_POINT('',(9.42796767645617,11.66,3.193)); #45216=CARTESIAN_POINT('',(9.42796767645617,11.66,3.193)); #45217=CARTESIAN_POINT('',(9.42796767645617,11.66,3.193)); #45218=CARTESIAN_POINT('',(9.42796767645617,11.66,3.193)); #45219=CARTESIAN_POINT('',(9.5,12.04,3.273)); #45220=CARTESIAN_POINT('',(9.5,12.04,3.273)); #45221=CARTESIAN_POINT('',(9.775,12.04,3.10116092822493)); #45222=CARTESIAN_POINT('',(9.775,12.16,3.10116092822493)); #45223=CARTESIAN_POINT('',(9.775,12.16,3.10116092822493)); #45224=CARTESIAN_POINT('',(9.5,12.16,3.273)); #45225=CARTESIAN_POINT('',(9.5,12.16,3.273)); #45226=CARTESIAN_POINT('',(9.5,12.16,3.273)); #45227=CARTESIAN_POINT('',(9.5,12.16,3.273)); #45228=CARTESIAN_POINT('',(9.42796767645617,12.04,3.193)); #45229=CARTESIAN_POINT('',(9.42796767645617,12.04,3.193)); #45230=CARTESIAN_POINT('',(9.42796767645617,12.16,3.193)); #45231=CARTESIAN_POINT('',(9.42796767645617,12.16,3.193)); #45232=CARTESIAN_POINT('',(9.42796767645617,12.16,3.193)); #45233=CARTESIAN_POINT('',(9.42796767645617,12.16,3.193)); #45234=CARTESIAN_POINT('',(9.5,12.54,3.273)); #45235=CARTESIAN_POINT('',(9.5,12.54,3.273)); #45236=CARTESIAN_POINT('',(9.775,12.54,3.10116092822493)); #45237=CARTESIAN_POINT('',(9.775,12.66,3.10116092822493)); #45238=CARTESIAN_POINT('',(9.775,12.66,3.10116092822493)); #45239=CARTESIAN_POINT('',(9.5,12.66,3.273)); #45240=CARTESIAN_POINT('',(9.5,12.66,3.273)); #45241=CARTESIAN_POINT('',(9.5,12.66,3.273)); #45242=CARTESIAN_POINT('',(9.5,12.66,3.273)); #45243=CARTESIAN_POINT('',(9.42796767645617,12.54,3.193)); #45244=CARTESIAN_POINT('',(9.42796767645617,12.54,3.193)); #45245=CARTESIAN_POINT('',(9.42796767645617,12.66,3.193)); #45246=CARTESIAN_POINT('',(9.42796767645617,12.66,3.193)); #45247=CARTESIAN_POINT('',(9.42796767645617,12.66,3.193)); #45248=CARTESIAN_POINT('',(9.42796767645617,12.66,3.193)); #45249=CARTESIAN_POINT('',(9.5,13.04,3.273)); #45250=CARTESIAN_POINT('',(9.5,13.04,3.273)); #45251=CARTESIAN_POINT('',(9.775,13.04,3.10116092822493)); #45252=CARTESIAN_POINT('',(9.775,13.16,3.10116092822493)); #45253=CARTESIAN_POINT('',(9.775,13.16,3.10116092822493)); #45254=CARTESIAN_POINT('',(9.5,13.16,3.273)); #45255=CARTESIAN_POINT('',(9.5,13.16,3.273)); #45256=CARTESIAN_POINT('',(9.5,13.16,3.273)); #45257=CARTESIAN_POINT('',(9.5,13.16,3.273)); #45258=CARTESIAN_POINT('',(9.42796767645617,13.04,3.193)); #45259=CARTESIAN_POINT('',(9.42796767645617,13.04,3.193)); #45260=CARTESIAN_POINT('',(9.42796767645617,13.16,3.193)); #45261=CARTESIAN_POINT('',(9.42796767645617,13.16,3.193)); #45262=CARTESIAN_POINT('',(9.42796767645617,13.16,3.193)); #45263=CARTESIAN_POINT('',(9.42796767645617,13.16,3.193)); #45264=CARTESIAN_POINT('',(9.5,13.54,3.273)); #45265=CARTESIAN_POINT('',(9.5,13.54,3.273)); #45266=CARTESIAN_POINT('',(9.775,13.54,3.10116092822493)); #45267=CARTESIAN_POINT('',(9.775,13.66,3.10116092822493)); #45268=CARTESIAN_POINT('',(9.775,13.66,3.10116092822493)); #45269=CARTESIAN_POINT('',(9.5,13.66,3.273)); #45270=CARTESIAN_POINT('',(9.5,13.66,3.273)); #45271=CARTESIAN_POINT('',(9.5,13.66,3.273)); #45272=CARTESIAN_POINT('',(9.5,13.66,3.273)); #45273=CARTESIAN_POINT('',(9.42796767645617,13.54,3.193)); #45274=CARTESIAN_POINT('',(9.42796767645617,13.54,3.193)); #45275=CARTESIAN_POINT('',(9.42796767645617,13.66,3.193)); #45276=CARTESIAN_POINT('',(9.42796767645617,13.66,3.193)); #45277=CARTESIAN_POINT('',(9.42796767645617,13.66,3.193)); #45278=CARTESIAN_POINT('',(9.42796767645617,13.66,3.193)); #45279=CARTESIAN_POINT('',(9.5,14.04,3.273)); #45280=CARTESIAN_POINT('',(9.5,14.04,3.273)); #45281=CARTESIAN_POINT('',(9.775,14.04,3.10116092822493)); #45282=CARTESIAN_POINT('',(9.775,14.16,3.10116092822493)); #45283=CARTESIAN_POINT('',(9.775,14.16,3.10116092822493)); #45284=CARTESIAN_POINT('',(9.5,14.16,3.273)); #45285=CARTESIAN_POINT('',(9.5,14.16,3.273)); #45286=CARTESIAN_POINT('',(9.5,14.16,3.273)); #45287=CARTESIAN_POINT('',(9.5,14.16,3.273)); #45288=CARTESIAN_POINT('',(9.42796767645617,14.04,3.193)); #45289=CARTESIAN_POINT('',(9.42796767645617,14.04,3.193)); #45290=CARTESIAN_POINT('',(9.42796767645617,14.16,3.193)); #45291=CARTESIAN_POINT('',(9.42796767645617,14.16,3.193)); #45292=CARTESIAN_POINT('',(9.42796767645617,14.16,3.193)); #45293=CARTESIAN_POINT('',(9.42796767645617,14.16,3.193)); #45294=CARTESIAN_POINT('',(9.5,14.54,3.273)); #45295=CARTESIAN_POINT('',(9.5,14.54,3.273)); #45296=CARTESIAN_POINT('',(9.775,14.54,3.10116092822493)); #45297=CARTESIAN_POINT('',(9.775,14.66,3.10116092822493)); #45298=CARTESIAN_POINT('',(9.775,14.66,3.10116092822493)); #45299=CARTESIAN_POINT('',(9.5,14.66,3.273)); #45300=CARTESIAN_POINT('',(9.5,14.66,3.273)); #45301=CARTESIAN_POINT('',(9.5,14.66,3.273)); #45302=CARTESIAN_POINT('',(9.5,14.66,3.273)); #45303=CARTESIAN_POINT('',(9.42796767645617,14.54,3.193)); #45304=CARTESIAN_POINT('',(9.42796767645617,14.54,3.193)); #45305=CARTESIAN_POINT('',(9.42796767645617,14.66,3.193)); #45306=CARTESIAN_POINT('',(9.42796767645617,14.66,3.193)); #45307=CARTESIAN_POINT('',(9.42796767645617,14.66,3.193)); #45308=CARTESIAN_POINT('',(9.42796767645617,14.66,3.193)); #45309=CARTESIAN_POINT('',(9.5,15.04,3.273)); #45310=CARTESIAN_POINT('',(9.5,15.04,3.273)); #45311=CARTESIAN_POINT('',(9.775,15.04,3.10116092822493)); #45312=CARTESIAN_POINT('',(9.775,15.16,3.10116092822493)); #45313=CARTESIAN_POINT('',(9.775,15.16,3.10116092822493)); #45314=CARTESIAN_POINT('',(9.5,15.16,3.273)); #45315=CARTESIAN_POINT('',(9.5,15.16,3.273)); #45316=CARTESIAN_POINT('',(9.5,15.16,3.273)); #45317=CARTESIAN_POINT('',(9.5,15.16,3.273)); #45318=CARTESIAN_POINT('',(9.42796767645617,15.04,3.193)); #45319=CARTESIAN_POINT('',(9.42796767645617,15.04,3.193)); #45320=CARTESIAN_POINT('',(9.42796767645617,15.16,3.193)); #45321=CARTESIAN_POINT('',(9.42796767645617,15.16,3.193)); #45322=CARTESIAN_POINT('',(9.42796767645617,15.16,3.193)); #45323=CARTESIAN_POINT('',(9.42796767645617,15.16,3.193)); #45324=CARTESIAN_POINT('',(9.5,15.54,3.273)); #45325=CARTESIAN_POINT('',(9.5,15.54,3.273)); #45326=CARTESIAN_POINT('',(9.775,15.54,3.10116092822493)); #45327=CARTESIAN_POINT('',(9.775,15.66,3.10116092822493)); #45328=CARTESIAN_POINT('',(9.775,15.66,3.10116092822493)); #45329=CARTESIAN_POINT('',(9.5,15.66,3.273)); #45330=CARTESIAN_POINT('',(9.5,15.66,3.273)); #45331=CARTESIAN_POINT('',(9.5,15.66,3.273)); #45332=CARTESIAN_POINT('',(9.5,15.66,3.273)); #45333=CARTESIAN_POINT('',(9.42796767645617,15.54,3.193)); #45334=CARTESIAN_POINT('',(9.42796767645617,15.54,3.193)); #45335=CARTESIAN_POINT('',(9.42796767645617,15.66,3.193)); #45336=CARTESIAN_POINT('',(9.42796767645617,15.66,3.193)); #45337=CARTESIAN_POINT('',(9.42796767645617,15.66,3.193)); #45338=CARTESIAN_POINT('',(9.42796767645617,15.66,3.193)); #45339=CARTESIAN_POINT('',(7.24,11.66,3.053)); #45340=CARTESIAN_POINT('',(7.475,11.66,3.053)); #45341=CARTESIAN_POINT('',(7.475,11.69,3.053)); #45342=CARTESIAN_POINT('',(7.475,11.54,3.053)); #45343=CARTESIAN_POINT('',(7.24,11.54,3.053)); #45344=CARTESIAN_POINT('',(7.24,11.66,3.053)); #45345=CARTESIAN_POINT('',(7.475,11.54,3.85670545184921)); #45346=CARTESIAN_POINT('',(7.475,11.54,3.278)); #45347=CARTESIAN_POINT('',(6.925,11.54,3.278)); #45348=CARTESIAN_POINT('',(8.3,11.54,-3.092)); #45349=CARTESIAN_POINT('',(6.925,11.66,3.278)); #45350=CARTESIAN_POINT('',(7.475,11.66,3.278)); #45351=CARTESIAN_POINT('',(7.475,11.66,3.85670545184921)); #45352=CARTESIAN_POINT('',(8.3,11.66,-3.092)); #45353=CARTESIAN_POINT('',(7.475,11.69,3.278)); #45354=CARTESIAN_POINT('',(7.475,11.69,3.278)); #45355=CARTESIAN_POINT('',(7.475,11.69,3.278)); #45356=CARTESIAN_POINT('',(7.475,11.51,3.278)); #45357=CARTESIAN_POINT('',(6.925,11.51,3.278)); #45358=CARTESIAN_POINT('',(7.09085134145124,11.51,3.278)); #45359=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45360=CARTESIAN_POINT('',(7.09085134145124,11.69,3.278)); #45361=CARTESIAN_POINT('',(6.925,11.69,3.278)); #45362=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45363=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45364=CARTESIAN_POINT('',(7.09085134145124,11.19,3.278)); #45365=CARTESIAN_POINT('',(6.925,11.51,3.278)); #45366=CARTESIAN_POINT('',(6.925,11.51,3.278)); #45367=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45368=CARTESIAN_POINT('',(6.925,11.19,3.278)); #45369=CARTESIAN_POINT('',(6.925,11.19,3.278)); #45370=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45371=CARTESIAN_POINT('',(7.24,11.16,3.053)); #45372=CARTESIAN_POINT('',(7.475,11.16,3.053)); #45373=CARTESIAN_POINT('',(7.475,11.19,3.053)); #45374=CARTESIAN_POINT('',(7.475,11.04,3.053)); #45375=CARTESIAN_POINT('',(7.24,11.04,3.053)); #45376=CARTESIAN_POINT('',(7.24,11.16,3.053)); #45377=CARTESIAN_POINT('',(7.475,11.04,3.85670545184921)); #45378=CARTESIAN_POINT('',(7.475,11.04,3.278)); #45379=CARTESIAN_POINT('',(6.925,11.04,3.278)); #45380=CARTESIAN_POINT('',(8.3,11.04,-3.092)); #45381=CARTESIAN_POINT('',(6.925,11.16,3.278)); #45382=CARTESIAN_POINT('',(7.475,11.16,3.278)); #45383=CARTESIAN_POINT('',(7.475,11.16,3.85670545184921)); #45384=CARTESIAN_POINT('',(8.3,11.16,-3.092)); #45385=CARTESIAN_POINT('',(7.475,11.19,3.278)); #45386=CARTESIAN_POINT('',(7.475,11.19,3.278)); #45387=CARTESIAN_POINT('',(7.475,11.19,3.278)); #45388=CARTESIAN_POINT('',(7.475,11.01,3.278)); #45389=CARTESIAN_POINT('',(6.925,11.01,3.278)); #45390=CARTESIAN_POINT('',(7.09085134145124,11.01,3.278)); #45391=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45392=CARTESIAN_POINT('',(6.925,11.19,3.278)); #45393=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45394=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45395=CARTESIAN_POINT('',(7.09085134145124,10.69,3.278)); #45396=CARTESIAN_POINT('',(6.925,11.01,3.278)); #45397=CARTESIAN_POINT('',(6.925,11.01,3.278)); #45398=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45399=CARTESIAN_POINT('',(6.925,10.69,3.278)); #45400=CARTESIAN_POINT('',(6.925,10.69,3.278)); #45401=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45402=CARTESIAN_POINT('',(7.24,10.66,3.053)); #45403=CARTESIAN_POINT('',(7.475,10.66,3.053)); #45404=CARTESIAN_POINT('',(7.475,10.69,3.053)); #45405=CARTESIAN_POINT('',(7.475,10.54,3.053)); #45406=CARTESIAN_POINT('',(7.24,10.54,3.053)); #45407=CARTESIAN_POINT('',(7.24,10.66,3.053)); #45408=CARTESIAN_POINT('',(7.475,10.54,3.85670545184921)); #45409=CARTESIAN_POINT('',(7.475,10.54,3.278)); #45410=CARTESIAN_POINT('',(6.925,10.54,3.278)); #45411=CARTESIAN_POINT('',(8.3,10.54,-3.092)); #45412=CARTESIAN_POINT('',(6.925,10.66,3.278)); #45413=CARTESIAN_POINT('',(7.475,10.66,3.278)); #45414=CARTESIAN_POINT('',(7.475,10.66,3.85670545184921)); #45415=CARTESIAN_POINT('',(8.3,10.66,-3.092)); #45416=CARTESIAN_POINT('',(7.475,10.69,3.278)); #45417=CARTESIAN_POINT('',(7.475,10.69,3.278)); #45418=CARTESIAN_POINT('',(7.475,10.69,3.278)); #45419=CARTESIAN_POINT('',(7.475,10.51,3.278)); #45420=CARTESIAN_POINT('',(6.925,10.51,3.278)); #45421=CARTESIAN_POINT('',(7.09085134145124,10.51,3.278)); #45422=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45423=CARTESIAN_POINT('',(6.925,10.69,3.278)); #45424=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45425=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45426=CARTESIAN_POINT('',(7.09085134145124,10.19,3.278)); #45427=CARTESIAN_POINT('',(6.925,10.51,3.278)); #45428=CARTESIAN_POINT('',(6.925,10.51,3.278)); #45429=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45430=CARTESIAN_POINT('',(6.925,10.19,3.278)); #45431=CARTESIAN_POINT('',(6.925,10.19,3.278)); #45432=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45433=CARTESIAN_POINT('',(7.24,10.16,3.053)); #45434=CARTESIAN_POINT('',(7.475,10.16,3.053)); #45435=CARTESIAN_POINT('',(7.475,10.19,3.053)); #45436=CARTESIAN_POINT('',(7.475,10.04,3.053)); #45437=CARTESIAN_POINT('',(7.24,10.04,3.053)); #45438=CARTESIAN_POINT('',(7.24,10.16,3.053)); #45439=CARTESIAN_POINT('',(7.475,10.04,3.85670545184921)); #45440=CARTESIAN_POINT('',(7.475,10.04,3.278)); #45441=CARTESIAN_POINT('',(6.925,10.04,3.278)); #45442=CARTESIAN_POINT('',(8.3,10.04,-3.092)); #45443=CARTESIAN_POINT('',(6.925,10.16,3.278)); #45444=CARTESIAN_POINT('',(7.475,10.16,3.278)); #45445=CARTESIAN_POINT('',(7.475,10.16,3.85670545184921)); #45446=CARTESIAN_POINT('',(8.3,10.16,-3.092)); #45447=CARTESIAN_POINT('',(7.475,10.19,3.278)); #45448=CARTESIAN_POINT('',(7.475,10.19,3.278)); #45449=CARTESIAN_POINT('',(7.475,10.19,3.278)); #45450=CARTESIAN_POINT('',(7.475,10.01,3.278)); #45451=CARTESIAN_POINT('',(6.925,10.01,3.278)); #45452=CARTESIAN_POINT('',(7.09085134145124,10.01,3.278)); #45453=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45454=CARTESIAN_POINT('',(6.925,10.19,3.278)); #45455=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45456=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45457=CARTESIAN_POINT('',(7.09085134145124,9.69,3.278)); #45458=CARTESIAN_POINT('',(6.925,10.01,3.278)); #45459=CARTESIAN_POINT('',(6.925,10.01,3.278)); #45460=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45461=CARTESIAN_POINT('',(6.925,9.69,3.278)); #45462=CARTESIAN_POINT('',(6.925,9.69,3.278)); #45463=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45464=CARTESIAN_POINT('',(7.24,9.66,3.053)); #45465=CARTESIAN_POINT('',(7.475,9.66,3.053)); #45466=CARTESIAN_POINT('',(7.475,9.69,3.053)); #45467=CARTESIAN_POINT('',(7.475,9.53999999999999,3.053)); #45468=CARTESIAN_POINT('',(7.24,9.53999999999999,3.053)); #45469=CARTESIAN_POINT('',(7.24,9.66,3.053)); #45470=CARTESIAN_POINT('',(7.475,9.53999999999999,3.85670545184921)); #45471=CARTESIAN_POINT('',(7.475,9.53999999999999,3.278)); #45472=CARTESIAN_POINT('',(6.925,9.53999999999999,3.278)); #45473=CARTESIAN_POINT('',(8.3,9.53999999999999,-3.092)); #45474=CARTESIAN_POINT('',(6.925,9.66,3.278)); #45475=CARTESIAN_POINT('',(7.475,9.66,3.278)); #45476=CARTESIAN_POINT('',(7.475,9.66,3.85670545184921)); #45477=CARTESIAN_POINT('',(8.3,9.66,-3.092)); #45478=CARTESIAN_POINT('',(7.475,9.69,3.278)); #45479=CARTESIAN_POINT('',(7.475,9.69,3.278)); #45480=CARTESIAN_POINT('',(7.475,9.69,3.278)); #45481=CARTESIAN_POINT('',(7.475,9.51,3.278)); #45482=CARTESIAN_POINT('',(6.925,9.51,3.278)); #45483=CARTESIAN_POINT('',(7.09085134145124,9.51,3.278)); #45484=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45485=CARTESIAN_POINT('',(6.925,9.69,3.278)); #45486=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45487=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45488=CARTESIAN_POINT('',(7.09085134145124,9.19,3.278)); #45489=CARTESIAN_POINT('',(6.925,9.51,3.278)); #45490=CARTESIAN_POINT('',(6.925,9.51,3.278)); #45491=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45492=CARTESIAN_POINT('',(6.925,9.19,3.278)); #45493=CARTESIAN_POINT('',(6.925,9.19,3.278)); #45494=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45495=CARTESIAN_POINT('',(7.24,9.16,3.053)); #45496=CARTESIAN_POINT('',(7.475,9.16,3.053)); #45497=CARTESIAN_POINT('',(7.475,9.19,3.053)); #45498=CARTESIAN_POINT('',(7.475,9.04,3.053)); #45499=CARTESIAN_POINT('',(7.24,9.04,3.053)); #45500=CARTESIAN_POINT('',(7.24,9.16,3.053)); #45501=CARTESIAN_POINT('',(7.475,9.04,3.85670545184921)); #45502=CARTESIAN_POINT('',(7.475,9.04,3.278)); #45503=CARTESIAN_POINT('',(6.925,9.04,3.278)); #45504=CARTESIAN_POINT('',(8.3,9.04,-3.092)); #45505=CARTESIAN_POINT('',(6.925,9.16,3.278)); #45506=CARTESIAN_POINT('',(7.475,9.16,3.278)); #45507=CARTESIAN_POINT('',(7.475,9.16,3.85670545184921)); #45508=CARTESIAN_POINT('',(8.3,9.16,-3.092)); #45509=CARTESIAN_POINT('',(7.475,9.19,3.278)); #45510=CARTESIAN_POINT('',(7.475,9.19,3.278)); #45511=CARTESIAN_POINT('',(7.475,9.19,3.278)); #45512=CARTESIAN_POINT('',(7.475,9.01,3.278)); #45513=CARTESIAN_POINT('',(6.925,9.01,3.278)); #45514=CARTESIAN_POINT('',(7.09085134145124,9.01,3.278)); #45515=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45516=CARTESIAN_POINT('',(6.925,9.19,3.278)); #45517=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45518=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45519=CARTESIAN_POINT('',(7.09085134145124,8.69,3.278)); #45520=CARTESIAN_POINT('',(6.925,9.01,3.278)); #45521=CARTESIAN_POINT('',(6.925,9.01,3.278)); #45522=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45523=CARTESIAN_POINT('',(6.925,8.69,3.278)); #45524=CARTESIAN_POINT('',(6.925,8.69,3.278)); #45525=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45526=CARTESIAN_POINT('',(7.24,8.66,3.053)); #45527=CARTESIAN_POINT('',(7.475,8.66,3.053)); #45528=CARTESIAN_POINT('',(7.475,8.69,3.053)); #45529=CARTESIAN_POINT('',(7.475,8.54,3.053)); #45530=CARTESIAN_POINT('',(7.24,8.54,3.053)); #45531=CARTESIAN_POINT('',(7.24,8.66,3.053)); #45532=CARTESIAN_POINT('',(7.475,8.54,3.85670545184921)); #45533=CARTESIAN_POINT('',(7.475,8.54,3.278)); #45534=CARTESIAN_POINT('',(6.925,8.54,3.278)); #45535=CARTESIAN_POINT('',(8.3,8.54,-3.092)); #45536=CARTESIAN_POINT('',(6.925,8.66,3.278)); #45537=CARTESIAN_POINT('',(7.475,8.66,3.278)); #45538=CARTESIAN_POINT('',(7.475,8.66,3.85670545184921)); #45539=CARTESIAN_POINT('',(8.3,8.66,-3.092)); #45540=CARTESIAN_POINT('',(7.475,8.69,3.278)); #45541=CARTESIAN_POINT('',(7.475,8.69,3.278)); #45542=CARTESIAN_POINT('',(7.475,8.69,3.278)); #45543=CARTESIAN_POINT('',(7.475,8.50999999999999,3.278)); #45544=CARTESIAN_POINT('',(6.925,8.50999999999999,3.278)); #45545=CARTESIAN_POINT('',(7.09085134145124,8.50999999999999,3.278)); #45546=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45547=CARTESIAN_POINT('',(6.925,8.69,3.278)); #45548=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45549=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45550=CARTESIAN_POINT('',(7.09085134145124,8.19,3.278)); #45551=CARTESIAN_POINT('',(6.925,8.50999999999999,3.278)); #45552=CARTESIAN_POINT('',(6.925,8.50999999999999,3.278)); #45553=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45554=CARTESIAN_POINT('',(6.925,8.19,3.278)); #45555=CARTESIAN_POINT('',(6.925,8.19,3.278)); #45556=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45557=CARTESIAN_POINT('',(7.24,8.15999999999999,3.053)); #45558=CARTESIAN_POINT('',(7.475,8.15999999999999,3.053)); #45559=CARTESIAN_POINT('',(7.475,8.19,3.053)); #45560=CARTESIAN_POINT('',(7.475,8.04,3.053)); #45561=CARTESIAN_POINT('',(7.24,8.04,3.053)); #45562=CARTESIAN_POINT('',(7.24,8.15999999999999,3.053)); #45563=CARTESIAN_POINT('',(7.475,8.04,3.85670545184921)); #45564=CARTESIAN_POINT('',(7.475,8.04,3.278)); #45565=CARTESIAN_POINT('',(6.925,8.04,3.278)); #45566=CARTESIAN_POINT('',(8.3,8.04,-3.092)); #45567=CARTESIAN_POINT('',(6.925,8.15999999999999,3.278)); #45568=CARTESIAN_POINT('',(7.475,8.15999999999999,3.278)); #45569=CARTESIAN_POINT('',(7.475,8.15999999999999,3.85670545184921)); #45570=CARTESIAN_POINT('',(8.3,8.15999999999999,-3.092)); #45571=CARTESIAN_POINT('',(7.475,8.19,3.278)); #45572=CARTESIAN_POINT('',(7.475,8.19,3.278)); #45573=CARTESIAN_POINT('',(7.475,8.19,3.278)); #45574=CARTESIAN_POINT('',(7.475,8.01,3.278)); #45575=CARTESIAN_POINT('',(6.925,8.01,3.278)); #45576=CARTESIAN_POINT('',(7.09085134145124,8.01,3.278)); #45577=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #45578=CARTESIAN_POINT('',(6.925,8.19,3.278)); #45579=CARTESIAN_POINT('',(6.925,7.68,3.278)); #45580=CARTESIAN_POINT('',(7.24,15.66,3.053)); #45581=CARTESIAN_POINT('',(7.475,15.66,3.053)); #45582=CARTESIAN_POINT('',(7.475,15.69,3.053)); #45583=CARTESIAN_POINT('',(7.475,15.54,3.053)); #45584=CARTESIAN_POINT('',(7.24,15.54,3.053)); #45585=CARTESIAN_POINT('',(7.24,15.66,3.053)); #45586=CARTESIAN_POINT('',(7.475,15.54,3.85670545184921)); #45587=CARTESIAN_POINT('',(7.475,15.54,3.278)); #45588=CARTESIAN_POINT('',(6.925,15.54,3.278)); #45589=CARTESIAN_POINT('',(8.3,15.54,-3.092)); #45590=CARTESIAN_POINT('',(6.925,15.66,3.278)); #45591=CARTESIAN_POINT('',(7.475,15.66,3.278)); #45592=CARTESIAN_POINT('',(7.475,15.66,3.85670545184921)); #45593=CARTESIAN_POINT('',(8.3,15.66,-3.092)); #45594=CARTESIAN_POINT('',(7.475,15.69,3.278)); #45595=CARTESIAN_POINT('',(7.475,15.69,3.278)); #45596=CARTESIAN_POINT('',(7.475,15.69,3.278)); #45597=CARTESIAN_POINT('',(7.475,15.51,3.278)); #45598=CARTESIAN_POINT('',(6.925,15.51,3.278)); #45599=CARTESIAN_POINT('',(7.09085134145124,15.51,3.278)); #45600=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45601=CARTESIAN_POINT('',(7.09085134145124,15.69,3.278)); #45602=CARTESIAN_POINT('',(6.925,15.69,3.278)); #45603=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45604=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45605=CARTESIAN_POINT('',(7.09085134145124,15.19,3.278)); #45606=CARTESIAN_POINT('',(6.925,15.51,3.278)); #45607=CARTESIAN_POINT('',(6.925,15.51,3.278)); #45608=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45609=CARTESIAN_POINT('',(6.925,15.19,3.278)); #45610=CARTESIAN_POINT('',(6.925,15.19,3.278)); #45611=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45612=CARTESIAN_POINT('',(7.24,15.16,3.053)); #45613=CARTESIAN_POINT('',(7.475,15.16,3.053)); #45614=CARTESIAN_POINT('',(7.475,15.19,3.053)); #45615=CARTESIAN_POINT('',(7.475,15.04,3.053)); #45616=CARTESIAN_POINT('',(7.24,15.04,3.053)); #45617=CARTESIAN_POINT('',(7.24,15.16,3.053)); #45618=CARTESIAN_POINT('',(7.475,15.04,3.85670545184921)); #45619=CARTESIAN_POINT('',(7.475,15.04,3.278)); #45620=CARTESIAN_POINT('',(6.925,15.04,3.278)); #45621=CARTESIAN_POINT('',(8.3,15.04,-3.092)); #45622=CARTESIAN_POINT('',(6.925,15.16,3.278)); #45623=CARTESIAN_POINT('',(7.475,15.16,3.278)); #45624=CARTESIAN_POINT('',(7.475,15.16,3.85670545184921)); #45625=CARTESIAN_POINT('',(8.3,15.16,-3.092)); #45626=CARTESIAN_POINT('',(7.475,15.19,3.278)); #45627=CARTESIAN_POINT('',(7.475,15.19,3.278)); #45628=CARTESIAN_POINT('',(7.475,15.19,3.278)); #45629=CARTESIAN_POINT('',(7.475,15.01,3.278)); #45630=CARTESIAN_POINT('',(6.925,15.01,3.278)); #45631=CARTESIAN_POINT('',(7.09085134145124,15.01,3.278)); #45632=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45633=CARTESIAN_POINT('',(6.925,15.19,3.278)); #45634=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45635=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45636=CARTESIAN_POINT('',(7.09085134145124,14.69,3.278)); #45637=CARTESIAN_POINT('',(6.925,15.01,3.278)); #45638=CARTESIAN_POINT('',(6.925,15.01,3.278)); #45639=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45640=CARTESIAN_POINT('',(6.925,14.69,3.278)); #45641=CARTESIAN_POINT('',(6.925,14.69,3.278)); #45642=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45643=CARTESIAN_POINT('',(7.24,14.66,3.053)); #45644=CARTESIAN_POINT('',(7.475,14.66,3.053)); #45645=CARTESIAN_POINT('',(7.475,14.69,3.053)); #45646=CARTESIAN_POINT('',(7.475,14.54,3.053)); #45647=CARTESIAN_POINT('',(7.24,14.54,3.053)); #45648=CARTESIAN_POINT('',(7.24,14.66,3.053)); #45649=CARTESIAN_POINT('',(7.475,14.54,3.85670545184921)); #45650=CARTESIAN_POINT('',(7.475,14.54,3.278)); #45651=CARTESIAN_POINT('',(6.925,14.54,3.278)); #45652=CARTESIAN_POINT('',(8.3,14.54,-3.092)); #45653=CARTESIAN_POINT('',(6.925,14.66,3.278)); #45654=CARTESIAN_POINT('',(7.475,14.66,3.278)); #45655=CARTESIAN_POINT('',(7.475,14.66,3.85670545184921)); #45656=CARTESIAN_POINT('',(8.3,14.66,-3.092)); #45657=CARTESIAN_POINT('',(7.475,14.69,3.278)); #45658=CARTESIAN_POINT('',(7.475,14.69,3.278)); #45659=CARTESIAN_POINT('',(7.475,14.69,3.278)); #45660=CARTESIAN_POINT('',(7.475,14.51,3.278)); #45661=CARTESIAN_POINT('',(6.925,14.51,3.278)); #45662=CARTESIAN_POINT('',(7.09085134145124,14.51,3.278)); #45663=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45664=CARTESIAN_POINT('',(6.925,14.69,3.278)); #45665=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45666=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45667=CARTESIAN_POINT('',(7.09085134145124,14.19,3.278)); #45668=CARTESIAN_POINT('',(6.925,14.51,3.278)); #45669=CARTESIAN_POINT('',(6.925,14.51,3.278)); #45670=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45671=CARTESIAN_POINT('',(6.925,14.19,3.278)); #45672=CARTESIAN_POINT('',(6.925,14.19,3.278)); #45673=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45674=CARTESIAN_POINT('',(7.24,14.16,3.053)); #45675=CARTESIAN_POINT('',(7.475,14.16,3.053)); #45676=CARTESIAN_POINT('',(7.475,14.19,3.053)); #45677=CARTESIAN_POINT('',(7.475,14.04,3.053)); #45678=CARTESIAN_POINT('',(7.24,14.04,3.053)); #45679=CARTESIAN_POINT('',(7.24,14.16,3.053)); #45680=CARTESIAN_POINT('',(7.475,14.04,3.85670545184921)); #45681=CARTESIAN_POINT('',(7.475,14.04,3.278)); #45682=CARTESIAN_POINT('',(6.925,14.04,3.278)); #45683=CARTESIAN_POINT('',(8.3,14.04,-3.092)); #45684=CARTESIAN_POINT('',(6.925,14.16,3.278)); #45685=CARTESIAN_POINT('',(7.475,14.16,3.278)); #45686=CARTESIAN_POINT('',(7.475,14.16,3.85670545184921)); #45687=CARTESIAN_POINT('',(8.3,14.16,-3.092)); #45688=CARTESIAN_POINT('',(7.475,14.19,3.278)); #45689=CARTESIAN_POINT('',(7.475,14.19,3.278)); #45690=CARTESIAN_POINT('',(7.475,14.19,3.278)); #45691=CARTESIAN_POINT('',(7.475,14.01,3.278)); #45692=CARTESIAN_POINT('',(6.925,14.01,3.278)); #45693=CARTESIAN_POINT('',(7.09085134145124,14.01,3.278)); #45694=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45695=CARTESIAN_POINT('',(6.925,14.19,3.278)); #45696=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45697=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45698=CARTESIAN_POINT('',(7.09085134145124,13.69,3.278)); #45699=CARTESIAN_POINT('',(6.925,14.01,3.278)); #45700=CARTESIAN_POINT('',(6.925,14.01,3.278)); #45701=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45702=CARTESIAN_POINT('',(6.925,13.69,3.278)); #45703=CARTESIAN_POINT('',(6.925,13.69,3.278)); #45704=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45705=CARTESIAN_POINT('',(7.24,13.66,3.053)); #45706=CARTESIAN_POINT('',(7.475,13.66,3.053)); #45707=CARTESIAN_POINT('',(7.475,13.69,3.053)); #45708=CARTESIAN_POINT('',(7.475,13.54,3.053)); #45709=CARTESIAN_POINT('',(7.24,13.54,3.053)); #45710=CARTESIAN_POINT('',(7.24,13.66,3.053)); #45711=CARTESIAN_POINT('',(7.475,13.54,3.85670545184921)); #45712=CARTESIAN_POINT('',(7.475,13.54,3.278)); #45713=CARTESIAN_POINT('',(6.925,13.54,3.278)); #45714=CARTESIAN_POINT('',(8.3,13.54,-3.092)); #45715=CARTESIAN_POINT('',(6.925,13.66,3.278)); #45716=CARTESIAN_POINT('',(7.475,13.66,3.278)); #45717=CARTESIAN_POINT('',(7.475,13.66,3.85670545184921)); #45718=CARTESIAN_POINT('',(8.3,13.66,-3.092)); #45719=CARTESIAN_POINT('',(7.475,13.69,3.278)); #45720=CARTESIAN_POINT('',(7.475,13.69,3.278)); #45721=CARTESIAN_POINT('',(7.475,13.69,3.278)); #45722=CARTESIAN_POINT('',(7.475,13.51,3.278)); #45723=CARTESIAN_POINT('',(6.925,13.51,3.278)); #45724=CARTESIAN_POINT('',(7.09085134145124,13.51,3.278)); #45725=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45726=CARTESIAN_POINT('',(6.925,13.69,3.278)); #45727=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45728=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45729=CARTESIAN_POINT('',(7.09085134145124,13.19,3.278)); #45730=CARTESIAN_POINT('',(6.925,13.51,3.278)); #45731=CARTESIAN_POINT('',(6.925,13.51,3.278)); #45732=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45733=CARTESIAN_POINT('',(6.925,13.19,3.278)); #45734=CARTESIAN_POINT('',(6.925,13.19,3.278)); #45735=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45736=CARTESIAN_POINT('',(7.24,13.16,3.053)); #45737=CARTESIAN_POINT('',(7.475,13.16,3.053)); #45738=CARTESIAN_POINT('',(7.475,13.19,3.053)); #45739=CARTESIAN_POINT('',(7.475,13.04,3.053)); #45740=CARTESIAN_POINT('',(7.24,13.04,3.053)); #45741=CARTESIAN_POINT('',(7.24,13.16,3.053)); #45742=CARTESIAN_POINT('',(7.475,13.04,3.85670545184921)); #45743=CARTESIAN_POINT('',(7.475,13.04,3.278)); #45744=CARTESIAN_POINT('',(6.925,13.04,3.278)); #45745=CARTESIAN_POINT('',(8.3,13.04,-3.092)); #45746=CARTESIAN_POINT('',(6.925,13.16,3.278)); #45747=CARTESIAN_POINT('',(7.475,13.16,3.278)); #45748=CARTESIAN_POINT('',(7.475,13.16,3.85670545184921)); #45749=CARTESIAN_POINT('',(8.3,13.16,-3.092)); #45750=CARTESIAN_POINT('',(7.475,13.19,3.278)); #45751=CARTESIAN_POINT('',(7.475,13.19,3.278)); #45752=CARTESIAN_POINT('',(7.475,13.19,3.278)); #45753=CARTESIAN_POINT('',(7.475,13.01,3.278)); #45754=CARTESIAN_POINT('',(6.925,13.01,3.278)); #45755=CARTESIAN_POINT('',(7.09085134145124,13.01,3.278)); #45756=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45757=CARTESIAN_POINT('',(6.925,13.19,3.278)); #45758=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45759=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45760=CARTESIAN_POINT('',(7.09085134145124,12.69,3.278)); #45761=CARTESIAN_POINT('',(6.925,13.01,3.278)); #45762=CARTESIAN_POINT('',(6.925,13.01,3.278)); #45763=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45764=CARTESIAN_POINT('',(6.925,12.69,3.278)); #45765=CARTESIAN_POINT('',(6.925,12.69,3.278)); #45766=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45767=CARTESIAN_POINT('',(7.24,12.66,3.053)); #45768=CARTESIAN_POINT('',(7.475,12.66,3.053)); #45769=CARTESIAN_POINT('',(7.475,12.69,3.053)); #45770=CARTESIAN_POINT('',(7.475,12.54,3.053)); #45771=CARTESIAN_POINT('',(7.24,12.54,3.053)); #45772=CARTESIAN_POINT('',(7.24,12.66,3.053)); #45773=CARTESIAN_POINT('',(7.475,12.54,3.85670545184921)); #45774=CARTESIAN_POINT('',(7.475,12.54,3.278)); #45775=CARTESIAN_POINT('',(6.925,12.54,3.278)); #45776=CARTESIAN_POINT('',(8.3,12.54,-3.092)); #45777=CARTESIAN_POINT('',(6.925,12.66,3.278)); #45778=CARTESIAN_POINT('',(7.475,12.66,3.278)); #45779=CARTESIAN_POINT('',(7.475,12.66,3.85670545184921)); #45780=CARTESIAN_POINT('',(8.3,12.66,-3.092)); #45781=CARTESIAN_POINT('',(7.475,12.69,3.278)); #45782=CARTESIAN_POINT('',(7.475,12.69,3.278)); #45783=CARTESIAN_POINT('',(7.475,12.69,3.278)); #45784=CARTESIAN_POINT('',(7.475,12.51,3.278)); #45785=CARTESIAN_POINT('',(6.925,12.51,3.278)); #45786=CARTESIAN_POINT('',(7.09085134145124,12.51,3.278)); #45787=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45788=CARTESIAN_POINT('',(6.925,12.69,3.278)); #45789=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45790=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45791=CARTESIAN_POINT('',(7.09085134145124,12.19,3.278)); #45792=CARTESIAN_POINT('',(6.925,12.51,3.278)); #45793=CARTESIAN_POINT('',(6.925,12.51,3.278)); #45794=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45795=CARTESIAN_POINT('',(6.925,12.19,3.278)); #45796=CARTESIAN_POINT('',(6.925,12.19,3.278)); #45797=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45798=CARTESIAN_POINT('',(7.24,12.16,3.053)); #45799=CARTESIAN_POINT('',(7.475,12.16,3.053)); #45800=CARTESIAN_POINT('',(7.475,12.19,3.053)); #45801=CARTESIAN_POINT('',(7.475,12.04,3.053)); #45802=CARTESIAN_POINT('',(7.24,12.04,3.053)); #45803=CARTESIAN_POINT('',(7.24,12.16,3.053)); #45804=CARTESIAN_POINT('',(7.475,12.04,3.85670545184921)); #45805=CARTESIAN_POINT('',(7.475,12.04,3.278)); #45806=CARTESIAN_POINT('',(6.925,12.04,3.278)); #45807=CARTESIAN_POINT('',(8.3,12.04,-3.092)); #45808=CARTESIAN_POINT('',(6.925,12.16,3.278)); #45809=CARTESIAN_POINT('',(7.475,12.16,3.278)); #45810=CARTESIAN_POINT('',(7.475,12.16,3.85670545184921)); #45811=CARTESIAN_POINT('',(8.3,12.16,-3.092)); #45812=CARTESIAN_POINT('',(7.475,12.19,3.278)); #45813=CARTESIAN_POINT('',(7.475,12.19,3.278)); #45814=CARTESIAN_POINT('',(7.475,12.19,3.278)); #45815=CARTESIAN_POINT('',(7.475,12.01,3.278)); #45816=CARTESIAN_POINT('',(6.925,12.01,3.278)); #45817=CARTESIAN_POINT('',(7.09085134145124,12.01,3.278)); #45818=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45819=CARTESIAN_POINT('',(6.925,12.19,3.278)); #45820=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45821=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #45822=CARTESIAN_POINT('',(6.925,12.01,3.278)); #45823=CARTESIAN_POINT('',(6.925,12.01,3.278)); #45824=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45825=CARTESIAN_POINT('',(6.925,11.69,3.278)); #45826=CARTESIAN_POINT('',(6.925,11.69,3.278)); #45827=CARTESIAN_POINT('',(6.925,5.68,3.278)); #45828=CARTESIAN_POINT('',(9.52571150438746,11.54,3.58235822227524)); #45829=CARTESIAN_POINT('',(9.76,11.54,3.7789496125328)); #45830=CARTESIAN_POINT('',(9.52571150438746,11.54,3.58235822227524)); #45831=CARTESIAN_POINT('',(9.52571150438746,11.66,3.58235822227524)); #45832=CARTESIAN_POINT('',(9.52571150438746,11.66,3.58235822227524)); #45833=CARTESIAN_POINT('',(9.52571150438746,11.66,3.58235822227524)); #45834=CARTESIAN_POINT('',(9.76,11.66,3.7789496125328)); #45835=CARTESIAN_POINT('',(9.76,11.66,3.7789496125328)); #45836=CARTESIAN_POINT('',(9.52571150438746,11.66,3.58235822227524)); #45837=CARTESIAN_POINT('',(9.5,11.54,3.613)); #45838=CARTESIAN_POINT('',(9.46723391822844,11.54,3.59005694254596)); #45839=CARTESIAN_POINT('',(9.46723391822844,11.66,3.59005694254596)); #45840=CARTESIAN_POINT('',(9.46723391822844,11.66,3.59005694254596)); #45841=CARTESIAN_POINT('',(9.5,11.66,3.613)); #45842=CARTESIAN_POINT('',(9.5,11.66,3.613)); #45843=CARTESIAN_POINT('',(9.4336638279943,11.54,3.638)); #45844=CARTESIAN_POINT('',(9.4336638279943,11.54,3.638)); #45845=CARTESIAN_POINT('',(9.4336638279943,11.66,3.638)); #45846=CARTESIAN_POINT('',(9.4336638279943,11.66,3.638)); #45847=CARTESIAN_POINT('',(9.4336638279943,11.66,3.638)); #45848=CARTESIAN_POINT('',(9.4336638279943,11.66,3.638)); #45849=CARTESIAN_POINT('',(9.52571150438746,11.04,3.58235822227524)); #45850=CARTESIAN_POINT('',(9.76,11.04,3.7789496125328)); #45851=CARTESIAN_POINT('',(9.52571150438746,11.04,3.58235822227524)); #45852=CARTESIAN_POINT('',(9.52571150438746,11.16,3.58235822227524)); #45853=CARTESIAN_POINT('',(9.52571150438746,11.16,3.58235822227524)); #45854=CARTESIAN_POINT('',(9.52571150438746,11.16,3.58235822227524)); #45855=CARTESIAN_POINT('',(9.76,11.16,3.7789496125328)); #45856=CARTESIAN_POINT('',(9.76,11.16,3.7789496125328)); #45857=CARTESIAN_POINT('',(9.52571150438746,11.16,3.58235822227524)); #45858=CARTESIAN_POINT('',(9.5,11.04,3.613)); #45859=CARTESIAN_POINT('',(9.46723391822844,11.04,3.59005694254596)); #45860=CARTESIAN_POINT('',(9.46723391822844,11.16,3.59005694254596)); #45861=CARTESIAN_POINT('',(9.46723391822844,11.16,3.59005694254596)); #45862=CARTESIAN_POINT('',(9.5,11.16,3.613)); #45863=CARTESIAN_POINT('',(9.5,11.16,3.613)); #45864=CARTESIAN_POINT('',(9.4336638279943,11.04,3.638)); #45865=CARTESIAN_POINT('',(9.4336638279943,11.04,3.638)); #45866=CARTESIAN_POINT('',(9.4336638279943,11.16,3.638)); #45867=CARTESIAN_POINT('',(9.4336638279943,11.16,3.638)); #45868=CARTESIAN_POINT('',(9.4336638279943,11.16,3.638)); #45869=CARTESIAN_POINT('',(9.4336638279943,11.16,3.638)); #45870=CARTESIAN_POINT('',(9.52571150438746,10.54,3.58235822227524)); #45871=CARTESIAN_POINT('',(9.76,10.54,3.7789496125328)); #45872=CARTESIAN_POINT('',(9.52571150438746,10.54,3.58235822227524)); #45873=CARTESIAN_POINT('',(9.52571150438746,10.66,3.58235822227524)); #45874=CARTESIAN_POINT('',(9.52571150438746,10.66,3.58235822227524)); #45875=CARTESIAN_POINT('',(9.52571150438746,10.66,3.58235822227524)); #45876=CARTESIAN_POINT('',(9.76,10.66,3.7789496125328)); #45877=CARTESIAN_POINT('',(9.76,10.66,3.7789496125328)); #45878=CARTESIAN_POINT('',(9.52571150438746,10.66,3.58235822227524)); #45879=CARTESIAN_POINT('',(9.5,10.54,3.613)); #45880=CARTESIAN_POINT('',(9.46723391822844,10.54,3.59005694254596)); #45881=CARTESIAN_POINT('',(9.46723391822844,10.66,3.59005694254596)); #45882=CARTESIAN_POINT('',(9.46723391822844,10.66,3.59005694254596)); #45883=CARTESIAN_POINT('',(9.5,10.66,3.613)); #45884=CARTESIAN_POINT('',(9.5,10.66,3.613)); #45885=CARTESIAN_POINT('',(9.4336638279943,10.54,3.638)); #45886=CARTESIAN_POINT('',(9.4336638279943,10.54,3.638)); #45887=CARTESIAN_POINT('',(9.4336638279943,10.66,3.638)); #45888=CARTESIAN_POINT('',(9.4336638279943,10.66,3.638)); #45889=CARTESIAN_POINT('',(9.4336638279943,10.66,3.638)); #45890=CARTESIAN_POINT('',(9.4336638279943,10.66,3.638)); #45891=CARTESIAN_POINT('',(9.52571150438746,10.04,3.58235822227524)); #45892=CARTESIAN_POINT('',(9.76,10.04,3.7789496125328)); #45893=CARTESIAN_POINT('',(9.52571150438746,10.04,3.58235822227524)); #45894=CARTESIAN_POINT('',(9.52571150438746,10.16,3.58235822227524)); #45895=CARTESIAN_POINT('',(9.52571150438746,10.16,3.58235822227524)); #45896=CARTESIAN_POINT('',(9.52571150438746,10.16,3.58235822227524)); #45897=CARTESIAN_POINT('',(9.76,10.16,3.7789496125328)); #45898=CARTESIAN_POINT('',(9.76,10.16,3.7789496125328)); #45899=CARTESIAN_POINT('',(9.52571150438746,10.16,3.58235822227524)); #45900=CARTESIAN_POINT('',(9.5,10.04,3.613)); #45901=CARTESIAN_POINT('',(9.46723391822844,10.04,3.59005694254596)); #45902=CARTESIAN_POINT('',(9.46723391822844,10.16,3.59005694254596)); #45903=CARTESIAN_POINT('',(9.46723391822844,10.16,3.59005694254596)); #45904=CARTESIAN_POINT('',(9.5,10.16,3.613)); #45905=CARTESIAN_POINT('',(9.5,10.16,3.613)); #45906=CARTESIAN_POINT('',(9.4336638279943,10.04,3.638)); #45907=CARTESIAN_POINT('',(9.4336638279943,10.04,3.638)); #45908=CARTESIAN_POINT('',(9.4336638279943,10.16,3.638)); #45909=CARTESIAN_POINT('',(9.4336638279943,10.16,3.638)); #45910=CARTESIAN_POINT('',(9.4336638279943,10.16,3.638)); #45911=CARTESIAN_POINT('',(9.4336638279943,10.16,3.638)); #45912=CARTESIAN_POINT('',(9.52571150438746,9.53999999999999,3.58235822227524)); #45913=CARTESIAN_POINT('',(9.76,9.53999999999999,3.7789496125328)); #45914=CARTESIAN_POINT('',(9.52571150438746,9.53999999999999,3.58235822227524)); #45915=CARTESIAN_POINT('',(9.52571150438746,9.66,3.58235822227524)); #45916=CARTESIAN_POINT('',(9.52571150438746,9.66,3.58235822227524)); #45917=CARTESIAN_POINT('',(9.52571150438746,9.66,3.58235822227524)); #45918=CARTESIAN_POINT('',(9.76,9.66,3.7789496125328)); #45919=CARTESIAN_POINT('',(9.76,9.66,3.7789496125328)); #45920=CARTESIAN_POINT('',(9.52571150438746,9.66,3.58235822227524)); #45921=CARTESIAN_POINT('',(9.5,9.53999999999999,3.613)); #45922=CARTESIAN_POINT('',(9.46723391822844,9.53999999999999,3.59005694254596)); #45923=CARTESIAN_POINT('',(9.46723391822844,9.66,3.59005694254596)); #45924=CARTESIAN_POINT('',(9.46723391822844,9.66,3.59005694254596)); #45925=CARTESIAN_POINT('',(9.5,9.66,3.613)); #45926=CARTESIAN_POINT('',(9.5,9.66,3.613)); #45927=CARTESIAN_POINT('',(9.4336638279943,9.53999999999999,3.638)); #45928=CARTESIAN_POINT('',(9.4336638279943,9.53999999999999,3.638)); #45929=CARTESIAN_POINT('',(9.4336638279943,9.66,3.638)); #45930=CARTESIAN_POINT('',(9.4336638279943,9.66,3.638)); #45931=CARTESIAN_POINT('',(9.4336638279943,9.66,3.638)); #45932=CARTESIAN_POINT('',(9.4336638279943,9.66,3.638)); #45933=CARTESIAN_POINT('',(9.52571150438746,9.04,3.58235822227524)); #45934=CARTESIAN_POINT('',(9.76,9.04,3.7789496125328)); #45935=CARTESIAN_POINT('',(9.52571150438746,9.04,3.58235822227524)); #45936=CARTESIAN_POINT('',(9.52571150438746,9.16,3.58235822227524)); #45937=CARTESIAN_POINT('',(9.52571150438746,9.16,3.58235822227524)); #45938=CARTESIAN_POINT('',(9.52571150438746,9.16,3.58235822227524)); #45939=CARTESIAN_POINT('',(9.76,9.16,3.7789496125328)); #45940=CARTESIAN_POINT('',(9.76,9.16,3.7789496125328)); #45941=CARTESIAN_POINT('',(9.52571150438746,9.16,3.58235822227524)); #45942=CARTESIAN_POINT('',(9.5,9.04,3.613)); #45943=CARTESIAN_POINT('',(9.46723391822844,9.04,3.59005694254596)); #45944=CARTESIAN_POINT('',(9.46723391822844,9.16,3.59005694254596)); #45945=CARTESIAN_POINT('',(9.46723391822844,9.16,3.59005694254596)); #45946=CARTESIAN_POINT('',(9.5,9.16,3.613)); #45947=CARTESIAN_POINT('',(9.5,9.16,3.613)); #45948=CARTESIAN_POINT('',(9.4336638279943,9.04,3.638)); #45949=CARTESIAN_POINT('',(9.4336638279943,9.04,3.638)); #45950=CARTESIAN_POINT('',(9.4336638279943,9.16,3.638)); #45951=CARTESIAN_POINT('',(9.4336638279943,9.16,3.638)); #45952=CARTESIAN_POINT('',(9.4336638279943,9.16,3.638)); #45953=CARTESIAN_POINT('',(9.4336638279943,9.16,3.638)); #45954=CARTESIAN_POINT('',(9.52571150438746,8.54,3.58235822227524)); #45955=CARTESIAN_POINT('',(9.76,8.54,3.7789496125328)); #45956=CARTESIAN_POINT('',(9.52571150438746,8.54,3.58235822227524)); #45957=CARTESIAN_POINT('',(9.52571150438746,8.66,3.58235822227524)); #45958=CARTESIAN_POINT('',(9.52571150438746,8.66,3.58235822227524)); #45959=CARTESIAN_POINT('',(9.52571150438746,8.66,3.58235822227524)); #45960=CARTESIAN_POINT('',(9.76,8.66,3.7789496125328)); #45961=CARTESIAN_POINT('',(9.76,8.66,3.7789496125328)); #45962=CARTESIAN_POINT('',(9.52571150438746,8.66,3.58235822227524)); #45963=CARTESIAN_POINT('',(9.5,8.54,3.613)); #45964=CARTESIAN_POINT('',(9.46723391822844,8.54,3.59005694254596)); #45965=CARTESIAN_POINT('',(9.46723391822844,8.66,3.59005694254596)); #45966=CARTESIAN_POINT('',(9.46723391822844,8.66,3.59005694254596)); #45967=CARTESIAN_POINT('',(9.5,8.66,3.613)); #45968=CARTESIAN_POINT('',(9.5,8.66,3.613)); #45969=CARTESIAN_POINT('',(9.4336638279943,8.54,3.638)); #45970=CARTESIAN_POINT('',(9.4336638279943,8.54,3.638)); #45971=CARTESIAN_POINT('',(9.4336638279943,8.66,3.638)); #45972=CARTESIAN_POINT('',(9.4336638279943,8.66,3.638)); #45973=CARTESIAN_POINT('',(9.4336638279943,8.66,3.638)); #45974=CARTESIAN_POINT('',(9.4336638279943,8.66,3.638)); #45975=CARTESIAN_POINT('',(9.52571150438746,8.04,3.58235822227524)); #45976=CARTESIAN_POINT('',(9.76,8.04,3.7789496125328)); #45977=CARTESIAN_POINT('',(9.52571150438746,8.04,3.58235822227524)); #45978=CARTESIAN_POINT('',(9.52571150438746,8.15999999999999,3.58235822227524)); #45979=CARTESIAN_POINT('',(9.52571150438746,8.15999999999999,3.58235822227524)); #45980=CARTESIAN_POINT('',(9.52571150438746,8.15999999999999,3.58235822227524)); #45981=CARTESIAN_POINT('',(9.76,8.15999999999999,3.7789496125328)); #45982=CARTESIAN_POINT('',(9.76,8.15999999999999,3.7789496125328)); #45983=CARTESIAN_POINT('',(9.52571150438746,8.15999999999999,3.58235822227524)); #45984=CARTESIAN_POINT('',(9.5,8.04,3.613)); #45985=CARTESIAN_POINT('',(9.46723391822844,8.04,3.59005694254596)); #45986=CARTESIAN_POINT('',(9.46723391822844,8.15999999999999,3.59005694254596)); #45987=CARTESIAN_POINT('',(9.46723391822844,8.15999999999999,3.59005694254596)); #45988=CARTESIAN_POINT('',(9.5,8.15999999999999,3.613)); #45989=CARTESIAN_POINT('',(9.5,8.15999999999999,3.613)); #45990=CARTESIAN_POINT('',(9.4336638279943,8.04,3.638)); #45991=CARTESIAN_POINT('',(9.4336638279943,8.04,3.638)); #45992=CARTESIAN_POINT('',(9.4336638279943,8.15999999999999,3.638)); #45993=CARTESIAN_POINT('',(9.4336638279943,8.15999999999999,3.638)); #45994=CARTESIAN_POINT('',(9.4336638279943,8.15999999999999,3.638)); #45995=CARTESIAN_POINT('',(9.4336638279943,8.15999999999999,3.638)); #45996=CARTESIAN_POINT('',(9.52571150438746,15.54,3.58235822227524)); #45997=CARTESIAN_POINT('',(9.76,15.54,3.7789496125328)); #45998=CARTESIAN_POINT('',(9.52571150438746,15.54,3.58235822227524)); #45999=CARTESIAN_POINT('',(9.52571150438746,15.66,3.58235822227524)); #46000=CARTESIAN_POINT('',(9.52571150438746,15.66,3.58235822227524)); #46001=CARTESIAN_POINT('',(9.52571150438746,15.66,3.58235822227524)); #46002=CARTESIAN_POINT('',(9.76,15.66,3.7789496125328)); #46003=CARTESIAN_POINT('',(9.76,15.66,3.7789496125328)); #46004=CARTESIAN_POINT('',(9.52571150438746,15.66,3.58235822227524)); #46005=CARTESIAN_POINT('',(9.5,15.54,3.613)); #46006=CARTESIAN_POINT('',(9.46723391822844,15.54,3.59005694254596)); #46007=CARTESIAN_POINT('',(9.46723391822844,15.66,3.59005694254596)); #46008=CARTESIAN_POINT('',(9.46723391822844,15.66,3.59005694254596)); #46009=CARTESIAN_POINT('',(9.5,15.66,3.613)); #46010=CARTESIAN_POINT('',(9.5,15.66,3.613)); #46011=CARTESIAN_POINT('',(9.4336638279943,15.54,3.638)); #46012=CARTESIAN_POINT('',(9.4336638279943,15.54,3.638)); #46013=CARTESIAN_POINT('',(9.4336638279943,15.66,3.638)); #46014=CARTESIAN_POINT('',(9.4336638279943,15.66,3.638)); #46015=CARTESIAN_POINT('',(9.4336638279943,15.66,3.638)); #46016=CARTESIAN_POINT('',(9.4336638279943,15.66,3.638)); #46017=CARTESIAN_POINT('',(9.52571150438746,15.04,3.58235822227524)); #46018=CARTESIAN_POINT('',(9.76,15.04,3.7789496125328)); #46019=CARTESIAN_POINT('',(9.52571150438746,15.04,3.58235822227524)); #46020=CARTESIAN_POINT('',(9.52571150438746,15.16,3.58235822227524)); #46021=CARTESIAN_POINT('',(9.52571150438746,15.16,3.58235822227524)); #46022=CARTESIAN_POINT('',(9.52571150438746,15.16,3.58235822227524)); #46023=CARTESIAN_POINT('',(9.76,15.16,3.7789496125328)); #46024=CARTESIAN_POINT('',(9.76,15.16,3.7789496125328)); #46025=CARTESIAN_POINT('',(9.52571150438746,15.16,3.58235822227524)); #46026=CARTESIAN_POINT('',(9.5,15.04,3.613)); #46027=CARTESIAN_POINT('',(9.46723391822844,15.04,3.59005694254596)); #46028=CARTESIAN_POINT('',(9.46723391822844,15.16,3.59005694254596)); #46029=CARTESIAN_POINT('',(9.46723391822844,15.16,3.59005694254596)); #46030=CARTESIAN_POINT('',(9.5,15.16,3.613)); #46031=CARTESIAN_POINT('',(9.5,15.16,3.613)); #46032=CARTESIAN_POINT('',(9.4336638279943,15.04,3.638)); #46033=CARTESIAN_POINT('',(9.4336638279943,15.04,3.638)); #46034=CARTESIAN_POINT('',(9.4336638279943,15.16,3.638)); #46035=CARTESIAN_POINT('',(9.4336638279943,15.16,3.638)); #46036=CARTESIAN_POINT('',(9.4336638279943,15.16,3.638)); #46037=CARTESIAN_POINT('',(9.4336638279943,15.16,3.638)); #46038=CARTESIAN_POINT('',(9.52571150438746,14.54,3.58235822227524)); #46039=CARTESIAN_POINT('',(9.76,14.54,3.7789496125328)); #46040=CARTESIAN_POINT('',(9.52571150438746,14.54,3.58235822227524)); #46041=CARTESIAN_POINT('',(9.52571150438746,14.66,3.58235822227524)); #46042=CARTESIAN_POINT('',(9.52571150438746,14.66,3.58235822227524)); #46043=CARTESIAN_POINT('',(9.52571150438746,14.66,3.58235822227524)); #46044=CARTESIAN_POINT('',(9.76,14.66,3.7789496125328)); #46045=CARTESIAN_POINT('',(9.76,14.66,3.7789496125328)); #46046=CARTESIAN_POINT('',(9.52571150438746,14.66,3.58235822227524)); #46047=CARTESIAN_POINT('',(9.5,14.54,3.613)); #46048=CARTESIAN_POINT('',(9.46723391822844,14.54,3.59005694254596)); #46049=CARTESIAN_POINT('',(9.46723391822844,14.66,3.59005694254596)); #46050=CARTESIAN_POINT('',(9.46723391822844,14.66,3.59005694254596)); #46051=CARTESIAN_POINT('',(9.5,14.66,3.613)); #46052=CARTESIAN_POINT('',(9.5,14.66,3.613)); #46053=CARTESIAN_POINT('',(9.4336638279943,14.54,3.638)); #46054=CARTESIAN_POINT('',(9.4336638279943,14.54,3.638)); #46055=CARTESIAN_POINT('',(9.4336638279943,14.66,3.638)); #46056=CARTESIAN_POINT('',(9.4336638279943,14.66,3.638)); #46057=CARTESIAN_POINT('',(9.4336638279943,14.66,3.638)); #46058=CARTESIAN_POINT('',(9.4336638279943,14.66,3.638)); #46059=CARTESIAN_POINT('',(9.52571150438746,14.04,3.58235822227524)); #46060=CARTESIAN_POINT('',(9.76,14.04,3.7789496125328)); #46061=CARTESIAN_POINT('',(9.52571150438746,14.04,3.58235822227524)); #46062=CARTESIAN_POINT('',(9.52571150438746,14.16,3.58235822227524)); #46063=CARTESIAN_POINT('',(9.52571150438746,14.16,3.58235822227524)); #46064=CARTESIAN_POINT('',(9.52571150438746,14.16,3.58235822227524)); #46065=CARTESIAN_POINT('',(9.76,14.16,3.7789496125328)); #46066=CARTESIAN_POINT('',(9.76,14.16,3.7789496125328)); #46067=CARTESIAN_POINT('',(9.52571150438746,14.16,3.58235822227524)); #46068=CARTESIAN_POINT('',(9.5,14.04,3.613)); #46069=CARTESIAN_POINT('',(9.46723391822844,14.04,3.59005694254596)); #46070=CARTESIAN_POINT('',(9.46723391822844,14.16,3.59005694254596)); #46071=CARTESIAN_POINT('',(9.46723391822844,14.16,3.59005694254596)); #46072=CARTESIAN_POINT('',(9.5,14.16,3.613)); #46073=CARTESIAN_POINT('',(9.5,14.16,3.613)); #46074=CARTESIAN_POINT('',(9.4336638279943,14.04,3.638)); #46075=CARTESIAN_POINT('',(9.4336638279943,14.04,3.638)); #46076=CARTESIAN_POINT('',(9.4336638279943,14.16,3.638)); #46077=CARTESIAN_POINT('',(9.4336638279943,14.16,3.638)); #46078=CARTESIAN_POINT('',(9.4336638279943,14.16,3.638)); #46079=CARTESIAN_POINT('',(9.4336638279943,14.16,3.638)); #46080=CARTESIAN_POINT('',(9.52571150438746,13.54,3.58235822227524)); #46081=CARTESIAN_POINT('',(9.76,13.54,3.7789496125328)); #46082=CARTESIAN_POINT('',(9.52571150438746,13.54,3.58235822227524)); #46083=CARTESIAN_POINT('',(9.52571150438746,13.66,3.58235822227524)); #46084=CARTESIAN_POINT('',(9.52571150438746,13.66,3.58235822227524)); #46085=CARTESIAN_POINT('',(9.52571150438746,13.66,3.58235822227524)); #46086=CARTESIAN_POINT('',(9.76,13.66,3.7789496125328)); #46087=CARTESIAN_POINT('',(9.76,13.66,3.7789496125328)); #46088=CARTESIAN_POINT('',(9.52571150438746,13.66,3.58235822227524)); #46089=CARTESIAN_POINT('',(9.5,13.54,3.613)); #46090=CARTESIAN_POINT('',(9.46723391822844,13.54,3.59005694254596)); #46091=CARTESIAN_POINT('',(9.46723391822844,13.66,3.59005694254596)); #46092=CARTESIAN_POINT('',(9.46723391822844,13.66,3.59005694254596)); #46093=CARTESIAN_POINT('',(9.5,13.66,3.613)); #46094=CARTESIAN_POINT('',(9.5,13.66,3.613)); #46095=CARTESIAN_POINT('',(9.4336638279943,13.54,3.638)); #46096=CARTESIAN_POINT('',(9.4336638279943,13.54,3.638)); #46097=CARTESIAN_POINT('',(9.4336638279943,13.66,3.638)); #46098=CARTESIAN_POINT('',(9.4336638279943,13.66,3.638)); #46099=CARTESIAN_POINT('',(9.4336638279943,13.66,3.638)); #46100=CARTESIAN_POINT('',(9.4336638279943,13.66,3.638)); #46101=CARTESIAN_POINT('',(9.52571150438746,13.04,3.58235822227524)); #46102=CARTESIAN_POINT('',(9.76,13.04,3.7789496125328)); #46103=CARTESIAN_POINT('',(9.52571150438746,13.04,3.58235822227524)); #46104=CARTESIAN_POINT('',(9.52571150438746,13.16,3.58235822227524)); #46105=CARTESIAN_POINT('',(9.52571150438746,13.16,3.58235822227524)); #46106=CARTESIAN_POINT('',(9.52571150438746,13.16,3.58235822227524)); #46107=CARTESIAN_POINT('',(9.76,13.16,3.7789496125328)); #46108=CARTESIAN_POINT('',(9.76,13.16,3.7789496125328)); #46109=CARTESIAN_POINT('',(9.52571150438746,13.16,3.58235822227524)); #46110=CARTESIAN_POINT('',(9.5,13.04,3.613)); #46111=CARTESIAN_POINT('',(9.46723391822844,13.04,3.59005694254596)); #46112=CARTESIAN_POINT('',(9.46723391822844,13.16,3.59005694254596)); #46113=CARTESIAN_POINT('',(9.46723391822844,13.16,3.59005694254596)); #46114=CARTESIAN_POINT('',(9.5,13.16,3.613)); #46115=CARTESIAN_POINT('',(9.5,13.16,3.613)); #46116=CARTESIAN_POINT('',(9.4336638279943,13.04,3.638)); #46117=CARTESIAN_POINT('',(9.4336638279943,13.04,3.638)); #46118=CARTESIAN_POINT('',(9.4336638279943,13.16,3.638)); #46119=CARTESIAN_POINT('',(9.4336638279943,13.16,3.638)); #46120=CARTESIAN_POINT('',(9.4336638279943,13.16,3.638)); #46121=CARTESIAN_POINT('',(9.4336638279943,13.16,3.638)); #46122=CARTESIAN_POINT('',(9.52571150438746,12.54,3.58235822227524)); #46123=CARTESIAN_POINT('',(9.76,12.54,3.7789496125328)); #46124=CARTESIAN_POINT('',(9.52571150438746,12.54,3.58235822227524)); #46125=CARTESIAN_POINT('',(9.52571150438746,12.66,3.58235822227524)); #46126=CARTESIAN_POINT('',(9.52571150438746,12.66,3.58235822227524)); #46127=CARTESIAN_POINT('',(9.52571150438746,12.66,3.58235822227524)); #46128=CARTESIAN_POINT('',(9.76,12.66,3.7789496125328)); #46129=CARTESIAN_POINT('',(9.76,12.66,3.7789496125328)); #46130=CARTESIAN_POINT('',(9.52571150438746,12.66,3.58235822227524)); #46131=CARTESIAN_POINT('',(9.5,12.54,3.613)); #46132=CARTESIAN_POINT('',(9.46723391822844,12.54,3.59005694254596)); #46133=CARTESIAN_POINT('',(9.46723391822844,12.66,3.59005694254596)); #46134=CARTESIAN_POINT('',(9.46723391822844,12.66,3.59005694254596)); #46135=CARTESIAN_POINT('',(9.5,12.66,3.613)); #46136=CARTESIAN_POINT('',(9.5,12.66,3.613)); #46137=CARTESIAN_POINT('',(9.4336638279943,12.54,3.638)); #46138=CARTESIAN_POINT('',(9.4336638279943,12.54,3.638)); #46139=CARTESIAN_POINT('',(9.4336638279943,12.66,3.638)); #46140=CARTESIAN_POINT('',(9.4336638279943,12.66,3.638)); #46141=CARTESIAN_POINT('',(9.4336638279943,12.66,3.638)); #46142=CARTESIAN_POINT('',(9.4336638279943,12.66,3.638)); #46143=CARTESIAN_POINT('',(9.52571150438746,12.04,3.58235822227524)); #46144=CARTESIAN_POINT('',(9.76,12.04,3.7789496125328)); #46145=CARTESIAN_POINT('',(9.52571150438746,12.04,3.58235822227524)); #46146=CARTESIAN_POINT('',(9.52571150438746,12.16,3.58235822227524)); #46147=CARTESIAN_POINT('',(9.52571150438746,12.16,3.58235822227524)); #46148=CARTESIAN_POINT('',(9.52571150438746,12.16,3.58235822227524)); #46149=CARTESIAN_POINT('',(9.76,12.16,3.7789496125328)); #46150=CARTESIAN_POINT('',(9.76,12.16,3.7789496125328)); #46151=CARTESIAN_POINT('',(9.52571150438746,12.16,3.58235822227524)); #46152=CARTESIAN_POINT('',(9.5,12.04,3.613)); #46153=CARTESIAN_POINT('',(9.46723391822844,12.04,3.59005694254596)); #46154=CARTESIAN_POINT('',(9.46723391822844,12.16,3.59005694254596)); #46155=CARTESIAN_POINT('',(9.46723391822844,12.16,3.59005694254596)); #46156=CARTESIAN_POINT('',(9.5,12.16,3.613)); #46157=CARTESIAN_POINT('',(9.5,12.16,3.613)); #46158=CARTESIAN_POINT('',(9.4336638279943,12.04,3.638)); #46159=CARTESIAN_POINT('',(9.4336638279943,12.04,3.638)); #46160=CARTESIAN_POINT('',(9.4336638279943,12.16,3.638)); #46161=CARTESIAN_POINT('',(9.4336638279943,12.16,3.638)); #46162=CARTESIAN_POINT('',(9.4336638279943,12.16,3.638)); #46163=CARTESIAN_POINT('',(9.4336638279943,12.16,3.638)); #46164=CARTESIAN_POINT('',(8.7,11.54,3.193)); #46165=CARTESIAN_POINT('',(8.7,11.54,3.193)); #46166=CARTESIAN_POINT('',(8.7,11.66,3.193)); #46167=CARTESIAN_POINT('',(8.7,11.66,3.193)); #46168=CARTESIAN_POINT('',(8.7,11.66,3.193)); #46169=CARTESIAN_POINT('',(8.7,11.66,3.193)); #46170=CARTESIAN_POINT('',(8.7,11.04,3.193)); #46171=CARTESIAN_POINT('',(8.7,11.04,3.193)); #46172=CARTESIAN_POINT('',(8.7,11.16,3.193)); #46173=CARTESIAN_POINT('',(8.7,11.16,3.193)); #46174=CARTESIAN_POINT('',(8.7,11.16,3.193)); #46175=CARTESIAN_POINT('',(8.7,11.16,3.193)); #46176=CARTESIAN_POINT('',(8.7,10.54,3.193)); #46177=CARTESIAN_POINT('',(8.7,10.54,3.193)); #46178=CARTESIAN_POINT('',(8.7,10.66,3.193)); #46179=CARTESIAN_POINT('',(8.7,10.66,3.193)); #46180=CARTESIAN_POINT('',(8.7,10.66,3.193)); #46181=CARTESIAN_POINT('',(8.7,10.66,3.193)); #46182=CARTESIAN_POINT('',(8.7,10.04,3.193)); #46183=CARTESIAN_POINT('',(8.7,10.04,3.193)); #46184=CARTESIAN_POINT('',(8.7,10.16,3.193)); #46185=CARTESIAN_POINT('',(8.7,10.16,3.193)); #46186=CARTESIAN_POINT('',(8.7,10.16,3.193)); #46187=CARTESIAN_POINT('',(8.7,10.16,3.193)); #46188=CARTESIAN_POINT('',(8.7,9.53999999999999,3.193)); #46189=CARTESIAN_POINT('',(8.7,9.53999999999999,3.193)); #46190=CARTESIAN_POINT('',(8.7,9.66,3.193)); #46191=CARTESIAN_POINT('',(8.7,9.66,3.193)); #46192=CARTESIAN_POINT('',(8.7,9.66,3.193)); #46193=CARTESIAN_POINT('',(8.7,9.66,3.193)); #46194=CARTESIAN_POINT('',(8.7,9.04,3.193)); #46195=CARTESIAN_POINT('',(8.7,9.04,3.193)); #46196=CARTESIAN_POINT('',(8.7,9.16,3.193)); #46197=CARTESIAN_POINT('',(8.7,9.16,3.193)); #46198=CARTESIAN_POINT('',(8.7,9.16,3.193)); #46199=CARTESIAN_POINT('',(8.7,9.16,3.193)); #46200=CARTESIAN_POINT('',(8.7,8.54,3.193)); #46201=CARTESIAN_POINT('',(8.7,8.54,3.193)); #46202=CARTESIAN_POINT('',(8.7,8.66,3.193)); #46203=CARTESIAN_POINT('',(8.7,8.66,3.193)); #46204=CARTESIAN_POINT('',(8.7,8.66,3.193)); #46205=CARTESIAN_POINT('',(8.7,8.66,3.193)); #46206=CARTESIAN_POINT('',(8.7,8.04,3.193)); #46207=CARTESIAN_POINT('',(8.7,8.04,3.193)); #46208=CARTESIAN_POINT('',(8.7,8.15999999999999,3.193)); #46209=CARTESIAN_POINT('',(8.7,8.15999999999999,3.193)); #46210=CARTESIAN_POINT('',(8.7,8.15999999999999,3.193)); #46211=CARTESIAN_POINT('',(8.7,8.15999999999999,3.193)); #46212=CARTESIAN_POINT('',(8.7,8.04,3.638)); #46213=CARTESIAN_POINT('',(8.7,8.04,3.638)); #46214=CARTESIAN_POINT('',(8.7,8.15999999999999,3.638)); #46215=CARTESIAN_POINT('',(8.7,8.15999999999999,3.638)); #46216=CARTESIAN_POINT('',(8.7,8.15999999999999,3.638)); #46217=CARTESIAN_POINT('',(8.7,8.15999999999999,3.638)); #46218=CARTESIAN_POINT('',(8.7,8.54,3.638)); #46219=CARTESIAN_POINT('',(8.7,8.54,3.638)); #46220=CARTESIAN_POINT('',(8.7,8.66,3.638)); #46221=CARTESIAN_POINT('',(8.7,8.66,3.638)); #46222=CARTESIAN_POINT('',(8.7,8.66,3.638)); #46223=CARTESIAN_POINT('',(8.7,8.66,3.638)); #46224=CARTESIAN_POINT('',(8.7,9.04,3.638)); #46225=CARTESIAN_POINT('',(8.7,9.04,3.638)); #46226=CARTESIAN_POINT('',(8.7,9.16,3.638)); #46227=CARTESIAN_POINT('',(8.7,9.16,3.638)); #46228=CARTESIAN_POINT('',(8.7,9.16,3.638)); #46229=CARTESIAN_POINT('',(8.7,9.16,3.638)); #46230=CARTESIAN_POINT('',(8.7,9.53999999999999,3.638)); #46231=CARTESIAN_POINT('',(8.7,9.53999999999999,3.638)); #46232=CARTESIAN_POINT('',(8.7,9.66,3.638)); #46233=CARTESIAN_POINT('',(8.7,9.66,3.638)); #46234=CARTESIAN_POINT('',(8.7,9.66,3.638)); #46235=CARTESIAN_POINT('',(8.7,9.66,3.638)); #46236=CARTESIAN_POINT('',(8.7,10.04,3.638)); #46237=CARTESIAN_POINT('',(8.7,10.04,3.638)); #46238=CARTESIAN_POINT('',(8.7,10.16,3.638)); #46239=CARTESIAN_POINT('',(8.7,10.16,3.638)); #46240=CARTESIAN_POINT('',(8.7,10.16,3.638)); #46241=CARTESIAN_POINT('',(8.7,10.16,3.638)); #46242=CARTESIAN_POINT('',(8.7,10.54,3.638)); #46243=CARTESIAN_POINT('',(8.7,10.54,3.638)); #46244=CARTESIAN_POINT('',(8.7,10.66,3.638)); #46245=CARTESIAN_POINT('',(8.7,10.66,3.638)); #46246=CARTESIAN_POINT('',(8.7,10.66,3.638)); #46247=CARTESIAN_POINT('',(8.7,10.66,3.638)); #46248=CARTESIAN_POINT('',(8.7,11.04,3.638)); #46249=CARTESIAN_POINT('',(8.7,11.04,3.638)); #46250=CARTESIAN_POINT('',(8.7,11.16,3.638)); #46251=CARTESIAN_POINT('',(8.7,11.16,3.638)); #46252=CARTESIAN_POINT('',(8.7,11.16,3.638)); #46253=CARTESIAN_POINT('',(8.7,11.16,3.638)); #46254=CARTESIAN_POINT('',(8.7,11.54,3.638)); #46255=CARTESIAN_POINT('',(8.7,11.54,3.638)); #46256=CARTESIAN_POINT('',(8.7,11.66,3.638)); #46257=CARTESIAN_POINT('',(8.7,11.66,3.638)); #46258=CARTESIAN_POINT('',(8.7,11.66,3.638)); #46259=CARTESIAN_POINT('',(8.7,11.66,3.638)); #46260=CARTESIAN_POINT('',(8.7,12.04,3.638)); #46261=CARTESIAN_POINT('',(8.7,12.04,3.638)); #46262=CARTESIAN_POINT('',(8.7,12.16,3.638)); #46263=CARTESIAN_POINT('',(8.7,12.16,3.638)); #46264=CARTESIAN_POINT('',(8.7,12.16,3.638)); #46265=CARTESIAN_POINT('',(8.7,12.16,3.638)); #46266=CARTESIAN_POINT('',(8.7,12.54,3.638)); #46267=CARTESIAN_POINT('',(8.7,12.54,3.638)); #46268=CARTESIAN_POINT('',(8.7,12.66,3.638)); #46269=CARTESIAN_POINT('',(8.7,12.66,3.638)); #46270=CARTESIAN_POINT('',(8.7,12.66,3.638)); #46271=CARTESIAN_POINT('',(8.7,12.66,3.638)); #46272=CARTESIAN_POINT('',(8.7,13.04,3.638)); #46273=CARTESIAN_POINT('',(8.7,13.04,3.638)); #46274=CARTESIAN_POINT('',(8.7,13.16,3.638)); #46275=CARTESIAN_POINT('',(8.7,13.16,3.638)); #46276=CARTESIAN_POINT('',(8.7,13.16,3.638)); #46277=CARTESIAN_POINT('',(8.7,13.16,3.638)); #46278=CARTESIAN_POINT('',(8.7,13.54,3.638)); #46279=CARTESIAN_POINT('',(8.7,13.54,3.638)); #46280=CARTESIAN_POINT('',(8.7,13.66,3.638)); #46281=CARTESIAN_POINT('',(8.7,13.66,3.638)); #46282=CARTESIAN_POINT('',(8.7,13.66,3.638)); #46283=CARTESIAN_POINT('',(8.7,13.66,3.638)); #46284=CARTESIAN_POINT('',(8.7,14.04,3.638)); #46285=CARTESIAN_POINT('',(8.7,14.04,3.638)); #46286=CARTESIAN_POINT('',(8.7,14.16,3.638)); #46287=CARTESIAN_POINT('',(8.7,14.16,3.638)); #46288=CARTESIAN_POINT('',(8.7,14.16,3.638)); #46289=CARTESIAN_POINT('',(8.7,14.16,3.638)); #46290=CARTESIAN_POINT('',(8.7,14.54,3.638)); #46291=CARTESIAN_POINT('',(8.7,14.54,3.638)); #46292=CARTESIAN_POINT('',(8.7,14.66,3.638)); #46293=CARTESIAN_POINT('',(8.7,14.66,3.638)); #46294=CARTESIAN_POINT('',(8.7,14.66,3.638)); #46295=CARTESIAN_POINT('',(8.7,14.66,3.638)); #46296=CARTESIAN_POINT('',(8.7,15.04,3.638)); #46297=CARTESIAN_POINT('',(8.7,15.04,3.638)); #46298=CARTESIAN_POINT('',(8.7,15.16,3.638)); #46299=CARTESIAN_POINT('',(8.7,15.16,3.638)); #46300=CARTESIAN_POINT('',(8.7,15.16,3.638)); #46301=CARTESIAN_POINT('',(8.7,15.16,3.638)); #46302=CARTESIAN_POINT('',(8.7,15.54,3.638)); #46303=CARTESIAN_POINT('',(8.7,15.54,3.638)); #46304=CARTESIAN_POINT('',(8.7,15.66,3.638)); #46305=CARTESIAN_POINT('',(8.7,15.66,3.638)); #46306=CARTESIAN_POINT('',(8.7,15.66,3.638)); #46307=CARTESIAN_POINT('',(8.7,15.66,3.638)); #46308=CARTESIAN_POINT('',(8.7,15.54,3.193)); #46309=CARTESIAN_POINT('',(8.7,15.54,3.193)); #46310=CARTESIAN_POINT('',(8.7,15.66,3.193)); #46311=CARTESIAN_POINT('',(8.7,15.66,3.193)); #46312=CARTESIAN_POINT('',(8.7,15.66,3.193)); #46313=CARTESIAN_POINT('',(8.7,15.66,3.193)); #46314=CARTESIAN_POINT('',(8.7,15.04,3.193)); #46315=CARTESIAN_POINT('',(8.7,15.04,3.193)); #46316=CARTESIAN_POINT('',(8.7,15.16,3.193)); #46317=CARTESIAN_POINT('',(8.7,15.16,3.193)); #46318=CARTESIAN_POINT('',(8.7,15.16,3.193)); #46319=CARTESIAN_POINT('',(8.7,15.16,3.193)); #46320=CARTESIAN_POINT('',(8.7,14.54,3.193)); #46321=CARTESIAN_POINT('',(8.7,14.54,3.193)); #46322=CARTESIAN_POINT('',(8.7,14.66,3.193)); #46323=CARTESIAN_POINT('',(8.7,14.66,3.193)); #46324=CARTESIAN_POINT('',(8.7,14.66,3.193)); #46325=CARTESIAN_POINT('',(8.7,14.66,3.193)); #46326=CARTESIAN_POINT('',(8.7,14.04,3.193)); #46327=CARTESIAN_POINT('',(8.7,14.04,3.193)); #46328=CARTESIAN_POINT('',(8.7,14.16,3.193)); #46329=CARTESIAN_POINT('',(8.7,14.16,3.193)); #46330=CARTESIAN_POINT('',(8.7,14.16,3.193)); #46331=CARTESIAN_POINT('',(8.7,14.16,3.193)); #46332=CARTESIAN_POINT('',(8.7,13.54,3.193)); #46333=CARTESIAN_POINT('',(8.7,13.54,3.193)); #46334=CARTESIAN_POINT('',(8.7,13.66,3.193)); #46335=CARTESIAN_POINT('',(8.7,13.66,3.193)); #46336=CARTESIAN_POINT('',(8.7,13.66,3.193)); #46337=CARTESIAN_POINT('',(8.7,13.66,3.193)); #46338=CARTESIAN_POINT('',(8.7,13.04,3.193)); #46339=CARTESIAN_POINT('',(8.7,13.04,3.193)); #46340=CARTESIAN_POINT('',(8.7,13.16,3.193)); #46341=CARTESIAN_POINT('',(8.7,13.16,3.193)); #46342=CARTESIAN_POINT('',(8.7,13.16,3.193)); #46343=CARTESIAN_POINT('',(8.7,13.16,3.193)); #46344=CARTESIAN_POINT('',(8.7,12.54,3.193)); #46345=CARTESIAN_POINT('',(8.7,12.54,3.193)); #46346=CARTESIAN_POINT('',(8.7,12.66,3.193)); #46347=CARTESIAN_POINT('',(8.7,12.66,3.193)); #46348=CARTESIAN_POINT('',(8.7,12.66,3.193)); #46349=CARTESIAN_POINT('',(8.7,12.66,3.193)); #46350=CARTESIAN_POINT('',(8.7,12.04,3.193)); #46351=CARTESIAN_POINT('',(8.7,12.04,3.193)); #46352=CARTESIAN_POINT('',(8.7,12.16,3.193)); #46353=CARTESIAN_POINT('',(8.7,12.16,3.193)); #46354=CARTESIAN_POINT('',(8.7,12.16,3.193)); #46355=CARTESIAN_POINT('',(8.7,12.16,3.193)); #46356=CARTESIAN_POINT('',(9.69999999999999,7.025,3.623)); #46357=CARTESIAN_POINT('',(9.69999999999999,7.025,3.623)); #46358=CARTESIAN_POINT('',(9.69999999999999,7.025,3.473)); #46359=CARTESIAN_POINT('',(9.69999999999999,7.175,3.473)); #46360=CARTESIAN_POINT('',(9.69999999999999,7.175,3.473)); #46361=CARTESIAN_POINT('',(9.69999999999999,7.175,3.623)); #46362=CARTESIAN_POINT('',(9.69999999999999,7.175,3.623)); #46363=CARTESIAN_POINT('',(9.69999999999999,7.175,3.623)); #46364=CARTESIAN_POINT('',(9.69999999999999,7.175,3.623)); #46365=CARTESIAN_POINT('',(9.69999999999999,7.025,3.473)); #46366=CARTESIAN_POINT('',(9.79999999999999,7.025,3.473)); #46367=CARTESIAN_POINT('',(9.79999999999999,7.175,3.473)); #46368=CARTESIAN_POINT('',(9.79999999999999,7.175,3.473)); #46369=CARTESIAN_POINT('',(9.69999999999999,7.175,3.473)); #46370=CARTESIAN_POINT('',(9.69999999999999,7.175,3.473)); #46371=CARTESIAN_POINT('',(9.79999999999999,7.025,3.473)); #46372=CARTESIAN_POINT('',(9.79999999999999,7.025,3.273)); #46373=CARTESIAN_POINT('',(9.79999999999999,7.175,3.273)); #46374=CARTESIAN_POINT('',(9.79999999999999,7.175,3.273)); #46375=CARTESIAN_POINT('',(9.79999999999999,7.175,3.473)); #46376=CARTESIAN_POINT('',(9.79999999999999,7.175,3.473)); #46377=CARTESIAN_POINT('',(9.79999999999999,7.025,3.273)); #46378=CARTESIAN_POINT('',(9.69999999999999,7.025,3.273)); #46379=CARTESIAN_POINT('',(9.69999999999999,7.175,3.273)); #46380=CARTESIAN_POINT('',(9.69999999999999,7.175,3.273)); #46381=CARTESIAN_POINT('',(9.79999999999999,7.175,3.273)); #46382=CARTESIAN_POINT('',(9.79999999999999,7.175,3.273)); #46383=CARTESIAN_POINT('',(9.69999999999999,7.025,3.273)); #46384=CARTESIAN_POINT('',(9.69999999999999,7.025,3.073)); #46385=CARTESIAN_POINT('',(9.69999999999999,7.175,3.073)); #46386=CARTESIAN_POINT('',(9.69999999999999,7.175,3.073)); #46387=CARTESIAN_POINT('',(9.69999999999999,7.175,3.273)); #46388=CARTESIAN_POINT('',(9.69999999999999,7.175,3.273)); #46389=CARTESIAN_POINT('',(9.69999999999999,7.025,3.073)); #46390=CARTESIAN_POINT('',(9.51,7.025,2.923)); #46391=CARTESIAN_POINT('',(9.51,7.175,2.923)); #46392=CARTESIAN_POINT('',(9.51,7.175,2.923)); #46393=CARTESIAN_POINT('',(9.69999999999999,7.175,3.073)); #46394=CARTESIAN_POINT('',(9.69999999999999,7.175,3.073)); #46395=CARTESIAN_POINT('',(9.51,7.025,2.923)); #46396=CARTESIAN_POINT('',(9.01,7.025,2.923)); #46397=CARTESIAN_POINT('',(9.01,7.175,2.923)); #46398=CARTESIAN_POINT('',(9.01,7.175,2.923)); #46399=CARTESIAN_POINT('',(9.51,7.175,2.923)); #46400=CARTESIAN_POINT('',(9.51,7.175,2.923)); #46401=CARTESIAN_POINT('',(9.01,7.025,2.923)); #46402=CARTESIAN_POINT('',(9.01,7.025,3.163)); #46403=CARTESIAN_POINT('',(9.01,7.175,3.163)); #46404=CARTESIAN_POINT('',(9.01,7.175,3.163)); #46405=CARTESIAN_POINT('',(9.01,7.175,2.923)); #46406=CARTESIAN_POINT('',(9.01,7.175,2.923)); #46407=CARTESIAN_POINT('',(9.25,7.175,3.163)); #46408=CARTESIAN_POINT('',(9.25,7.175,3.163)); #46409=CARTESIAN_POINT('',(9.25,7.175,3.623)); #46410=CARTESIAN_POINT('',(9.25,7.175,3.623)); #46411=CARTESIAN_POINT('',(9.6675,7.175,3.623)); #46412=CARTESIAN_POINT('',(9.25,7.175,3.623)); #46413=CARTESIAN_POINT('',(9.01,7.175,3.163)); #46414=CARTESIAN_POINT('',(9.25,7.175,3.623)); #46415=CARTESIAN_POINT('',(9.25,7.21,3.623)); #46416=CARTESIAN_POINT('',(9.25,7.21,3.623)); #46417=CARTESIAN_POINT('',(9.25,7.21,3.163)); #46418=CARTESIAN_POINT('',(9.25,7.21,3.163)); #46419=CARTESIAN_POINT('',(9.25,7.21,3.623)); #46420=CARTESIAN_POINT('',(9.25,7.0125,2.938)); #46421=CARTESIAN_POINT('',(7.445,7.4,3.008)); #46422=CARTESIAN_POINT('',(7.445,7.4,3.008)); #46423=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46424=CARTESIAN_POINT('',(7.095,7.4,3.358)); #46425=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46426=CARTESIAN_POINT('',(7.50898443564501,7.4,3.7021017175127)); #46427=CARTESIAN_POINT('',(7.423,7.4,4.023)); #46428=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46429=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.278)); #46430=CARTESIAN_POINT('',(7.09085134145124,7.68,3.278)); #46431=CARTESIAN_POINT('',(6.925,8.01,3.278)); #46432=CARTESIAN_POINT('',(6.925,8.01,3.278)); #46433=CARTESIAN_POINT('',(6.925,7.68,3.278)); #46434=CARTESIAN_POINT('',(6.925,7.68,3.278)); #46435=CARTESIAN_POINT('',(6.925,7.68,3.278)); #46436=CARTESIAN_POINT('',(6.925,7.68,3.278)); #46437=CARTESIAN_POINT('',(7.09085134145124,19.3353340978602,3.008)); #46438=CARTESIAN_POINT('',(7.09085134145124,7.68,3.008)); #46439=CARTESIAN_POINT('',(7.445,7.68,3.008)); #46440=CARTESIAN_POINT('',(7.445,7.68,3.008)); #46441=CARTESIAN_POINT('',(7.445,7.6,3.008)); #46442=CARTESIAN_POINT('',(7.445,7.6,3.008)); #46443=CARTESIAN_POINT('',(7.50898443564501,7.6,3.7021017175127)); #46444=CARTESIAN_POINT('',(7.50898443564501,8.04,3.7021017175127)); #46445=CARTESIAN_POINT('',(7.423,8.04,4.023)); #46446=CARTESIAN_POINT('',(7.4671375908499,8.04,3.8582762684245)); #46447=CARTESIAN_POINT('',(7.423,8.04,4.023)); #46448=CARTESIAN_POINT('',(7.423,7.6,4.023)); #46449=CARTESIAN_POINT('',(8.3,8.04,-3.092)); #46450=CARTESIAN_POINT('',(7.223,8.04,3.82465171885935)); #46451=CARTESIAN_POINT('',(7.223,8.04,3.823)); #46452=CARTESIAN_POINT('',(7.623,8.04,3.823)); #46453=CARTESIAN_POINT('',(7.223,8.15999999999999,3.82465171885935)); #46454=CARTESIAN_POINT('',(7.223,8.15999999999999,3.82465171885935)); #46455=CARTESIAN_POINT('',(7.223,8.15999999999999,3.823)); #46456=CARTESIAN_POINT('',(7.223,8.04,3.823)); #46457=CARTESIAN_POINT('',(8.3,8.15999999999999,-3.092)); #46458=CARTESIAN_POINT('',(7.4671375908499,8.15999999999999,3.8582762684245)); #46459=CARTESIAN_POINT('',(7.423,8.15999999999999,4.023)); #46460=CARTESIAN_POINT('',(7.623,8.15999999999999,3.823)); #46461=CARTESIAN_POINT('',(7.445,8.54,3.358)); #46462=CARTESIAN_POINT('',(7.78573450074801,8.54,3.278)); #46463=CARTESIAN_POINT('',(7.795,8.54,3.358)); #46464=CARTESIAN_POINT('',(7.795,7.4,3.358)); #46465=CARTESIAN_POINT('',(7.795,8.15999999999999,3.358)); #46466=CARTESIAN_POINT('',(7.445,8.15999999999999,3.358)); #46467=CARTESIAN_POINT('',(7.78573450074801,8.15999999999999,3.278)); #46468=CARTESIAN_POINT('',(7.78573450074801,7.4,3.278)); #46469=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46470=CARTESIAN_POINT('',(7.445,8.54,3.358)); #46471=CARTESIAN_POINT('',(7.50898443564501,8.54,3.7021017175127)); #46472=CARTESIAN_POINT('',(7.50898443564501,7.6,3.7021017175127)); #46473=CARTESIAN_POINT('',(7.50898443564501,8.15999999999999,3.7021017175127)); #46474=CARTESIAN_POINT('',(7.445,8.15999999999999,3.358)); #46475=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46476=CARTESIAN_POINT('',(7.423,8.54,4.023)); #46477=CARTESIAN_POINT('',(7.4671375908499,8.54,3.8582762684245)); #46478=CARTESIAN_POINT('',(7.423,8.54,4.023)); #46479=CARTESIAN_POINT('',(7.423,8.15999999999999,4.023)); #46480=CARTESIAN_POINT('',(7.423,7.6,4.023)); #46481=CARTESIAN_POINT('',(8.3,8.54,-3.092)); #46482=CARTESIAN_POINT('',(7.223,8.54,3.82465171885935)); #46483=CARTESIAN_POINT('',(7.223,8.54,3.823)); #46484=CARTESIAN_POINT('',(7.623,8.54,3.823)); #46485=CARTESIAN_POINT('',(7.223,8.66,3.82465171885935)); #46486=CARTESIAN_POINT('',(7.223,8.66,3.82465171885935)); #46487=CARTESIAN_POINT('',(7.223,8.66,3.823)); #46488=CARTESIAN_POINT('',(7.223,8.54,3.823)); #46489=CARTESIAN_POINT('',(8.3,8.66,-3.092)); #46490=CARTESIAN_POINT('',(7.4671375908499,8.66,3.8582762684245)); #46491=CARTESIAN_POINT('',(7.423,8.66,4.023)); #46492=CARTESIAN_POINT('',(7.623,8.66,3.823)); #46493=CARTESIAN_POINT('',(7.445,9.04,3.358)); #46494=CARTESIAN_POINT('',(7.78573450074801,9.04,3.278)); #46495=CARTESIAN_POINT('',(7.795,9.04,3.358)); #46496=CARTESIAN_POINT('',(7.795,7.4,3.358)); #46497=CARTESIAN_POINT('',(7.795,8.66,3.358)); #46498=CARTESIAN_POINT('',(7.445,8.66,3.358)); #46499=CARTESIAN_POINT('',(7.78573450074801,8.66,3.278)); #46500=CARTESIAN_POINT('',(7.78573450074801,7.4,3.278)); #46501=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46502=CARTESIAN_POINT('',(7.445,9.04,3.358)); #46503=CARTESIAN_POINT('',(7.50898443564501,9.04,3.7021017175127)); #46504=CARTESIAN_POINT('',(7.50898443564501,7.6,3.7021017175127)); #46505=CARTESIAN_POINT('',(7.50898443564501,8.66,3.7021017175127)); #46506=CARTESIAN_POINT('',(7.445,8.66,3.358)); #46507=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46508=CARTESIAN_POINT('',(7.423,9.04,4.023)); #46509=CARTESIAN_POINT('',(7.4671375908499,9.04,3.8582762684245)); #46510=CARTESIAN_POINT('',(7.423,9.04,4.023)); #46511=CARTESIAN_POINT('',(7.423,8.66,4.023)); #46512=CARTESIAN_POINT('',(7.423,7.6,4.023)); #46513=CARTESIAN_POINT('',(8.3,9.04,-3.092)); #46514=CARTESIAN_POINT('',(7.223,9.04,3.82465171885935)); #46515=CARTESIAN_POINT('',(7.223,9.04,3.823)); #46516=CARTESIAN_POINT('',(7.623,9.04,3.823)); #46517=CARTESIAN_POINT('',(7.223,9.16,3.82465171885935)); #46518=CARTESIAN_POINT('',(7.223,9.16,3.82465171885935)); #46519=CARTESIAN_POINT('',(7.223,9.16,3.823)); #46520=CARTESIAN_POINT('',(7.223,9.04,3.823)); #46521=CARTESIAN_POINT('',(8.3,9.16,-3.092)); #46522=CARTESIAN_POINT('',(7.4671375908499,9.16,3.8582762684245)); #46523=CARTESIAN_POINT('',(7.423,9.16,4.023)); #46524=CARTESIAN_POINT('',(7.623,9.16,3.823)); #46525=CARTESIAN_POINT('',(7.445,9.53999999999999,3.358)); #46526=CARTESIAN_POINT('',(7.78573450074801,9.53999999999999,3.278)); #46527=CARTESIAN_POINT('',(7.795,9.53999999999999,3.358)); #46528=CARTESIAN_POINT('',(7.795,7.4,3.358)); #46529=CARTESIAN_POINT('',(7.795,9.16,3.358)); #46530=CARTESIAN_POINT('',(7.445,9.16,3.358)); #46531=CARTESIAN_POINT('',(7.78573450074801,9.16,3.278)); #46532=CARTESIAN_POINT('',(7.78573450074801,7.4,3.278)); #46533=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46534=CARTESIAN_POINT('',(7.445,9.53999999999999,3.358)); #46535=CARTESIAN_POINT('',(7.50898443564501,9.53999999999999,3.7021017175127)); #46536=CARTESIAN_POINT('',(7.50898443564501,7.6,3.7021017175127)); #46537=CARTESIAN_POINT('',(7.50898443564501,9.16,3.7021017175127)); #46538=CARTESIAN_POINT('',(7.445,9.16,3.358)); #46539=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46540=CARTESIAN_POINT('',(7.423,9.53999999999999,4.023)); #46541=CARTESIAN_POINT('',(7.4671375908499,9.53999999999999,3.8582762684245)); #46542=CARTESIAN_POINT('',(7.423,9.53999999999999,4.023)); #46543=CARTESIAN_POINT('',(7.423,9.16,4.023)); #46544=CARTESIAN_POINT('',(7.423,7.6,4.023)); #46545=CARTESIAN_POINT('',(8.3,9.53999999999999,-3.092)); #46546=CARTESIAN_POINT('',(7.223,9.53999999999999,3.82465171885935)); #46547=CARTESIAN_POINT('',(7.223,9.53999999999999,3.823)); #46548=CARTESIAN_POINT('',(7.623,9.53999999999999,3.823)); #46549=CARTESIAN_POINT('',(7.223,9.66,3.82465171885935)); #46550=CARTESIAN_POINT('',(7.223,9.66,3.82465171885935)); #46551=CARTESIAN_POINT('',(7.223,9.66,3.823)); #46552=CARTESIAN_POINT('',(7.223,9.53999999999999,3.823)); #46553=CARTESIAN_POINT('',(8.3,9.66,-3.092)); #46554=CARTESIAN_POINT('',(7.4671375908499,9.66,3.8582762684245)); #46555=CARTESIAN_POINT('',(7.423,9.66,4.023)); #46556=CARTESIAN_POINT('',(7.623,9.66,3.823)); #46557=CARTESIAN_POINT('',(7.445,10.04,3.358)); #46558=CARTESIAN_POINT('',(7.78573450074801,10.04,3.278)); #46559=CARTESIAN_POINT('',(7.795,10.04,3.358)); #46560=CARTESIAN_POINT('',(7.795,7.4,3.358)); #46561=CARTESIAN_POINT('',(7.795,9.66,3.358)); #46562=CARTESIAN_POINT('',(7.445,9.66,3.358)); #46563=CARTESIAN_POINT('',(7.78573450074801,9.66,3.278)); #46564=CARTESIAN_POINT('',(7.78573450074801,7.4,3.278)); #46565=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46566=CARTESIAN_POINT('',(7.445,10.04,3.358)); #46567=CARTESIAN_POINT('',(7.50898443564501,10.04,3.7021017175127)); #46568=CARTESIAN_POINT('',(7.50898443564501,7.6,3.7021017175127)); #46569=CARTESIAN_POINT('',(7.50898443564501,9.66,3.7021017175127)); #46570=CARTESIAN_POINT('',(7.445,9.66,3.358)); #46571=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46572=CARTESIAN_POINT('',(7.423,10.04,4.023)); #46573=CARTESIAN_POINT('',(7.4671375908499,10.04,3.8582762684245)); #46574=CARTESIAN_POINT('',(7.423,10.04,4.023)); #46575=CARTESIAN_POINT('',(7.423,9.66,4.023)); #46576=CARTESIAN_POINT('',(7.423,7.6,4.023)); #46577=CARTESIAN_POINT('',(8.3,10.04,-3.092)); #46578=CARTESIAN_POINT('',(7.223,10.04,3.82465171885935)); #46579=CARTESIAN_POINT('',(7.223,10.04,3.823)); #46580=CARTESIAN_POINT('',(7.623,10.04,3.823)); #46581=CARTESIAN_POINT('',(7.223,10.16,3.82465171885935)); #46582=CARTESIAN_POINT('',(7.223,10.16,3.82465171885935)); #46583=CARTESIAN_POINT('',(7.223,10.16,3.823)); #46584=CARTESIAN_POINT('',(7.223,10.04,3.823)); #46585=CARTESIAN_POINT('',(8.3,10.16,-3.092)); #46586=CARTESIAN_POINT('',(7.4671375908499,10.16,3.8582762684245)); #46587=CARTESIAN_POINT('',(7.423,10.16,4.023)); #46588=CARTESIAN_POINT('',(7.623,10.16,3.823)); #46589=CARTESIAN_POINT('',(7.445,10.54,3.358)); #46590=CARTESIAN_POINT('',(7.78573450074801,10.54,3.278)); #46591=CARTESIAN_POINT('',(7.795,10.54,3.358)); #46592=CARTESIAN_POINT('',(7.795,7.4,3.358)); #46593=CARTESIAN_POINT('',(7.795,10.16,3.358)); #46594=CARTESIAN_POINT('',(7.445,10.16,3.358)); #46595=CARTESIAN_POINT('',(7.78573450074801,10.16,3.278)); #46596=CARTESIAN_POINT('',(7.78573450074801,7.4,3.278)); #46597=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46598=CARTESIAN_POINT('',(7.445,10.54,3.358)); #46599=CARTESIAN_POINT('',(7.50898443564501,10.54,3.7021017175127)); #46600=CARTESIAN_POINT('',(7.50898443564501,7.6,3.7021017175127)); #46601=CARTESIAN_POINT('',(7.50898443564501,10.16,3.7021017175127)); #46602=CARTESIAN_POINT('',(7.445,10.16,3.358)); #46603=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46604=CARTESIAN_POINT('',(7.423,10.54,4.023)); #46605=CARTESIAN_POINT('',(7.4671375908499,10.54,3.8582762684245)); #46606=CARTESIAN_POINT('',(7.423,10.54,4.023)); #46607=CARTESIAN_POINT('',(7.423,10.16,4.023)); #46608=CARTESIAN_POINT('',(7.423,7.6,4.023)); #46609=CARTESIAN_POINT('',(8.3,10.54,-3.092)); #46610=CARTESIAN_POINT('',(7.223,10.54,3.82465171885935)); #46611=CARTESIAN_POINT('',(7.223,10.54,3.823)); #46612=CARTESIAN_POINT('',(7.623,10.54,3.823)); #46613=CARTESIAN_POINT('',(7.223,10.66,3.82465171885935)); #46614=CARTESIAN_POINT('',(7.223,10.66,3.82465171885935)); #46615=CARTESIAN_POINT('',(7.223,10.66,3.823)); #46616=CARTESIAN_POINT('',(7.223,10.54,3.823)); #46617=CARTESIAN_POINT('',(8.3,10.66,-3.092)); #46618=CARTESIAN_POINT('',(7.4671375908499,10.66,3.8582762684245)); #46619=CARTESIAN_POINT('',(7.423,10.66,4.023)); #46620=CARTESIAN_POINT('',(7.623,10.66,3.823)); #46621=CARTESIAN_POINT('',(7.445,11.04,3.358)); #46622=CARTESIAN_POINT('',(7.78573450074801,11.04,3.278)); #46623=CARTESIAN_POINT('',(7.795,11.04,3.358)); #46624=CARTESIAN_POINT('',(7.795,7.4,3.358)); #46625=CARTESIAN_POINT('',(7.795,10.66,3.358)); #46626=CARTESIAN_POINT('',(7.445,10.66,3.358)); #46627=CARTESIAN_POINT('',(7.78573450074801,10.66,3.278)); #46628=CARTESIAN_POINT('',(7.78573450074801,7.4,3.278)); #46629=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46630=CARTESIAN_POINT('',(7.445,11.04,3.358)); #46631=CARTESIAN_POINT('',(7.50898443564501,11.04,3.7021017175127)); #46632=CARTESIAN_POINT('',(7.50898443564501,7.6,3.7021017175127)); #46633=CARTESIAN_POINT('',(7.50898443564501,10.66,3.7021017175127)); #46634=CARTESIAN_POINT('',(7.445,10.66,3.358)); #46635=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46636=CARTESIAN_POINT('',(7.423,11.04,4.023)); #46637=CARTESIAN_POINT('',(7.4671375908499,11.04,3.8582762684245)); #46638=CARTESIAN_POINT('',(7.423,11.04,4.023)); #46639=CARTESIAN_POINT('',(7.423,10.66,4.023)); #46640=CARTESIAN_POINT('',(7.423,7.6,4.023)); #46641=CARTESIAN_POINT('',(8.3,11.04,-3.092)); #46642=CARTESIAN_POINT('',(7.223,11.04,3.82465171885935)); #46643=CARTESIAN_POINT('',(7.223,11.04,3.823)); #46644=CARTESIAN_POINT('',(7.623,11.04,3.823)); #46645=CARTESIAN_POINT('',(7.223,11.16,3.82465171885935)); #46646=CARTESIAN_POINT('',(7.223,11.16,3.82465171885935)); #46647=CARTESIAN_POINT('',(7.223,11.16,3.823)); #46648=CARTESIAN_POINT('',(7.223,11.04,3.823)); #46649=CARTESIAN_POINT('',(8.3,11.16,-3.092)); #46650=CARTESIAN_POINT('',(7.4671375908499,11.16,3.8582762684245)); #46651=CARTESIAN_POINT('',(7.423,11.16,4.023)); #46652=CARTESIAN_POINT('',(7.623,11.16,3.823)); #46653=CARTESIAN_POINT('',(7.445,11.54,3.358)); #46654=CARTESIAN_POINT('',(7.78573450074801,11.54,3.278)); #46655=CARTESIAN_POINT('',(7.795,11.54,3.358)); #46656=CARTESIAN_POINT('',(7.795,7.4,3.358)); #46657=CARTESIAN_POINT('',(7.795,11.16,3.358)); #46658=CARTESIAN_POINT('',(7.445,11.16,3.358)); #46659=CARTESIAN_POINT('',(7.78573450074801,11.16,3.278)); #46660=CARTESIAN_POINT('',(7.78573450074801,7.4,3.278)); #46661=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46662=CARTESIAN_POINT('',(7.445,11.54,3.358)); #46663=CARTESIAN_POINT('',(7.50898443564501,11.54,3.7021017175127)); #46664=CARTESIAN_POINT('',(7.50898443564501,7.6,3.7021017175127)); #46665=CARTESIAN_POINT('',(7.50898443564501,11.16,3.7021017175127)); #46666=CARTESIAN_POINT('',(7.445,11.16,3.358)); #46667=CARTESIAN_POINT('',(7.445,7.4,3.358)); #46668=CARTESIAN_POINT('',(7.423,11.54,4.023)); #46669=CARTESIAN_POINT('',(7.4671375908499,11.54,3.8582762684245)); #46670=CARTESIAN_POINT('',(7.423,11.54,4.023)); #46671=CARTESIAN_POINT('',(7.423,11.16,4.023)); #46672=CARTESIAN_POINT('',(7.423,7.6,4.023)); #46673=CARTESIAN_POINT('',(8.3,11.54,-3.092)); #46674=CARTESIAN_POINT('',(7.223,11.54,3.82465171885935)); #46675=CARTESIAN_POINT('',(7.223,11.54,3.823)); #46676=CARTESIAN_POINT('',(7.623,11.54,3.823)); #46677=CARTESIAN_POINT('',(7.223,11.66,3.82465171885935)); #46678=CARTESIAN_POINT('',(7.223,11.66,3.82465171885935)); #46679=CARTESIAN_POINT('',(7.223,11.66,3.823)); #46680=CARTESIAN_POINT('',(7.223,11.54,3.823)); #46681=CARTESIAN_POINT('',(8.3,11.66,-3.092)); #46682=CARTESIAN_POINT('',(7.4671375908499,11.66,3.8582762684245)); #46683=CARTESIAN_POINT('',(7.423,11.66,4.023)); #46684=CARTESIAN_POINT('',(7.623,11.66,3.823)); #46685=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #46686=CARTESIAN_POINT('',(7.78573450074801,12.04,3.278)); #46687=CARTESIAN_POINT('',(7.78573450074801,11.66,3.278)); #46688=CARTESIAN_POINT('',(7.445,12.04,3.358)); #46689=CARTESIAN_POINT('',(7.795,12.04,3.358)); #46690=CARTESIAN_POINT('',(7.795,5.4,3.358)); #46691=CARTESIAN_POINT('',(7.795,11.66,3.358)); #46692=CARTESIAN_POINT('',(7.445,11.66,3.358)); #46693=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46694=CARTESIAN_POINT('',(7.445,12.04,3.358)); #46695=CARTESIAN_POINT('',(7.50898443564501,12.04,3.7021017175127)); #46696=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46697=CARTESIAN_POINT('',(7.50898443564501,11.66,3.7021017175127)); #46698=CARTESIAN_POINT('',(7.445,11.66,3.358)); #46699=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46700=CARTESIAN_POINT('',(7.423,12.04,4.023)); #46701=CARTESIAN_POINT('',(7.4671375908499,12.04,3.8582762684245)); #46702=CARTESIAN_POINT('',(7.423,12.04,4.023)); #46703=CARTESIAN_POINT('',(7.423,11.66,4.023)); #46704=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46705=CARTESIAN_POINT('',(8.3,12.04,-3.092)); #46706=CARTESIAN_POINT('',(7.223,12.04,3.82465171885935)); #46707=CARTESIAN_POINT('',(7.223,12.04,3.823)); #46708=CARTESIAN_POINT('',(7.623,12.04,3.823)); #46709=CARTESIAN_POINT('',(7.223,12.16,3.82465171885935)); #46710=CARTESIAN_POINT('',(7.223,12.16,3.82465171885935)); #46711=CARTESIAN_POINT('',(7.223,12.16,3.823)); #46712=CARTESIAN_POINT('',(7.223,12.04,3.823)); #46713=CARTESIAN_POINT('',(8.3,12.16,-3.092)); #46714=CARTESIAN_POINT('',(7.4671375908499,12.16,3.8582762684245)); #46715=CARTESIAN_POINT('',(7.423,12.16,4.023)); #46716=CARTESIAN_POINT('',(7.623,12.16,3.823)); #46717=CARTESIAN_POINT('',(7.445,12.54,3.358)); #46718=CARTESIAN_POINT('',(7.78573450074801,12.54,3.278)); #46719=CARTESIAN_POINT('',(7.795,12.54,3.358)); #46720=CARTESIAN_POINT('',(7.795,5.4,3.358)); #46721=CARTESIAN_POINT('',(7.795,12.16,3.358)); #46722=CARTESIAN_POINT('',(7.445,12.16,3.358)); #46723=CARTESIAN_POINT('',(7.78573450074801,12.16,3.278)); #46724=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #46725=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46726=CARTESIAN_POINT('',(7.445,12.54,3.358)); #46727=CARTESIAN_POINT('',(7.50898443564501,12.54,3.7021017175127)); #46728=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46729=CARTESIAN_POINT('',(7.50898443564501,12.16,3.7021017175127)); #46730=CARTESIAN_POINT('',(7.445,12.16,3.358)); #46731=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46732=CARTESIAN_POINT('',(7.423,12.54,4.023)); #46733=CARTESIAN_POINT('',(7.4671375908499,12.54,3.8582762684245)); #46734=CARTESIAN_POINT('',(7.423,12.54,4.023)); #46735=CARTESIAN_POINT('',(7.423,12.16,4.023)); #46736=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46737=CARTESIAN_POINT('',(8.3,12.54,-3.092)); #46738=CARTESIAN_POINT('',(7.223,12.54,3.82465171885935)); #46739=CARTESIAN_POINT('',(7.223,12.54,3.823)); #46740=CARTESIAN_POINT('',(7.623,12.54,3.823)); #46741=CARTESIAN_POINT('',(7.223,12.66,3.82465171885935)); #46742=CARTESIAN_POINT('',(7.223,12.66,3.82465171885935)); #46743=CARTESIAN_POINT('',(7.223,12.66,3.823)); #46744=CARTESIAN_POINT('',(7.223,12.54,3.823)); #46745=CARTESIAN_POINT('',(8.3,12.66,-3.092)); #46746=CARTESIAN_POINT('',(7.4671375908499,12.66,3.8582762684245)); #46747=CARTESIAN_POINT('',(7.423,12.66,4.023)); #46748=CARTESIAN_POINT('',(7.623,12.66,3.823)); #46749=CARTESIAN_POINT('',(7.445,13.04,3.358)); #46750=CARTESIAN_POINT('',(7.78573450074801,13.04,3.278)); #46751=CARTESIAN_POINT('',(7.795,13.04,3.358)); #46752=CARTESIAN_POINT('',(7.795,5.4,3.358)); #46753=CARTESIAN_POINT('',(7.795,12.66,3.358)); #46754=CARTESIAN_POINT('',(7.445,12.66,3.358)); #46755=CARTESIAN_POINT('',(7.78573450074801,12.66,3.278)); #46756=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #46757=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46758=CARTESIAN_POINT('',(7.445,13.04,3.358)); #46759=CARTESIAN_POINT('',(7.50898443564501,13.04,3.7021017175127)); #46760=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46761=CARTESIAN_POINT('',(7.50898443564501,12.66,3.7021017175127)); #46762=CARTESIAN_POINT('',(7.445,12.66,3.358)); #46763=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46764=CARTESIAN_POINT('',(7.423,13.04,4.023)); #46765=CARTESIAN_POINT('',(7.4671375908499,13.04,3.8582762684245)); #46766=CARTESIAN_POINT('',(7.423,13.04,4.023)); #46767=CARTESIAN_POINT('',(7.423,12.66,4.023)); #46768=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46769=CARTESIAN_POINT('',(8.3,13.04,-3.092)); #46770=CARTESIAN_POINT('',(7.223,13.04,3.82465171885935)); #46771=CARTESIAN_POINT('',(7.223,13.04,3.823)); #46772=CARTESIAN_POINT('',(7.623,13.04,3.823)); #46773=CARTESIAN_POINT('',(7.223,13.16,3.82465171885935)); #46774=CARTESIAN_POINT('',(7.223,13.16,3.82465171885935)); #46775=CARTESIAN_POINT('',(7.223,13.16,3.823)); #46776=CARTESIAN_POINT('',(7.223,13.04,3.823)); #46777=CARTESIAN_POINT('',(8.3,13.16,-3.092)); #46778=CARTESIAN_POINT('',(7.4671375908499,13.16,3.8582762684245)); #46779=CARTESIAN_POINT('',(7.423,13.16,4.023)); #46780=CARTESIAN_POINT('',(7.623,13.16,3.823)); #46781=CARTESIAN_POINT('',(7.445,13.54,3.358)); #46782=CARTESIAN_POINT('',(7.78573450074801,13.54,3.278)); #46783=CARTESIAN_POINT('',(7.795,13.54,3.358)); #46784=CARTESIAN_POINT('',(7.795,5.4,3.358)); #46785=CARTESIAN_POINT('',(7.795,13.16,3.358)); #46786=CARTESIAN_POINT('',(7.445,13.16,3.358)); #46787=CARTESIAN_POINT('',(7.78573450074801,13.16,3.278)); #46788=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #46789=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46790=CARTESIAN_POINT('',(7.445,13.54,3.358)); #46791=CARTESIAN_POINT('',(7.50898443564501,13.54,3.7021017175127)); #46792=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46793=CARTESIAN_POINT('',(7.50898443564501,13.16,3.7021017175127)); #46794=CARTESIAN_POINT('',(7.445,13.16,3.358)); #46795=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46796=CARTESIAN_POINT('',(7.423,13.54,4.023)); #46797=CARTESIAN_POINT('',(7.4671375908499,13.54,3.8582762684245)); #46798=CARTESIAN_POINT('',(7.423,13.54,4.023)); #46799=CARTESIAN_POINT('',(7.423,13.16,4.023)); #46800=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46801=CARTESIAN_POINT('',(8.3,13.54,-3.092)); #46802=CARTESIAN_POINT('',(7.223,13.54,3.82465171885935)); #46803=CARTESIAN_POINT('',(7.223,13.54,3.823)); #46804=CARTESIAN_POINT('',(7.623,13.54,3.823)); #46805=CARTESIAN_POINT('',(7.223,13.66,3.82465171885935)); #46806=CARTESIAN_POINT('',(7.223,13.66,3.82465171885935)); #46807=CARTESIAN_POINT('',(7.223,13.66,3.823)); #46808=CARTESIAN_POINT('',(7.223,13.54,3.823)); #46809=CARTESIAN_POINT('',(8.3,13.66,-3.092)); #46810=CARTESIAN_POINT('',(7.4671375908499,13.66,3.8582762684245)); #46811=CARTESIAN_POINT('',(7.423,13.66,4.023)); #46812=CARTESIAN_POINT('',(7.623,13.66,3.823)); #46813=CARTESIAN_POINT('',(7.445,14.04,3.358)); #46814=CARTESIAN_POINT('',(7.78573450074801,14.04,3.278)); #46815=CARTESIAN_POINT('',(7.795,14.04,3.358)); #46816=CARTESIAN_POINT('',(7.795,5.4,3.358)); #46817=CARTESIAN_POINT('',(7.795,13.66,3.358)); #46818=CARTESIAN_POINT('',(7.445,13.66,3.358)); #46819=CARTESIAN_POINT('',(7.78573450074801,13.66,3.278)); #46820=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #46821=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46822=CARTESIAN_POINT('',(7.445,14.04,3.358)); #46823=CARTESIAN_POINT('',(7.50898443564501,14.04,3.7021017175127)); #46824=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46825=CARTESIAN_POINT('',(7.50898443564501,13.66,3.7021017175127)); #46826=CARTESIAN_POINT('',(7.445,13.66,3.358)); #46827=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46828=CARTESIAN_POINT('',(7.423,14.04,4.023)); #46829=CARTESIAN_POINT('',(7.4671375908499,14.04,3.8582762684245)); #46830=CARTESIAN_POINT('',(7.423,14.04,4.023)); #46831=CARTESIAN_POINT('',(7.423,13.66,4.023)); #46832=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46833=CARTESIAN_POINT('',(8.3,14.04,-3.092)); #46834=CARTESIAN_POINT('',(7.223,14.04,3.82465171885935)); #46835=CARTESIAN_POINT('',(7.223,14.04,3.823)); #46836=CARTESIAN_POINT('',(7.623,14.04,3.823)); #46837=CARTESIAN_POINT('',(7.223,14.16,3.82465171885935)); #46838=CARTESIAN_POINT('',(7.223,14.16,3.82465171885935)); #46839=CARTESIAN_POINT('',(7.223,14.16,3.823)); #46840=CARTESIAN_POINT('',(7.223,14.04,3.823)); #46841=CARTESIAN_POINT('',(8.3,14.16,-3.092)); #46842=CARTESIAN_POINT('',(7.4671375908499,14.16,3.8582762684245)); #46843=CARTESIAN_POINT('',(7.423,14.16,4.023)); #46844=CARTESIAN_POINT('',(7.623,14.16,3.823)); #46845=CARTESIAN_POINT('',(7.445,14.54,3.358)); #46846=CARTESIAN_POINT('',(7.78573450074801,14.54,3.278)); #46847=CARTESIAN_POINT('',(7.795,14.54,3.358)); #46848=CARTESIAN_POINT('',(7.795,5.4,3.358)); #46849=CARTESIAN_POINT('',(7.795,14.16,3.358)); #46850=CARTESIAN_POINT('',(7.445,14.16,3.358)); #46851=CARTESIAN_POINT('',(7.78573450074801,14.16,3.278)); #46852=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #46853=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46854=CARTESIAN_POINT('',(7.445,14.54,3.358)); #46855=CARTESIAN_POINT('',(7.50898443564501,14.54,3.7021017175127)); #46856=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46857=CARTESIAN_POINT('',(7.50898443564501,14.16,3.7021017175127)); #46858=CARTESIAN_POINT('',(7.445,14.16,3.358)); #46859=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46860=CARTESIAN_POINT('',(7.423,14.54,4.023)); #46861=CARTESIAN_POINT('',(7.4671375908499,14.54,3.8582762684245)); #46862=CARTESIAN_POINT('',(7.423,14.54,4.023)); #46863=CARTESIAN_POINT('',(7.423,14.16,4.023)); #46864=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46865=CARTESIAN_POINT('',(8.3,14.54,-3.092)); #46866=CARTESIAN_POINT('',(7.223,14.54,3.82465171885935)); #46867=CARTESIAN_POINT('',(7.223,14.54,3.823)); #46868=CARTESIAN_POINT('',(7.623,14.54,3.823)); #46869=CARTESIAN_POINT('',(7.223,14.66,3.82465171885935)); #46870=CARTESIAN_POINT('',(7.223,14.66,3.82465171885935)); #46871=CARTESIAN_POINT('',(7.223,14.66,3.823)); #46872=CARTESIAN_POINT('',(7.223,14.54,3.823)); #46873=CARTESIAN_POINT('',(8.3,14.66,-3.092)); #46874=CARTESIAN_POINT('',(7.4671375908499,14.66,3.8582762684245)); #46875=CARTESIAN_POINT('',(7.423,14.66,4.023)); #46876=CARTESIAN_POINT('',(7.623,14.66,3.823)); #46877=CARTESIAN_POINT('',(7.445,15.04,3.358)); #46878=CARTESIAN_POINT('',(7.78573450074801,15.04,3.278)); #46879=CARTESIAN_POINT('',(7.795,15.04,3.358)); #46880=CARTESIAN_POINT('',(7.795,5.4,3.358)); #46881=CARTESIAN_POINT('',(7.795,14.66,3.358)); #46882=CARTESIAN_POINT('',(7.445,14.66,3.358)); #46883=CARTESIAN_POINT('',(7.78573450074801,14.66,3.278)); #46884=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #46885=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46886=CARTESIAN_POINT('',(7.445,15.04,3.358)); #46887=CARTESIAN_POINT('',(7.50898443564501,15.04,3.7021017175127)); #46888=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46889=CARTESIAN_POINT('',(7.50898443564501,14.66,3.7021017175127)); #46890=CARTESIAN_POINT('',(7.445,14.66,3.358)); #46891=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46892=CARTESIAN_POINT('',(7.423,15.04,4.023)); #46893=CARTESIAN_POINT('',(7.4671375908499,15.04,3.8582762684245)); #46894=CARTESIAN_POINT('',(7.423,15.04,4.023)); #46895=CARTESIAN_POINT('',(7.423,14.66,4.023)); #46896=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46897=CARTESIAN_POINT('',(8.3,15.04,-3.092)); #46898=CARTESIAN_POINT('',(7.223,15.04,3.82465171885935)); #46899=CARTESIAN_POINT('',(7.223,15.04,3.823)); #46900=CARTESIAN_POINT('',(7.623,15.04,3.823)); #46901=CARTESIAN_POINT('',(7.223,15.16,3.82465171885935)); #46902=CARTESIAN_POINT('',(7.223,15.16,3.82465171885935)); #46903=CARTESIAN_POINT('',(7.223,15.16,3.823)); #46904=CARTESIAN_POINT('',(7.223,15.04,3.823)); #46905=CARTESIAN_POINT('',(8.3,15.16,-3.092)); #46906=CARTESIAN_POINT('',(7.4671375908499,15.16,3.8582762684245)); #46907=CARTESIAN_POINT('',(7.423,15.16,4.023)); #46908=CARTESIAN_POINT('',(7.623,15.16,3.823)); #46909=CARTESIAN_POINT('',(7.445,15.54,3.358)); #46910=CARTESIAN_POINT('',(7.78573450074801,15.54,3.278)); #46911=CARTESIAN_POINT('',(7.795,15.54,3.358)); #46912=CARTESIAN_POINT('',(7.795,5.4,3.358)); #46913=CARTESIAN_POINT('',(7.795,15.16,3.358)); #46914=CARTESIAN_POINT('',(7.445,15.16,3.358)); #46915=CARTESIAN_POINT('',(7.78573450074801,15.16,3.278)); #46916=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #46917=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46918=CARTESIAN_POINT('',(7.445,15.54,3.358)); #46919=CARTESIAN_POINT('',(7.50898443564501,15.54,3.7021017175127)); #46920=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46921=CARTESIAN_POINT('',(7.50898443564501,15.16,3.7021017175127)); #46922=CARTESIAN_POINT('',(7.445,15.16,3.358)); #46923=CARTESIAN_POINT('',(7.445,5.4,3.358)); #46924=CARTESIAN_POINT('',(7.423,15.54,4.023)); #46925=CARTESIAN_POINT('',(7.4671375908499,15.54,3.8582762684245)); #46926=CARTESIAN_POINT('',(7.423,15.54,4.023)); #46927=CARTESIAN_POINT('',(7.423,15.16,4.023)); #46928=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46929=CARTESIAN_POINT('',(8.3,15.54,-3.092)); #46930=CARTESIAN_POINT('',(7.223,15.54,3.82465171885935)); #46931=CARTESIAN_POINT('',(7.223,15.54,3.823)); #46932=CARTESIAN_POINT('',(7.623,15.54,3.823)); #46933=CARTESIAN_POINT('',(7.223,15.66,3.82465171885935)); #46934=CARTESIAN_POINT('',(7.223,15.66,3.82465171885935)); #46935=CARTESIAN_POINT('',(7.223,15.66,3.823)); #46936=CARTESIAN_POINT('',(7.223,15.54,3.823)); #46937=CARTESIAN_POINT('',(8.3,15.66,-3.092)); #46938=CARTESIAN_POINT('',(7.4671375908499,15.66,3.8582762684245)); #46939=CARTESIAN_POINT('',(7.423,15.66,4.023)); #46940=CARTESIAN_POINT('',(7.623,15.66,3.823)); #46941=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.008)); #46942=CARTESIAN_POINT('',(7.09085134145124,16.02,3.008)); #46943=CARTESIAN_POINT('',(7.09085134145124,16.02,3.008)); #46944=CARTESIAN_POINT('',(7.09085134145124,16.02,3.278)); #46945=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.278)); #46946=CARTESIAN_POINT('',(7.09085134145124,7.68,3.008)); #46947=CARTESIAN_POINT('',(7.09085134145124,17.3353340978602,3.008)); #46948=CARTESIAN_POINT('',(6.925,5.68,3.278)); #46949=CARTESIAN_POINT('',(6.925,15.69,3.278)); #46950=CARTESIAN_POINT('',(6.925,16.02,3.278)); #46951=CARTESIAN_POINT('',(6.925,15.69,3.278)); #46952=CARTESIAN_POINT('',(6.925,16.02,3.278)); #46953=CARTESIAN_POINT('',(6.925,5.68,3.278)); #46954=CARTESIAN_POINT('',(7.445,5.6,3.008)); #46955=CARTESIAN_POINT('',(7.445,16.3,3.008)); #46956=CARTESIAN_POINT('',(7.445,16.02,3.008)); #46957=CARTESIAN_POINT('',(7.445,16.02,3.008)); #46958=CARTESIAN_POINT('',(7.445,16.3,3.008)); #46959=CARTESIAN_POINT('',(7.445,5.6,3.008)); #46960=CARTESIAN_POINT('',(7.423,15.66,4.023)); #46961=CARTESIAN_POINT('',(7.50898443564501,15.66,3.7021017175127)); #46962=CARTESIAN_POINT('',(7.50898443564501,5.6,3.7021017175127)); #46963=CARTESIAN_POINT('',(7.50898443564501,16.3,3.7021017175127)); #46964=CARTESIAN_POINT('',(7.423,16.3,4.023)); #46965=CARTESIAN_POINT('',(7.423,5.6,4.023)); #46966=CARTESIAN_POINT('',(7.445,16.3,3.358)); #46967=CARTESIAN_POINT('',(7.095,16.3,3.358)); #46968=CARTESIAN_POINT('',(7.445,16.3,3.358)); #46969=CARTESIAN_POINT('',(7.445,16.3,3.358)); #46970=CARTESIAN_POINT('',(9.01,16.675,2.923)); #46971=CARTESIAN_POINT('',(9.01,16.675,2.923)); #46972=CARTESIAN_POINT('',(9.01,16.675,3.163)); #46973=CARTESIAN_POINT('',(9.01,16.525,2.923)); #46974=CARTESIAN_POINT('',(9.01,16.525,2.923)); #46975=CARTESIAN_POINT('',(9.01,16.525,2.923)); #46976=CARTESIAN_POINT('',(9.01,16.525,3.163)); #46977=CARTESIAN_POINT('',(9.01,16.525,3.163)); #46978=CARTESIAN_POINT('',(9.01,16.525,2.923)); #46979=CARTESIAN_POINT('',(9.51,16.675,2.923)); #46980=CARTESIAN_POINT('',(9.51,16.675,2.923)); #46981=CARTESIAN_POINT('',(9.51,16.525,2.923)); #46982=CARTESIAN_POINT('',(9.51,16.525,2.923)); #46983=CARTESIAN_POINT('',(9.51,16.525,2.923)); #46984=CARTESIAN_POINT('',(9.51,16.525,2.923)); #46985=CARTESIAN_POINT('',(9.69999999999999,16.675,3.073)); #46986=CARTESIAN_POINT('',(9.69999999999999,16.675,3.073)); #46987=CARTESIAN_POINT('',(9.69999999999999,16.525,3.073)); #46988=CARTESIAN_POINT('',(9.69999999999999,16.525,3.073)); #46989=CARTESIAN_POINT('',(9.69999999999999,16.525,3.073)); #46990=CARTESIAN_POINT('',(9.69999999999999,16.525,3.073)); #46991=CARTESIAN_POINT('',(9.69999999999999,16.675,3.273)); #46992=CARTESIAN_POINT('',(9.69999999999999,16.675,3.273)); #46993=CARTESIAN_POINT('',(9.69999999999999,16.525,3.273)); #46994=CARTESIAN_POINT('',(9.69999999999999,16.525,3.273)); #46995=CARTESIAN_POINT('',(9.69999999999999,16.525,3.273)); #46996=CARTESIAN_POINT('',(9.69999999999999,16.525,3.273)); #46997=CARTESIAN_POINT('',(9.79999999999999,16.675,3.273)); #46998=CARTESIAN_POINT('',(9.79999999999999,16.675,3.273)); #46999=CARTESIAN_POINT('',(9.79999999999999,16.525,3.273)); #47000=CARTESIAN_POINT('',(9.79999999999999,16.525,3.273)); #47001=CARTESIAN_POINT('',(9.79999999999999,16.525,3.273)); #47002=CARTESIAN_POINT('',(9.79999999999999,16.525,3.273)); #47003=CARTESIAN_POINT('',(9.79999999999999,16.675,3.473)); #47004=CARTESIAN_POINT('',(9.79999999999999,16.675,3.473)); #47005=CARTESIAN_POINT('',(9.79999999999999,16.525,3.473)); #47006=CARTESIAN_POINT('',(9.79999999999999,16.525,3.473)); #47007=CARTESIAN_POINT('',(9.79999999999999,16.525,3.473)); #47008=CARTESIAN_POINT('',(9.79999999999999,16.525,3.473)); #47009=CARTESIAN_POINT('',(9.69999999999999,16.675,3.473)); #47010=CARTESIAN_POINT('',(9.69999999999999,16.675,3.473)); #47011=CARTESIAN_POINT('',(9.69999999999999,16.525,3.473)); #47012=CARTESIAN_POINT('',(9.69999999999999,16.525,3.473)); #47013=CARTESIAN_POINT('',(9.69999999999999,16.525,3.473)); #47014=CARTESIAN_POINT('',(9.69999999999999,16.525,3.473)); #47015=CARTESIAN_POINT('',(9.69999999999999,16.675,3.623)); #47016=CARTESIAN_POINT('',(9.69999999999999,16.675,3.623)); #47017=CARTESIAN_POINT('',(9.69999999999999,16.525,3.623)); #47018=CARTESIAN_POINT('',(9.69999999999999,16.525,3.623)); #47019=CARTESIAN_POINT('',(9.69999999999999,16.525,3.623)); #47020=CARTESIAN_POINT('',(9.69999999999999,16.525,3.623)); #47021=CARTESIAN_POINT('',(9.25,16.49,3.163)); #47022=CARTESIAN_POINT('',(9.25,16.49,3.163)); #47023=CARTESIAN_POINT('',(9.25,16.525,3.163)); #47024=CARTESIAN_POINT('',(9.25,16.525,3.163)); #47025=CARTESIAN_POINT('',(9.25,16.525,3.623)); #47026=CARTESIAN_POINT('',(9.25,16.49,3.623)); #47027=CARTESIAN_POINT('',(9.25,16.49,3.623)); #47028=CARTESIAN_POINT('',(9.25,16.49,3.623)); #47029=CARTESIAN_POINT('',(9.25,16.6875,2.938)); #47030=CARTESIAN_POINT('',(9.01,16.525,3.163)); #47031=CARTESIAN_POINT('',(9.25,16.525,3.623)); #47032=CARTESIAN_POINT('',(9.6675,16.525,3.623)); #47033=CARTESIAN_POINT('',(9.25,16.525,3.623)); #47034=CARTESIAN_POINT('',(9.25,16.525,3.623)); #47035=CARTESIAN_POINT('',(9.7,18.15,3.223)); #47036=CARTESIAN_POINT('',(9.7,7.55,3.223)); #47037=CARTESIAN_POINT('',(9.7,8.01,3.223)); #47038=CARTESIAN_POINT('',(8.7,8.01,3.223)); #47039=CARTESIAN_POINT('',(8.7,8.01,3.223)); #47040=CARTESIAN_POINT('',(8.7,18.15,3.223)); #47041=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47042=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47043=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47044=CARTESIAN_POINT('',(8.7,8.04,3.053)); #47045=CARTESIAN_POINT('',(8.7,8.04,3.053)); #47046=CARTESIAN_POINT('',(9.775,8.04,3.053)); #47047=CARTESIAN_POINT('',(9.775,8.04,3.053)); #47048=CARTESIAN_POINT('',(9.775,8.04,3.10116092822493)); #47049=CARTESIAN_POINT('',(8.7,8.04,3.053)); #47050=CARTESIAN_POINT('',(9.775,8.15999999999999,3.053)); #47051=CARTESIAN_POINT('',(9.775,8.15999999999999,3.053)); #47052=CARTESIAN_POINT('',(9.775,8.15999999999999,3.10116092822493)); #47053=CARTESIAN_POINT('',(9.775,8.15999999999999,3.10116092822493)); #47054=CARTESIAN_POINT('',(8.7,8.15999999999999,3.053)); #47055=CARTESIAN_POINT('',(8.7,8.15999999999999,3.053)); #47056=CARTESIAN_POINT('',(9.775,8.15999999999999,3.053)); #47057=CARTESIAN_POINT('',(8.7,8.15999999999999,3.053)); #47058=CARTESIAN_POINT('',(9.7,18.15,3.223)); #47059=CARTESIAN_POINT('',(9.7,8.19,3.223)); #47060=CARTESIAN_POINT('',(9.7,8.50999999999999,3.223)); #47061=CARTESIAN_POINT('',(8.7,8.50999999999999,3.223)); #47062=CARTESIAN_POINT('',(8.7,8.50999999999999,3.223)); #47063=CARTESIAN_POINT('',(8.7,18.15,3.223)); #47064=CARTESIAN_POINT('',(8.7,8.19,3.223)); #47065=CARTESIAN_POINT('',(8.7,8.19,3.223)); #47066=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47067=CARTESIAN_POINT('',(8.7,8.54,3.053)); #47068=CARTESIAN_POINT('',(8.7,8.54,3.053)); #47069=CARTESIAN_POINT('',(9.775,8.54,3.053)); #47070=CARTESIAN_POINT('',(9.775,8.54,3.053)); #47071=CARTESIAN_POINT('',(9.775,8.54,3.10116092822493)); #47072=CARTESIAN_POINT('',(8.7,8.54,3.053)); #47073=CARTESIAN_POINT('',(9.775,8.66,3.053)); #47074=CARTESIAN_POINT('',(9.775,8.66,3.053)); #47075=CARTESIAN_POINT('',(9.775,8.66,3.10116092822493)); #47076=CARTESIAN_POINT('',(9.775,8.66,3.10116092822493)); #47077=CARTESIAN_POINT('',(8.7,8.66,3.053)); #47078=CARTESIAN_POINT('',(8.7,8.66,3.053)); #47079=CARTESIAN_POINT('',(9.775,8.66,3.053)); #47080=CARTESIAN_POINT('',(8.7,8.66,3.053)); #47081=CARTESIAN_POINT('',(9.7,18.15,3.223)); #47082=CARTESIAN_POINT('',(9.7,8.69,3.223)); #47083=CARTESIAN_POINT('',(9.7,9.01,3.223)); #47084=CARTESIAN_POINT('',(8.7,9.01,3.223)); #47085=CARTESIAN_POINT('',(8.7,9.01,3.223)); #47086=CARTESIAN_POINT('',(8.7,18.15,3.223)); #47087=CARTESIAN_POINT('',(8.7,8.69,3.223)); #47088=CARTESIAN_POINT('',(8.7,8.69,3.223)); #47089=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47090=CARTESIAN_POINT('',(8.7,9.04,3.053)); #47091=CARTESIAN_POINT('',(8.7,9.04,3.053)); #47092=CARTESIAN_POINT('',(9.775,9.04,3.053)); #47093=CARTESIAN_POINT('',(9.775,9.04,3.053)); #47094=CARTESIAN_POINT('',(9.775,9.04,3.10116092822493)); #47095=CARTESIAN_POINT('',(8.7,9.04,3.053)); #47096=CARTESIAN_POINT('',(9.775,9.16,3.053)); #47097=CARTESIAN_POINT('',(9.775,9.16,3.053)); #47098=CARTESIAN_POINT('',(9.775,9.16,3.10116092822493)); #47099=CARTESIAN_POINT('',(9.775,9.16,3.10116092822493)); #47100=CARTESIAN_POINT('',(8.7,9.16,3.053)); #47101=CARTESIAN_POINT('',(8.7,9.16,3.053)); #47102=CARTESIAN_POINT('',(9.775,9.16,3.053)); #47103=CARTESIAN_POINT('',(8.7,9.16,3.053)); #47104=CARTESIAN_POINT('',(9.7,18.15,3.223)); #47105=CARTESIAN_POINT('',(9.7,9.19,3.223)); #47106=CARTESIAN_POINT('',(9.7,9.51,3.223)); #47107=CARTESIAN_POINT('',(8.7,9.51,3.223)); #47108=CARTESIAN_POINT('',(8.7,9.51,3.223)); #47109=CARTESIAN_POINT('',(8.7,18.15,3.223)); #47110=CARTESIAN_POINT('',(8.7,9.19,3.223)); #47111=CARTESIAN_POINT('',(8.7,9.19,3.223)); #47112=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47113=CARTESIAN_POINT('',(8.7,9.53999999999999,3.053)); #47114=CARTESIAN_POINT('',(8.7,9.53999999999999,3.053)); #47115=CARTESIAN_POINT('',(9.775,9.53999999999999,3.053)); #47116=CARTESIAN_POINT('',(9.775,9.53999999999999,3.053)); #47117=CARTESIAN_POINT('',(9.775,9.53999999999999,3.10116092822493)); #47118=CARTESIAN_POINT('',(8.7,9.53999999999999,3.053)); #47119=CARTESIAN_POINT('',(9.775,9.66,3.053)); #47120=CARTESIAN_POINT('',(9.775,9.66,3.053)); #47121=CARTESIAN_POINT('',(9.775,9.66,3.10116092822493)); #47122=CARTESIAN_POINT('',(9.775,9.66,3.10116092822493)); #47123=CARTESIAN_POINT('',(8.7,9.66,3.053)); #47124=CARTESIAN_POINT('',(8.7,9.66,3.053)); #47125=CARTESIAN_POINT('',(9.775,9.66,3.053)); #47126=CARTESIAN_POINT('',(8.7,9.66,3.053)); #47127=CARTESIAN_POINT('',(9.7,18.15,3.223)); #47128=CARTESIAN_POINT('',(9.7,9.69,3.223)); #47129=CARTESIAN_POINT('',(9.7,10.01,3.223)); #47130=CARTESIAN_POINT('',(8.7,10.01,3.223)); #47131=CARTESIAN_POINT('',(8.7,10.01,3.223)); #47132=CARTESIAN_POINT('',(8.7,18.15,3.223)); #47133=CARTESIAN_POINT('',(8.7,9.69,3.223)); #47134=CARTESIAN_POINT('',(8.7,9.69,3.223)); #47135=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47136=CARTESIAN_POINT('',(8.7,10.04,3.053)); #47137=CARTESIAN_POINT('',(8.7,10.04,3.053)); #47138=CARTESIAN_POINT('',(9.775,10.04,3.053)); #47139=CARTESIAN_POINT('',(9.775,10.04,3.053)); #47140=CARTESIAN_POINT('',(9.775,10.04,3.10116092822493)); #47141=CARTESIAN_POINT('',(8.7,10.04,3.053)); #47142=CARTESIAN_POINT('',(9.775,10.16,3.053)); #47143=CARTESIAN_POINT('',(9.775,10.16,3.053)); #47144=CARTESIAN_POINT('',(9.775,10.16,3.10116092822493)); #47145=CARTESIAN_POINT('',(9.775,10.16,3.10116092822493)); #47146=CARTESIAN_POINT('',(8.7,10.16,3.053)); #47147=CARTESIAN_POINT('',(8.7,10.16,3.053)); #47148=CARTESIAN_POINT('',(9.775,10.16,3.053)); #47149=CARTESIAN_POINT('',(8.7,10.16,3.053)); #47150=CARTESIAN_POINT('',(9.7,18.15,3.223)); #47151=CARTESIAN_POINT('',(9.7,10.19,3.223)); #47152=CARTESIAN_POINT('',(9.7,10.51,3.223)); #47153=CARTESIAN_POINT('',(8.7,10.51,3.223)); #47154=CARTESIAN_POINT('',(8.7,10.51,3.223)); #47155=CARTESIAN_POINT('',(8.7,18.15,3.223)); #47156=CARTESIAN_POINT('',(8.7,10.19,3.223)); #47157=CARTESIAN_POINT('',(8.7,10.19,3.223)); #47158=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47159=CARTESIAN_POINT('',(8.7,10.54,3.053)); #47160=CARTESIAN_POINT('',(8.7,10.54,3.053)); #47161=CARTESIAN_POINT('',(9.775,10.54,3.053)); #47162=CARTESIAN_POINT('',(9.775,10.54,3.053)); #47163=CARTESIAN_POINT('',(9.775,10.54,3.10116092822493)); #47164=CARTESIAN_POINT('',(8.7,10.54,3.053)); #47165=CARTESIAN_POINT('',(9.775,10.66,3.053)); #47166=CARTESIAN_POINT('',(9.775,10.66,3.053)); #47167=CARTESIAN_POINT('',(9.775,10.66,3.10116092822493)); #47168=CARTESIAN_POINT('',(9.775,10.66,3.10116092822493)); #47169=CARTESIAN_POINT('',(8.7,10.66,3.053)); #47170=CARTESIAN_POINT('',(8.7,10.66,3.053)); #47171=CARTESIAN_POINT('',(9.775,10.66,3.053)); #47172=CARTESIAN_POINT('',(8.7,10.66,3.053)); #47173=CARTESIAN_POINT('',(9.7,18.15,3.223)); #47174=CARTESIAN_POINT('',(9.7,10.69,3.223)); #47175=CARTESIAN_POINT('',(9.7,11.01,3.223)); #47176=CARTESIAN_POINT('',(8.7,11.01,3.223)); #47177=CARTESIAN_POINT('',(8.7,11.01,3.223)); #47178=CARTESIAN_POINT('',(8.7,18.15,3.223)); #47179=CARTESIAN_POINT('',(8.7,10.69,3.223)); #47180=CARTESIAN_POINT('',(8.7,10.69,3.223)); #47181=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47182=CARTESIAN_POINT('',(8.7,11.04,3.053)); #47183=CARTESIAN_POINT('',(8.7,11.04,3.053)); #47184=CARTESIAN_POINT('',(9.775,11.04,3.053)); #47185=CARTESIAN_POINT('',(9.775,11.04,3.053)); #47186=CARTESIAN_POINT('',(9.775,11.04,3.10116092822493)); #47187=CARTESIAN_POINT('',(8.7,11.04,3.053)); #47188=CARTESIAN_POINT('',(9.775,11.16,3.053)); #47189=CARTESIAN_POINT('',(9.775,11.16,3.053)); #47190=CARTESIAN_POINT('',(9.775,11.16,3.10116092822493)); #47191=CARTESIAN_POINT('',(9.775,11.16,3.10116092822493)); #47192=CARTESIAN_POINT('',(8.7,11.16,3.053)); #47193=CARTESIAN_POINT('',(8.7,11.16,3.053)); #47194=CARTESIAN_POINT('',(9.775,11.16,3.053)); #47195=CARTESIAN_POINT('',(8.7,11.16,3.053)); #47196=CARTESIAN_POINT('',(9.7,18.15,3.223)); #47197=CARTESIAN_POINT('',(9.7,11.19,3.223)); #47198=CARTESIAN_POINT('',(9.7,11.51,3.223)); #47199=CARTESIAN_POINT('',(8.7,11.51,3.223)); #47200=CARTESIAN_POINT('',(8.7,11.51,3.223)); #47201=CARTESIAN_POINT('',(8.7,18.15,3.223)); #47202=CARTESIAN_POINT('',(8.7,11.19,3.223)); #47203=CARTESIAN_POINT('',(8.7,11.19,3.223)); #47204=CARTESIAN_POINT('',(8.7,7.55,3.223)); #47205=CARTESIAN_POINT('',(8.7,11.54,3.053)); #47206=CARTESIAN_POINT('',(8.7,11.54,3.053)); #47207=CARTESIAN_POINT('',(9.775,11.54,3.053)); #47208=CARTESIAN_POINT('',(9.775,11.54,3.053)); #47209=CARTESIAN_POINT('',(9.775,11.54,3.10116092822493)); #47210=CARTESIAN_POINT('',(8.7,11.54,3.053)); #47211=CARTESIAN_POINT('',(9.775,11.66,3.053)); #47212=CARTESIAN_POINT('',(9.775,11.66,3.053)); #47213=CARTESIAN_POINT('',(9.775,11.66,3.10116092822493)); #47214=CARTESIAN_POINT('',(9.775,11.66,3.10116092822493)); #47215=CARTESIAN_POINT('',(8.7,11.66,3.053)); #47216=CARTESIAN_POINT('',(8.7,11.66,3.053)); #47217=CARTESIAN_POINT('',(9.775,11.66,3.053)); #47218=CARTESIAN_POINT('',(8.7,11.66,3.053)); #47219=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47220=CARTESIAN_POINT('',(9.7,11.69,3.223)); #47221=CARTESIAN_POINT('',(9.7,12.01,3.223)); #47222=CARTESIAN_POINT('',(8.7,12.01,3.223)); #47223=CARTESIAN_POINT('',(8.7,12.01,3.223)); #47224=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47225=CARTESIAN_POINT('',(8.7,11.69,3.223)); #47226=CARTESIAN_POINT('',(8.7,11.69,3.223)); #47227=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47228=CARTESIAN_POINT('',(8.7,12.04,3.053)); #47229=CARTESIAN_POINT('',(8.7,12.04,3.053)); #47230=CARTESIAN_POINT('',(9.775,12.04,3.053)); #47231=CARTESIAN_POINT('',(9.775,12.04,3.053)); #47232=CARTESIAN_POINT('',(9.775,12.04,3.10116092822493)); #47233=CARTESIAN_POINT('',(8.7,12.04,3.053)); #47234=CARTESIAN_POINT('',(9.775,12.16,3.053)); #47235=CARTESIAN_POINT('',(9.775,12.16,3.053)); #47236=CARTESIAN_POINT('',(9.775,12.16,3.10116092822493)); #47237=CARTESIAN_POINT('',(9.775,12.16,3.10116092822493)); #47238=CARTESIAN_POINT('',(8.7,12.16,3.053)); #47239=CARTESIAN_POINT('',(8.7,12.16,3.053)); #47240=CARTESIAN_POINT('',(9.775,12.16,3.053)); #47241=CARTESIAN_POINT('',(8.7,12.16,3.053)); #47242=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47243=CARTESIAN_POINT('',(9.7,12.19,3.223)); #47244=CARTESIAN_POINT('',(9.7,12.51,3.223)); #47245=CARTESIAN_POINT('',(8.7,12.51,3.223)); #47246=CARTESIAN_POINT('',(8.7,12.51,3.223)); #47247=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47248=CARTESIAN_POINT('',(8.7,12.19,3.223)); #47249=CARTESIAN_POINT('',(8.7,12.19,3.223)); #47250=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47251=CARTESIAN_POINT('',(8.7,12.54,3.053)); #47252=CARTESIAN_POINT('',(8.7,12.54,3.053)); #47253=CARTESIAN_POINT('',(9.775,12.54,3.053)); #47254=CARTESIAN_POINT('',(9.775,12.54,3.053)); #47255=CARTESIAN_POINT('',(9.775,12.54,3.10116092822493)); #47256=CARTESIAN_POINT('',(8.7,12.54,3.053)); #47257=CARTESIAN_POINT('',(9.775,12.66,3.053)); #47258=CARTESIAN_POINT('',(9.775,12.66,3.053)); #47259=CARTESIAN_POINT('',(9.775,12.66,3.10116092822493)); #47260=CARTESIAN_POINT('',(9.775,12.66,3.10116092822493)); #47261=CARTESIAN_POINT('',(8.7,12.66,3.053)); #47262=CARTESIAN_POINT('',(8.7,12.66,3.053)); #47263=CARTESIAN_POINT('',(9.775,12.66,3.053)); #47264=CARTESIAN_POINT('',(8.7,12.66,3.053)); #47265=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47266=CARTESIAN_POINT('',(9.7,12.69,3.223)); #47267=CARTESIAN_POINT('',(9.7,13.01,3.223)); #47268=CARTESIAN_POINT('',(8.7,13.01,3.223)); #47269=CARTESIAN_POINT('',(8.7,13.01,3.223)); #47270=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47271=CARTESIAN_POINT('',(8.7,12.69,3.223)); #47272=CARTESIAN_POINT('',(8.7,12.69,3.223)); #47273=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47274=CARTESIAN_POINT('',(8.7,13.04,3.053)); #47275=CARTESIAN_POINT('',(8.7,13.04,3.053)); #47276=CARTESIAN_POINT('',(9.775,13.04,3.053)); #47277=CARTESIAN_POINT('',(9.775,13.04,3.053)); #47278=CARTESIAN_POINT('',(9.775,13.04,3.10116092822493)); #47279=CARTESIAN_POINT('',(8.7,13.04,3.053)); #47280=CARTESIAN_POINT('',(9.775,13.16,3.053)); #47281=CARTESIAN_POINT('',(9.775,13.16,3.053)); #47282=CARTESIAN_POINT('',(9.775,13.16,3.10116092822493)); #47283=CARTESIAN_POINT('',(9.775,13.16,3.10116092822493)); #47284=CARTESIAN_POINT('',(8.7,13.16,3.053)); #47285=CARTESIAN_POINT('',(8.7,13.16,3.053)); #47286=CARTESIAN_POINT('',(9.775,13.16,3.053)); #47287=CARTESIAN_POINT('',(8.7,13.16,3.053)); #47288=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47289=CARTESIAN_POINT('',(9.7,13.19,3.223)); #47290=CARTESIAN_POINT('',(9.7,13.51,3.223)); #47291=CARTESIAN_POINT('',(8.7,13.51,3.223)); #47292=CARTESIAN_POINT('',(8.7,13.51,3.223)); #47293=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47294=CARTESIAN_POINT('',(8.7,13.19,3.223)); #47295=CARTESIAN_POINT('',(8.7,13.19,3.223)); #47296=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47297=CARTESIAN_POINT('',(8.7,13.54,3.053)); #47298=CARTESIAN_POINT('',(8.7,13.54,3.053)); #47299=CARTESIAN_POINT('',(9.775,13.54,3.053)); #47300=CARTESIAN_POINT('',(9.775,13.54,3.053)); #47301=CARTESIAN_POINT('',(9.775,13.54,3.10116092822493)); #47302=CARTESIAN_POINT('',(8.7,13.54,3.053)); #47303=CARTESIAN_POINT('',(9.775,13.66,3.053)); #47304=CARTESIAN_POINT('',(9.775,13.66,3.053)); #47305=CARTESIAN_POINT('',(9.775,13.66,3.10116092822493)); #47306=CARTESIAN_POINT('',(9.775,13.66,3.10116092822493)); #47307=CARTESIAN_POINT('',(8.7,13.66,3.053)); #47308=CARTESIAN_POINT('',(8.7,13.66,3.053)); #47309=CARTESIAN_POINT('',(9.775,13.66,3.053)); #47310=CARTESIAN_POINT('',(8.7,13.66,3.053)); #47311=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47312=CARTESIAN_POINT('',(9.7,13.69,3.223)); #47313=CARTESIAN_POINT('',(9.7,14.01,3.223)); #47314=CARTESIAN_POINT('',(8.7,14.01,3.223)); #47315=CARTESIAN_POINT('',(8.7,14.01,3.223)); #47316=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47317=CARTESIAN_POINT('',(8.7,13.69,3.223)); #47318=CARTESIAN_POINT('',(8.7,13.69,3.223)); #47319=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47320=CARTESIAN_POINT('',(8.7,14.04,3.053)); #47321=CARTESIAN_POINT('',(8.7,14.04,3.053)); #47322=CARTESIAN_POINT('',(9.775,14.04,3.053)); #47323=CARTESIAN_POINT('',(9.775,14.04,3.053)); #47324=CARTESIAN_POINT('',(9.775,14.04,3.10116092822493)); #47325=CARTESIAN_POINT('',(8.7,14.04,3.053)); #47326=CARTESIAN_POINT('',(9.775,14.16,3.053)); #47327=CARTESIAN_POINT('',(9.775,14.16,3.053)); #47328=CARTESIAN_POINT('',(9.775,14.16,3.10116092822493)); #47329=CARTESIAN_POINT('',(9.775,14.16,3.10116092822493)); #47330=CARTESIAN_POINT('',(8.7,14.16,3.053)); #47331=CARTESIAN_POINT('',(8.7,14.16,3.053)); #47332=CARTESIAN_POINT('',(9.775,14.16,3.053)); #47333=CARTESIAN_POINT('',(8.7,14.16,3.053)); #47334=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47335=CARTESIAN_POINT('',(9.7,14.19,3.223)); #47336=CARTESIAN_POINT('',(9.7,14.51,3.223)); #47337=CARTESIAN_POINT('',(8.7,14.51,3.223)); #47338=CARTESIAN_POINT('',(8.7,14.51,3.223)); #47339=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47340=CARTESIAN_POINT('',(8.7,14.19,3.223)); #47341=CARTESIAN_POINT('',(8.7,14.19,3.223)); #47342=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47343=CARTESIAN_POINT('',(8.7,14.54,3.053)); #47344=CARTESIAN_POINT('',(8.7,14.54,3.053)); #47345=CARTESIAN_POINT('',(9.775,14.54,3.053)); #47346=CARTESIAN_POINT('',(9.775,14.54,3.053)); #47347=CARTESIAN_POINT('',(9.775,14.54,3.10116092822493)); #47348=CARTESIAN_POINT('',(8.7,14.54,3.053)); #47349=CARTESIAN_POINT('',(9.775,14.66,3.053)); #47350=CARTESIAN_POINT('',(9.775,14.66,3.053)); #47351=CARTESIAN_POINT('',(9.775,14.66,3.10116092822493)); #47352=CARTESIAN_POINT('',(9.775,14.66,3.10116092822493)); #47353=CARTESIAN_POINT('',(8.7,14.66,3.053)); #47354=CARTESIAN_POINT('',(8.7,14.66,3.053)); #47355=CARTESIAN_POINT('',(9.775,14.66,3.053)); #47356=CARTESIAN_POINT('',(8.7,14.66,3.053)); #47357=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47358=CARTESIAN_POINT('',(9.7,14.69,3.223)); #47359=CARTESIAN_POINT('',(9.7,15.01,3.223)); #47360=CARTESIAN_POINT('',(8.7,15.01,3.223)); #47361=CARTESIAN_POINT('',(8.7,15.01,3.223)); #47362=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47363=CARTESIAN_POINT('',(8.7,14.69,3.223)); #47364=CARTESIAN_POINT('',(8.7,14.69,3.223)); #47365=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47366=CARTESIAN_POINT('',(8.7,15.04,3.053)); #47367=CARTESIAN_POINT('',(8.7,15.04,3.053)); #47368=CARTESIAN_POINT('',(9.775,15.04,3.053)); #47369=CARTESIAN_POINT('',(9.775,15.04,3.053)); #47370=CARTESIAN_POINT('',(9.775,15.04,3.10116092822493)); #47371=CARTESIAN_POINT('',(8.7,15.04,3.053)); #47372=CARTESIAN_POINT('',(9.775,15.16,3.053)); #47373=CARTESIAN_POINT('',(9.775,15.16,3.053)); #47374=CARTESIAN_POINT('',(9.775,15.16,3.10116092822493)); #47375=CARTESIAN_POINT('',(9.775,15.16,3.10116092822493)); #47376=CARTESIAN_POINT('',(8.7,15.16,3.053)); #47377=CARTESIAN_POINT('',(8.7,15.16,3.053)); #47378=CARTESIAN_POINT('',(9.775,15.16,3.053)); #47379=CARTESIAN_POINT('',(8.7,15.16,3.053)); #47380=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47381=CARTESIAN_POINT('',(9.7,15.19,3.223)); #47382=CARTESIAN_POINT('',(9.7,15.51,3.223)); #47383=CARTESIAN_POINT('',(8.7,15.51,3.223)); #47384=CARTESIAN_POINT('',(8.7,15.51,3.223)); #47385=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47386=CARTESIAN_POINT('',(8.7,15.19,3.223)); #47387=CARTESIAN_POINT('',(8.7,15.19,3.223)); #47388=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47389=CARTESIAN_POINT('',(8.7,15.54,3.053)); #47390=CARTESIAN_POINT('',(8.7,15.54,3.053)); #47391=CARTESIAN_POINT('',(9.775,15.54,3.053)); #47392=CARTESIAN_POINT('',(9.775,15.54,3.053)); #47393=CARTESIAN_POINT('',(9.775,15.54,3.10116092822493)); #47394=CARTESIAN_POINT('',(8.7,15.54,3.053)); #47395=CARTESIAN_POINT('',(9.775,15.66,3.053)); #47396=CARTESIAN_POINT('',(9.775,15.66,3.053)); #47397=CARTESIAN_POINT('',(9.775,15.66,3.10116092822493)); #47398=CARTESIAN_POINT('',(9.775,15.66,3.10116092822493)); #47399=CARTESIAN_POINT('',(8.7,15.66,3.053)); #47400=CARTESIAN_POINT('',(8.7,15.66,3.053)); #47401=CARTESIAN_POINT('',(9.775,15.66,3.053)); #47402=CARTESIAN_POINT('',(8.7,15.66,3.053)); #47403=CARTESIAN_POINT('',(6.925,11.69,3.85670545184921)); #47404=CARTESIAN_POINT('',(6.925,11.69,2.938)); #47405=CARTESIAN_POINT('',(6.925,11.69,2.938)); #47406=CARTESIAN_POINT('',(7.475,11.69,2.938)); #47407=CARTESIAN_POINT('',(7.475,11.69,3.85670545184921)); #47408=CARTESIAN_POINT('',(6.925,11.69,3.85670545184921)); #47409=CARTESIAN_POINT('',(7.475,11.69,2.938)); #47410=CARTESIAN_POINT('',(7.475,11.51,2.938)); #47411=CARTESIAN_POINT('',(7.475,11.51,3.85670545184921)); #47412=CARTESIAN_POINT('',(7.475,11.69,3.85670545184921)); #47413=CARTESIAN_POINT('',(6.925,11.51,2.938)); #47414=CARTESIAN_POINT('',(6.925,11.51,2.938)); #47415=CARTESIAN_POINT('',(6.925,11.51,3.85670545184921)); #47416=CARTESIAN_POINT('',(6.925,11.51,3.85670545184921)); #47417=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47418=CARTESIAN_POINT('',(6.925,11.19,2.938)); #47419=CARTESIAN_POINT('',(6.925,11.19,3.85670545184921)); #47420=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47421=CARTESIAN_POINT('',(6.925,11.19,2.938)); #47422=CARTESIAN_POINT('',(7.475,11.19,2.938)); #47423=CARTESIAN_POINT('',(7.475,11.19,3.85670545184921)); #47424=CARTESIAN_POINT('',(6.925,11.19,3.85670545184921)); #47425=CARTESIAN_POINT('',(7.475,11.19,2.938)); #47426=CARTESIAN_POINT('',(7.475,11.01,2.938)); #47427=CARTESIAN_POINT('',(7.475,11.01,3.85670545184921)); #47428=CARTESIAN_POINT('',(7.475,11.19,3.85670545184921)); #47429=CARTESIAN_POINT('',(6.925,11.01,2.938)); #47430=CARTESIAN_POINT('',(6.925,11.01,2.938)); #47431=CARTESIAN_POINT('',(6.925,11.01,3.85670545184921)); #47432=CARTESIAN_POINT('',(6.925,11.01,3.85670545184921)); #47433=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47434=CARTESIAN_POINT('',(6.925,10.69,2.938)); #47435=CARTESIAN_POINT('',(6.925,10.69,3.85670545184921)); #47436=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47437=CARTESIAN_POINT('',(6.925,10.69,2.938)); #47438=CARTESIAN_POINT('',(7.475,10.69,2.938)); #47439=CARTESIAN_POINT('',(7.475,10.69,3.85670545184921)); #47440=CARTESIAN_POINT('',(6.925,10.69,3.85670545184921)); #47441=CARTESIAN_POINT('',(7.475,10.69,2.938)); #47442=CARTESIAN_POINT('',(7.475,10.51,2.938)); #47443=CARTESIAN_POINT('',(7.475,10.51,3.85670545184921)); #47444=CARTESIAN_POINT('',(7.475,10.69,3.85670545184921)); #47445=CARTESIAN_POINT('',(6.925,10.51,2.938)); #47446=CARTESIAN_POINT('',(6.925,10.51,2.938)); #47447=CARTESIAN_POINT('',(6.925,10.51,3.85670545184921)); #47448=CARTESIAN_POINT('',(6.925,10.51,3.85670545184921)); #47449=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47450=CARTESIAN_POINT('',(6.925,10.19,2.938)); #47451=CARTESIAN_POINT('',(6.925,10.19,3.85670545184921)); #47452=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47453=CARTESIAN_POINT('',(6.925,10.19,2.938)); #47454=CARTESIAN_POINT('',(7.475,10.19,2.938)); #47455=CARTESIAN_POINT('',(7.475,10.19,3.85670545184921)); #47456=CARTESIAN_POINT('',(6.925,10.19,3.85670545184921)); #47457=CARTESIAN_POINT('',(7.475,10.19,2.938)); #47458=CARTESIAN_POINT('',(7.475,10.01,2.938)); #47459=CARTESIAN_POINT('',(7.475,10.01,3.85670545184921)); #47460=CARTESIAN_POINT('',(7.475,10.19,3.85670545184921)); #47461=CARTESIAN_POINT('',(6.925,10.01,2.938)); #47462=CARTESIAN_POINT('',(6.925,10.01,2.938)); #47463=CARTESIAN_POINT('',(6.925,10.01,3.85670545184921)); #47464=CARTESIAN_POINT('',(6.925,10.01,3.85670545184921)); #47465=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47466=CARTESIAN_POINT('',(6.925,9.69,2.938)); #47467=CARTESIAN_POINT('',(6.925,9.69,3.85670545184921)); #47468=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47469=CARTESIAN_POINT('',(6.925,9.69,2.938)); #47470=CARTESIAN_POINT('',(7.475,9.69,2.938)); #47471=CARTESIAN_POINT('',(7.475,9.69,3.85670545184921)); #47472=CARTESIAN_POINT('',(6.925,9.69,3.85670545184921)); #47473=CARTESIAN_POINT('',(7.475,9.69,2.938)); #47474=CARTESIAN_POINT('',(7.475,9.51,2.938)); #47475=CARTESIAN_POINT('',(7.475,9.51,3.85670545184921)); #47476=CARTESIAN_POINT('',(7.475,9.69,3.85670545184921)); #47477=CARTESIAN_POINT('',(6.925,9.51,2.938)); #47478=CARTESIAN_POINT('',(6.925,9.51,2.938)); #47479=CARTESIAN_POINT('',(6.925,9.51,3.85670545184921)); #47480=CARTESIAN_POINT('',(6.925,9.51,3.85670545184921)); #47481=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47482=CARTESIAN_POINT('',(6.925,9.19,2.938)); #47483=CARTESIAN_POINT('',(6.925,9.19,3.85670545184921)); #47484=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47485=CARTESIAN_POINT('',(6.925,9.19,2.938)); #47486=CARTESIAN_POINT('',(7.475,9.19,2.938)); #47487=CARTESIAN_POINT('',(7.475,9.19,3.85670545184921)); #47488=CARTESIAN_POINT('',(6.925,9.19,3.85670545184921)); #47489=CARTESIAN_POINT('',(7.475,9.19,2.938)); #47490=CARTESIAN_POINT('',(7.475,9.01,2.938)); #47491=CARTESIAN_POINT('',(7.475,9.01,3.85670545184921)); #47492=CARTESIAN_POINT('',(7.475,9.19,3.85670545184921)); #47493=CARTESIAN_POINT('',(6.925,9.01,2.938)); #47494=CARTESIAN_POINT('',(6.925,9.01,2.938)); #47495=CARTESIAN_POINT('',(6.925,9.01,3.85670545184921)); #47496=CARTESIAN_POINT('',(6.925,9.01,3.85670545184921)); #47497=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47498=CARTESIAN_POINT('',(6.925,8.69,2.938)); #47499=CARTESIAN_POINT('',(6.925,8.69,3.85670545184921)); #47500=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47501=CARTESIAN_POINT('',(6.925,8.69,2.938)); #47502=CARTESIAN_POINT('',(7.475,8.69,2.938)); #47503=CARTESIAN_POINT('',(7.475,8.69,3.85670545184921)); #47504=CARTESIAN_POINT('',(6.925,8.69,3.85670545184921)); #47505=CARTESIAN_POINT('',(7.475,8.69,2.938)); #47506=CARTESIAN_POINT('',(7.475,8.50999999999999,2.938)); #47507=CARTESIAN_POINT('',(7.475,8.50999999999999,3.85670545184921)); #47508=CARTESIAN_POINT('',(7.475,8.69,3.85670545184921)); #47509=CARTESIAN_POINT('',(6.925,8.50999999999999,2.938)); #47510=CARTESIAN_POINT('',(6.925,8.50999999999999,2.938)); #47511=CARTESIAN_POINT('',(6.925,8.50999999999999,3.85670545184921)); #47512=CARTESIAN_POINT('',(6.925,8.50999999999999,3.85670545184921)); #47513=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47514=CARTESIAN_POINT('',(6.925,8.19,2.938)); #47515=CARTESIAN_POINT('',(6.925,8.19,3.85670545184921)); #47516=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47517=CARTESIAN_POINT('',(6.925,8.19,2.938)); #47518=CARTESIAN_POINT('',(7.475,8.19,2.938)); #47519=CARTESIAN_POINT('',(7.475,8.19,3.85670545184921)); #47520=CARTESIAN_POINT('',(6.925,8.19,3.85670545184921)); #47521=CARTESIAN_POINT('',(7.475,8.19,2.938)); #47522=CARTESIAN_POINT('',(7.475,8.01,2.938)); #47523=CARTESIAN_POINT('',(7.475,8.01,3.85670545184921)); #47524=CARTESIAN_POINT('',(7.475,8.19,3.85670545184921)); #47525=CARTESIAN_POINT('',(6.925,8.01,2.938)); #47526=CARTESIAN_POINT('',(6.925,8.01,2.938)); #47527=CARTESIAN_POINT('',(6.925,8.01,3.85670545184921)); #47528=CARTESIAN_POINT('',(6.925,8.01,3.85670545184921)); #47529=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47530=CARTESIAN_POINT('',(6.925,7.68,2.938)); #47531=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47532=CARTESIAN_POINT('',(6.925,7.68,3.278)); #47533=CARTESIAN_POINT('',(9.69999999999999,7.21,3.623)); #47534=CARTESIAN_POINT('',(9.69999999999999,7.21,3.623)); #47535=CARTESIAN_POINT('',(9.69999999999999,7.21,2.938)); #47536=CARTESIAN_POINT('',(9.69999999999999,7.21,3.623)); #47537=CARTESIAN_POINT('',(9.25,7.21,3.163)); #47538=CARTESIAN_POINT('',(8.82,7.21,3.163)); #47539=CARTESIAN_POINT('',(8.82,7.21,3.163)); #47540=CARTESIAN_POINT('',(8.82,7.21,2.938)); #47541=CARTESIAN_POINT('',(9.69999999999999,7.21,2.938)); #47542=CARTESIAN_POINT('',(9.69999999999999,7.21,3.163)); #47543=CARTESIAN_POINT('',(9.69999999999999,16.49,3.623)); #47544=CARTESIAN_POINT('',(9.69999999999999,16.49,3.623)); #47545=CARTESIAN_POINT('',(9.69999999999999,16.49,2.938)); #47546=CARTESIAN_POINT('',(9.69999999999999,16.49,2.938)); #47547=CARTESIAN_POINT('',(8.82,16.49,2.938)); #47548=CARTESIAN_POINT('',(8.82,16.49,3.163)); #47549=CARTESIAN_POINT('',(8.82,16.49,3.163)); #47550=CARTESIAN_POINT('',(9.25,16.49,3.163)); #47551=CARTESIAN_POINT('',(9.69999999999999,16.49,3.623)); #47552=CARTESIAN_POINT('',(9.69999999999999,16.49,3.163)); #47553=CARTESIAN_POINT('',(6.925,15.69,3.85670545184921)); #47554=CARTESIAN_POINT('',(6.925,15.69,2.938)); #47555=CARTESIAN_POINT('',(6.925,16.02,3.278)); #47556=CARTESIAN_POINT('',(6.925,16.02,2.938)); #47557=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47558=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47559=CARTESIAN_POINT('',(6.925,15.69,2.938)); #47560=CARTESIAN_POINT('',(7.475,15.69,2.938)); #47561=CARTESIAN_POINT('',(7.475,15.69,3.85670545184921)); #47562=CARTESIAN_POINT('',(6.925,15.69,3.85670545184921)); #47563=CARTESIAN_POINT('',(7.475,15.69,2.938)); #47564=CARTESIAN_POINT('',(7.475,15.51,2.938)); #47565=CARTESIAN_POINT('',(7.475,15.51,3.85670545184921)); #47566=CARTESIAN_POINT('',(7.475,15.69,3.85670545184921)); #47567=CARTESIAN_POINT('',(6.925,15.51,2.938)); #47568=CARTESIAN_POINT('',(6.925,15.51,2.938)); #47569=CARTESIAN_POINT('',(6.925,15.51,3.85670545184921)); #47570=CARTESIAN_POINT('',(6.925,15.51,3.85670545184921)); #47571=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47572=CARTESIAN_POINT('',(6.925,15.19,2.938)); #47573=CARTESIAN_POINT('',(6.925,15.19,3.85670545184921)); #47574=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47575=CARTESIAN_POINT('',(6.925,15.19,2.938)); #47576=CARTESIAN_POINT('',(7.475,15.19,2.938)); #47577=CARTESIAN_POINT('',(7.475,15.19,3.85670545184921)); #47578=CARTESIAN_POINT('',(6.925,15.19,3.85670545184921)); #47579=CARTESIAN_POINT('',(7.475,15.19,2.938)); #47580=CARTESIAN_POINT('',(7.475,15.01,2.938)); #47581=CARTESIAN_POINT('',(7.475,15.01,3.85670545184921)); #47582=CARTESIAN_POINT('',(7.475,15.19,3.85670545184921)); #47583=CARTESIAN_POINT('',(6.925,15.01,2.938)); #47584=CARTESIAN_POINT('',(6.925,15.01,2.938)); #47585=CARTESIAN_POINT('',(6.925,15.01,3.85670545184921)); #47586=CARTESIAN_POINT('',(6.925,15.01,3.85670545184921)); #47587=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47588=CARTESIAN_POINT('',(6.925,14.69,2.938)); #47589=CARTESIAN_POINT('',(6.925,14.69,3.85670545184921)); #47590=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47591=CARTESIAN_POINT('',(6.925,14.69,2.938)); #47592=CARTESIAN_POINT('',(7.475,14.69,2.938)); #47593=CARTESIAN_POINT('',(7.475,14.69,3.85670545184921)); #47594=CARTESIAN_POINT('',(6.925,14.69,3.85670545184921)); #47595=CARTESIAN_POINT('',(7.475,14.69,2.938)); #47596=CARTESIAN_POINT('',(7.475,14.51,2.938)); #47597=CARTESIAN_POINT('',(7.475,14.51,3.85670545184921)); #47598=CARTESIAN_POINT('',(7.475,14.69,3.85670545184921)); #47599=CARTESIAN_POINT('',(6.925,14.51,2.938)); #47600=CARTESIAN_POINT('',(6.925,14.51,2.938)); #47601=CARTESIAN_POINT('',(6.925,14.51,3.85670545184921)); #47602=CARTESIAN_POINT('',(6.925,14.51,3.85670545184921)); #47603=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47604=CARTESIAN_POINT('',(6.925,14.19,2.938)); #47605=CARTESIAN_POINT('',(6.925,14.19,3.85670545184921)); #47606=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47607=CARTESIAN_POINT('',(6.925,14.19,2.938)); #47608=CARTESIAN_POINT('',(7.475,14.19,2.938)); #47609=CARTESIAN_POINT('',(7.475,14.19,3.85670545184921)); #47610=CARTESIAN_POINT('',(6.925,14.19,3.85670545184921)); #47611=CARTESIAN_POINT('',(7.475,14.19,2.938)); #47612=CARTESIAN_POINT('',(7.475,14.01,2.938)); #47613=CARTESIAN_POINT('',(7.475,14.01,3.85670545184921)); #47614=CARTESIAN_POINT('',(7.475,14.19,3.85670545184921)); #47615=CARTESIAN_POINT('',(6.925,14.01,2.938)); #47616=CARTESIAN_POINT('',(6.925,14.01,2.938)); #47617=CARTESIAN_POINT('',(6.925,14.01,3.85670545184921)); #47618=CARTESIAN_POINT('',(6.925,14.01,3.85670545184921)); #47619=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47620=CARTESIAN_POINT('',(6.925,13.69,2.938)); #47621=CARTESIAN_POINT('',(6.925,13.69,3.85670545184921)); #47622=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47623=CARTESIAN_POINT('',(6.925,13.69,2.938)); #47624=CARTESIAN_POINT('',(7.475,13.69,2.938)); #47625=CARTESIAN_POINT('',(7.475,13.69,3.85670545184921)); #47626=CARTESIAN_POINT('',(6.925,13.69,3.85670545184921)); #47627=CARTESIAN_POINT('',(7.475,13.69,2.938)); #47628=CARTESIAN_POINT('',(7.475,13.51,2.938)); #47629=CARTESIAN_POINT('',(7.475,13.51,3.85670545184921)); #47630=CARTESIAN_POINT('',(7.475,13.69,3.85670545184921)); #47631=CARTESIAN_POINT('',(6.925,13.51,2.938)); #47632=CARTESIAN_POINT('',(6.925,13.51,2.938)); #47633=CARTESIAN_POINT('',(6.925,13.51,3.85670545184921)); #47634=CARTESIAN_POINT('',(6.925,13.51,3.85670545184921)); #47635=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47636=CARTESIAN_POINT('',(6.925,13.19,2.938)); #47637=CARTESIAN_POINT('',(6.925,13.19,3.85670545184921)); #47638=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47639=CARTESIAN_POINT('',(6.925,13.19,2.938)); #47640=CARTESIAN_POINT('',(7.475,13.19,2.938)); #47641=CARTESIAN_POINT('',(7.475,13.19,3.85670545184921)); #47642=CARTESIAN_POINT('',(6.925,13.19,3.85670545184921)); #47643=CARTESIAN_POINT('',(7.475,13.19,2.938)); #47644=CARTESIAN_POINT('',(7.475,13.01,2.938)); #47645=CARTESIAN_POINT('',(7.475,13.01,3.85670545184921)); #47646=CARTESIAN_POINT('',(7.475,13.19,3.85670545184921)); #47647=CARTESIAN_POINT('',(6.925,13.01,2.938)); #47648=CARTESIAN_POINT('',(6.925,13.01,2.938)); #47649=CARTESIAN_POINT('',(6.925,13.01,3.85670545184921)); #47650=CARTESIAN_POINT('',(6.925,13.01,3.85670545184921)); #47651=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47652=CARTESIAN_POINT('',(6.925,12.69,2.938)); #47653=CARTESIAN_POINT('',(6.925,12.69,3.85670545184921)); #47654=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47655=CARTESIAN_POINT('',(6.925,12.69,2.938)); #47656=CARTESIAN_POINT('',(7.475,12.69,2.938)); #47657=CARTESIAN_POINT('',(7.475,12.69,3.85670545184921)); #47658=CARTESIAN_POINT('',(6.925,12.69,3.85670545184921)); #47659=CARTESIAN_POINT('',(7.475,12.69,2.938)); #47660=CARTESIAN_POINT('',(7.475,12.51,2.938)); #47661=CARTESIAN_POINT('',(7.475,12.51,3.85670545184921)); #47662=CARTESIAN_POINT('',(7.475,12.69,3.85670545184921)); #47663=CARTESIAN_POINT('',(6.925,12.51,2.938)); #47664=CARTESIAN_POINT('',(6.925,12.51,2.938)); #47665=CARTESIAN_POINT('',(6.925,12.51,3.85670545184921)); #47666=CARTESIAN_POINT('',(6.925,12.51,3.85670545184921)); #47667=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47668=CARTESIAN_POINT('',(6.925,12.19,2.938)); #47669=CARTESIAN_POINT('',(6.925,12.19,3.85670545184921)); #47670=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47671=CARTESIAN_POINT('',(6.925,12.19,2.938)); #47672=CARTESIAN_POINT('',(7.475,12.19,2.938)); #47673=CARTESIAN_POINT('',(7.475,12.19,3.85670545184921)); #47674=CARTESIAN_POINT('',(6.925,12.19,3.85670545184921)); #47675=CARTESIAN_POINT('',(7.475,12.19,2.938)); #47676=CARTESIAN_POINT('',(7.475,12.01,2.938)); #47677=CARTESIAN_POINT('',(7.475,12.01,3.85670545184921)); #47678=CARTESIAN_POINT('',(7.475,12.19,3.85670545184921)); #47679=CARTESIAN_POINT('',(6.925,12.01,2.938)); #47680=CARTESIAN_POINT('',(6.925,12.01,2.938)); #47681=CARTESIAN_POINT('',(6.925,12.01,3.85670545184921)); #47682=CARTESIAN_POINT('',(6.925,12.01,3.85670545184921)); #47683=CARTESIAN_POINT('',(6.925,5.68,2.938)); #47684=CARTESIAN_POINT('',(6.925,5.68,3.278)); #47685=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47686=CARTESIAN_POINT('',(8.7,15.69,3.223)); #47687=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47688=CARTESIAN_POINT('',(8.7,15.69,3.223)); #47689=CARTESIAN_POINT('',(9.7,15.69,3.223)); #47690=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47691=CARTESIAN_POINT('',(9.7,16.15,3.223)); #47692=CARTESIAN_POINT('',(8.7,16.15,3.223)); #47693=CARTESIAN_POINT('',(8.7,5.55,3.223)); #47694=CARTESIAN_POINT('',(9.76,11.54,3.7789496125328)); #47695=CARTESIAN_POINT('',(9.76,11.54,3.908)); #47696=CARTESIAN_POINT('',(9.76,11.66,3.7789496125328)); #47697=CARTESIAN_POINT('',(9.76,11.66,3.908)); #47698=CARTESIAN_POINT('',(9.76,11.66,3.908)); #47699=CARTESIAN_POINT('',(9.76,11.66,3.7789496125328)); #47700=CARTESIAN_POINT('',(8.7,11.66,3.908)); #47701=CARTESIAN_POINT('',(8.7,11.66,3.908)); #47702=CARTESIAN_POINT('',(9.76,11.66,3.908)); #47703=CARTESIAN_POINT('',(9.75,11.66,3.908)); #47704=CARTESIAN_POINT('',(9.76,11.66,3.908)); #47705=CARTESIAN_POINT('',(9.5,11.66,3.613)); #47706=CARTESIAN_POINT('',(8.7,11.54,3.908)); #47707=CARTESIAN_POINT('',(8.7,11.54,3.908)); #47708=CARTESIAN_POINT('',(9.76,11.54,3.908)); #47709=CARTESIAN_POINT('',(9.75,11.54,3.908)); #47710=CARTESIAN_POINT('',(9.76,11.54,3.908)); #47711=CARTESIAN_POINT('',(9.5,11.54,3.613)); #47712=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47713=CARTESIAN_POINT('',(8.7,11.51,3.638)); #47714=CARTESIAN_POINT('',(8.7,11.19,3.638)); #47715=CARTESIAN_POINT('',(8.7,11.51,3.638)); #47716=CARTESIAN_POINT('',(9.67,11.51,3.638)); #47717=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47718=CARTESIAN_POINT('',(9.67,11.19,3.638)); #47719=CARTESIAN_POINT('',(8.7,11.19,3.638)); #47720=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47721=CARTESIAN_POINT('',(9.76,11.04,3.7789496125328)); #47722=CARTESIAN_POINT('',(9.76,11.04,3.908)); #47723=CARTESIAN_POINT('',(9.76,11.16,3.7789496125328)); #47724=CARTESIAN_POINT('',(9.76,11.16,3.908)); #47725=CARTESIAN_POINT('',(9.76,11.16,3.908)); #47726=CARTESIAN_POINT('',(9.76,11.16,3.7789496125328)); #47727=CARTESIAN_POINT('',(8.7,11.16,3.908)); #47728=CARTESIAN_POINT('',(8.7,11.16,3.908)); #47729=CARTESIAN_POINT('',(9.76,11.16,3.908)); #47730=CARTESIAN_POINT('',(9.75,11.16,3.908)); #47731=CARTESIAN_POINT('',(9.76,11.16,3.908)); #47732=CARTESIAN_POINT('',(9.5,11.16,3.613)); #47733=CARTESIAN_POINT('',(8.7,11.04,3.908)); #47734=CARTESIAN_POINT('',(8.7,11.04,3.908)); #47735=CARTESIAN_POINT('',(9.76,11.04,3.908)); #47736=CARTESIAN_POINT('',(9.75,11.04,3.908)); #47737=CARTESIAN_POINT('',(9.76,11.04,3.908)); #47738=CARTESIAN_POINT('',(9.5,11.04,3.613)); #47739=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47740=CARTESIAN_POINT('',(8.7,11.01,3.638)); #47741=CARTESIAN_POINT('',(8.7,10.69,3.638)); #47742=CARTESIAN_POINT('',(8.7,11.01,3.638)); #47743=CARTESIAN_POINT('',(9.67,11.01,3.638)); #47744=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47745=CARTESIAN_POINT('',(9.67,10.69,3.638)); #47746=CARTESIAN_POINT('',(8.7,10.69,3.638)); #47747=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47748=CARTESIAN_POINT('',(9.76,10.54,3.7789496125328)); #47749=CARTESIAN_POINT('',(9.76,10.54,3.908)); #47750=CARTESIAN_POINT('',(9.76,10.66,3.7789496125328)); #47751=CARTESIAN_POINT('',(9.76,10.66,3.908)); #47752=CARTESIAN_POINT('',(9.76,10.66,3.908)); #47753=CARTESIAN_POINT('',(9.76,10.66,3.7789496125328)); #47754=CARTESIAN_POINT('',(8.7,10.66,3.908)); #47755=CARTESIAN_POINT('',(8.7,10.66,3.908)); #47756=CARTESIAN_POINT('',(9.76,10.66,3.908)); #47757=CARTESIAN_POINT('',(9.75,10.66,3.908)); #47758=CARTESIAN_POINT('',(9.76,10.66,3.908)); #47759=CARTESIAN_POINT('',(9.5,10.66,3.613)); #47760=CARTESIAN_POINT('',(8.7,10.54,3.908)); #47761=CARTESIAN_POINT('',(8.7,10.54,3.908)); #47762=CARTESIAN_POINT('',(9.76,10.54,3.908)); #47763=CARTESIAN_POINT('',(9.75,10.54,3.908)); #47764=CARTESIAN_POINT('',(9.76,10.54,3.908)); #47765=CARTESIAN_POINT('',(9.5,10.54,3.613)); #47766=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47767=CARTESIAN_POINT('',(8.7,10.51,3.638)); #47768=CARTESIAN_POINT('',(8.7,10.19,3.638)); #47769=CARTESIAN_POINT('',(8.7,10.51,3.638)); #47770=CARTESIAN_POINT('',(9.67,10.51,3.638)); #47771=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47772=CARTESIAN_POINT('',(9.67,10.19,3.638)); #47773=CARTESIAN_POINT('',(8.7,10.19,3.638)); #47774=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47775=CARTESIAN_POINT('',(9.76,10.04,3.7789496125328)); #47776=CARTESIAN_POINT('',(9.76,10.04,3.908)); #47777=CARTESIAN_POINT('',(9.76,10.16,3.7789496125328)); #47778=CARTESIAN_POINT('',(9.76,10.16,3.908)); #47779=CARTESIAN_POINT('',(9.76,10.16,3.908)); #47780=CARTESIAN_POINT('',(9.76,10.16,3.7789496125328)); #47781=CARTESIAN_POINT('',(8.7,10.16,3.908)); #47782=CARTESIAN_POINT('',(8.7,10.16,3.908)); #47783=CARTESIAN_POINT('',(9.76,10.16,3.908)); #47784=CARTESIAN_POINT('',(9.75,10.16,3.908)); #47785=CARTESIAN_POINT('',(9.76,10.16,3.908)); #47786=CARTESIAN_POINT('',(9.5,10.16,3.613)); #47787=CARTESIAN_POINT('',(8.7,10.04,3.908)); #47788=CARTESIAN_POINT('',(8.7,10.04,3.908)); #47789=CARTESIAN_POINT('',(9.76,10.04,3.908)); #47790=CARTESIAN_POINT('',(9.75,10.04,3.908)); #47791=CARTESIAN_POINT('',(9.76,10.04,3.908)); #47792=CARTESIAN_POINT('',(9.5,10.04,3.613)); #47793=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47794=CARTESIAN_POINT('',(8.7,10.01,3.638)); #47795=CARTESIAN_POINT('',(8.7,9.69,3.638)); #47796=CARTESIAN_POINT('',(8.7,10.01,3.638)); #47797=CARTESIAN_POINT('',(9.67,10.01,3.638)); #47798=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47799=CARTESIAN_POINT('',(9.67,9.69,3.638)); #47800=CARTESIAN_POINT('',(8.7,9.69,3.638)); #47801=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47802=CARTESIAN_POINT('',(9.76,9.53999999999999,3.7789496125328)); #47803=CARTESIAN_POINT('',(9.76,9.53999999999999,3.908)); #47804=CARTESIAN_POINT('',(9.76,9.66,3.7789496125328)); #47805=CARTESIAN_POINT('',(9.76,9.66,3.908)); #47806=CARTESIAN_POINT('',(9.76,9.66,3.908)); #47807=CARTESIAN_POINT('',(9.76,9.66,3.7789496125328)); #47808=CARTESIAN_POINT('',(8.7,9.66,3.908)); #47809=CARTESIAN_POINT('',(8.7,9.66,3.908)); #47810=CARTESIAN_POINT('',(9.76,9.66,3.908)); #47811=CARTESIAN_POINT('',(9.75,9.66,3.908)); #47812=CARTESIAN_POINT('',(9.76,9.66,3.908)); #47813=CARTESIAN_POINT('',(9.5,9.66,3.613)); #47814=CARTESIAN_POINT('',(8.7,9.53999999999999,3.908)); #47815=CARTESIAN_POINT('',(8.7,9.53999999999999,3.908)); #47816=CARTESIAN_POINT('',(9.76,9.53999999999999,3.908)); #47817=CARTESIAN_POINT('',(9.75,9.53999999999999,3.908)); #47818=CARTESIAN_POINT('',(9.76,9.53999999999999,3.908)); #47819=CARTESIAN_POINT('',(9.5,9.53999999999999,3.613)); #47820=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47821=CARTESIAN_POINT('',(8.7,9.51,3.638)); #47822=CARTESIAN_POINT('',(8.7,9.19,3.638)); #47823=CARTESIAN_POINT('',(8.7,9.51,3.638)); #47824=CARTESIAN_POINT('',(9.67,9.51,3.638)); #47825=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47826=CARTESIAN_POINT('',(9.67,9.19,3.638)); #47827=CARTESIAN_POINT('',(8.7,9.19,3.638)); #47828=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47829=CARTESIAN_POINT('',(9.76,9.04,3.7789496125328)); #47830=CARTESIAN_POINT('',(9.76,9.04,3.908)); #47831=CARTESIAN_POINT('',(9.76,9.16,3.7789496125328)); #47832=CARTESIAN_POINT('',(9.76,9.16,3.908)); #47833=CARTESIAN_POINT('',(9.76,9.16,3.908)); #47834=CARTESIAN_POINT('',(9.76,9.16,3.7789496125328)); #47835=CARTESIAN_POINT('',(8.7,9.16,3.908)); #47836=CARTESIAN_POINT('',(8.7,9.16,3.908)); #47837=CARTESIAN_POINT('',(9.76,9.16,3.908)); #47838=CARTESIAN_POINT('',(9.75,9.16,3.908)); #47839=CARTESIAN_POINT('',(9.76,9.16,3.908)); #47840=CARTESIAN_POINT('',(9.5,9.16,3.613)); #47841=CARTESIAN_POINT('',(8.7,9.04,3.908)); #47842=CARTESIAN_POINT('',(8.7,9.04,3.908)); #47843=CARTESIAN_POINT('',(9.76,9.04,3.908)); #47844=CARTESIAN_POINT('',(9.75,9.04,3.908)); #47845=CARTESIAN_POINT('',(9.76,9.04,3.908)); #47846=CARTESIAN_POINT('',(9.5,9.04,3.613)); #47847=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47848=CARTESIAN_POINT('',(8.7,9.01,3.638)); #47849=CARTESIAN_POINT('',(8.7,8.69,3.638)); #47850=CARTESIAN_POINT('',(8.7,9.01,3.638)); #47851=CARTESIAN_POINT('',(9.67,9.01,3.638)); #47852=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47853=CARTESIAN_POINT('',(9.67,8.69,3.638)); #47854=CARTESIAN_POINT('',(8.7,8.69,3.638)); #47855=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47856=CARTESIAN_POINT('',(9.76,8.54,3.7789496125328)); #47857=CARTESIAN_POINT('',(9.76,8.54,3.908)); #47858=CARTESIAN_POINT('',(9.76,8.66,3.7789496125328)); #47859=CARTESIAN_POINT('',(9.76,8.66,3.908)); #47860=CARTESIAN_POINT('',(9.76,8.66,3.908)); #47861=CARTESIAN_POINT('',(9.76,8.66,3.7789496125328)); #47862=CARTESIAN_POINT('',(8.7,8.66,3.908)); #47863=CARTESIAN_POINT('',(8.7,8.66,3.908)); #47864=CARTESIAN_POINT('',(9.76,8.66,3.908)); #47865=CARTESIAN_POINT('',(9.75,8.66,3.908)); #47866=CARTESIAN_POINT('',(9.76,8.66,3.908)); #47867=CARTESIAN_POINT('',(9.5,8.66,3.613)); #47868=CARTESIAN_POINT('',(8.7,8.54,3.908)); #47869=CARTESIAN_POINT('',(8.7,8.54,3.908)); #47870=CARTESIAN_POINT('',(9.76,8.54,3.908)); #47871=CARTESIAN_POINT('',(9.75,8.54,3.908)); #47872=CARTESIAN_POINT('',(9.76,8.54,3.908)); #47873=CARTESIAN_POINT('',(9.5,8.54,3.613)); #47874=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47875=CARTESIAN_POINT('',(8.7,8.50999999999999,3.638)); #47876=CARTESIAN_POINT('',(8.7,8.19,3.638)); #47877=CARTESIAN_POINT('',(8.7,8.50999999999999,3.638)); #47878=CARTESIAN_POINT('',(9.67,8.50999999999999,3.638)); #47879=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47880=CARTESIAN_POINT('',(9.67,8.19,3.638)); #47881=CARTESIAN_POINT('',(8.7,8.19,3.638)); #47882=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47883=CARTESIAN_POINT('',(9.76,8.04,3.7789496125328)); #47884=CARTESIAN_POINT('',(9.76,8.04,3.908)); #47885=CARTESIAN_POINT('',(9.76,8.15999999999999,3.7789496125328)); #47886=CARTESIAN_POINT('',(9.76,8.15999999999999,3.908)); #47887=CARTESIAN_POINT('',(9.76,8.15999999999999,3.908)); #47888=CARTESIAN_POINT('',(9.76,8.15999999999999,3.7789496125328)); #47889=CARTESIAN_POINT('',(8.7,8.15999999999999,3.908)); #47890=CARTESIAN_POINT('',(8.7,8.15999999999999,3.908)); #47891=CARTESIAN_POINT('',(9.76,8.15999999999999,3.908)); #47892=CARTESIAN_POINT('',(9.75,8.15999999999999,3.908)); #47893=CARTESIAN_POINT('',(9.76,8.15999999999999,3.908)); #47894=CARTESIAN_POINT('',(9.5,8.15999999999999,3.613)); #47895=CARTESIAN_POINT('',(8.7,8.04,3.908)); #47896=CARTESIAN_POINT('',(8.7,8.04,3.908)); #47897=CARTESIAN_POINT('',(9.76,8.04,3.908)); #47898=CARTESIAN_POINT('',(9.75,8.04,3.908)); #47899=CARTESIAN_POINT('',(9.76,8.04,3.908)); #47900=CARTESIAN_POINT('',(9.5,8.04,3.613)); #47901=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47902=CARTESIAN_POINT('',(8.7,8.01,3.638)); #47903=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47904=CARTESIAN_POINT('',(8.7,8.01,3.638)); #47905=CARTESIAN_POINT('',(9.67,8.01,3.638)); #47906=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47907=CARTESIAN_POINT('',(9.67,7.55,3.638)); #47908=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47909=CARTESIAN_POINT('',(8.7,7.55,3.638)); #47910=CARTESIAN_POINT('',(9.67,5.55,3.638)); #47911=CARTESIAN_POINT('',(9.67,16.15,3.638)); #47912=CARTESIAN_POINT('',(9.67,15.69,3.638)); #47913=CARTESIAN_POINT('',(8.7,15.69,3.638)); #47914=CARTESIAN_POINT('',(8.7,15.69,3.638)); #47915=CARTESIAN_POINT('',(8.7,5.55,3.638)); #47916=CARTESIAN_POINT('',(8.7,16.15,3.638)); #47917=CARTESIAN_POINT('',(8.7,16.15,3.638)); #47918=CARTESIAN_POINT('',(8.7,5.55,3.638)); #47919=CARTESIAN_POINT('',(9.76,15.54,3.7789496125328)); #47920=CARTESIAN_POINT('',(9.76,15.54,3.908)); #47921=CARTESIAN_POINT('',(9.76,15.66,3.7789496125328)); #47922=CARTESIAN_POINT('',(9.76,15.66,3.908)); #47923=CARTESIAN_POINT('',(9.76,15.66,3.908)); #47924=CARTESIAN_POINT('',(9.76,15.66,3.7789496125328)); #47925=CARTESIAN_POINT('',(8.7,15.66,3.908)); #47926=CARTESIAN_POINT('',(8.7,15.66,3.908)); #47927=CARTESIAN_POINT('',(9.76,15.66,3.908)); #47928=CARTESIAN_POINT('',(9.75,15.66,3.908)); #47929=CARTESIAN_POINT('',(9.76,15.66,3.908)); #47930=CARTESIAN_POINT('',(9.5,15.66,3.613)); #47931=CARTESIAN_POINT('',(8.7,15.54,3.908)); #47932=CARTESIAN_POINT('',(8.7,15.54,3.908)); #47933=CARTESIAN_POINT('',(9.76,15.54,3.908)); #47934=CARTESIAN_POINT('',(9.75,15.54,3.908)); #47935=CARTESIAN_POINT('',(9.76,15.54,3.908)); #47936=CARTESIAN_POINT('',(9.5,15.54,3.613)); #47937=CARTESIAN_POINT('',(8.7,5.55,3.638)); #47938=CARTESIAN_POINT('',(8.7,15.51,3.638)); #47939=CARTESIAN_POINT('',(8.7,15.19,3.638)); #47940=CARTESIAN_POINT('',(8.7,15.51,3.638)); #47941=CARTESIAN_POINT('',(9.67,15.51,3.638)); #47942=CARTESIAN_POINT('',(9.67,5.55,3.638)); #47943=CARTESIAN_POINT('',(9.67,15.19,3.638)); #47944=CARTESIAN_POINT('',(8.7,15.19,3.638)); #47945=CARTESIAN_POINT('',(8.7,5.55,3.638)); #47946=CARTESIAN_POINT('',(9.76,15.04,3.7789496125328)); #47947=CARTESIAN_POINT('',(9.76,15.04,3.908)); #47948=CARTESIAN_POINT('',(9.76,15.16,3.7789496125328)); #47949=CARTESIAN_POINT('',(9.76,15.16,3.908)); #47950=CARTESIAN_POINT('',(9.76,15.16,3.908)); #47951=CARTESIAN_POINT('',(9.76,15.16,3.7789496125328)); #47952=CARTESIAN_POINT('',(8.7,15.16,3.908)); #47953=CARTESIAN_POINT('',(8.7,15.16,3.908)); #47954=CARTESIAN_POINT('',(9.76,15.16,3.908)); #47955=CARTESIAN_POINT('',(9.75,15.16,3.908)); #47956=CARTESIAN_POINT('',(9.76,15.16,3.908)); #47957=CARTESIAN_POINT('',(9.5,15.16,3.613)); #47958=CARTESIAN_POINT('',(8.7,15.04,3.908)); #47959=CARTESIAN_POINT('',(8.7,15.04,3.908)); #47960=CARTESIAN_POINT('',(9.76,15.04,3.908)); #47961=CARTESIAN_POINT('',(9.75,15.04,3.908)); #47962=CARTESIAN_POINT('',(9.76,15.04,3.908)); #47963=CARTESIAN_POINT('',(9.5,15.04,3.613)); #47964=CARTESIAN_POINT('',(8.7,5.55,3.638)); #47965=CARTESIAN_POINT('',(8.7,15.01,3.638)); #47966=CARTESIAN_POINT('',(8.7,14.69,3.638)); #47967=CARTESIAN_POINT('',(8.7,15.01,3.638)); #47968=CARTESIAN_POINT('',(9.67,15.01,3.638)); #47969=CARTESIAN_POINT('',(9.67,5.55,3.638)); #47970=CARTESIAN_POINT('',(9.67,14.69,3.638)); #47971=CARTESIAN_POINT('',(8.7,14.69,3.638)); #47972=CARTESIAN_POINT('',(8.7,5.55,3.638)); #47973=CARTESIAN_POINT('',(9.76,14.54,3.7789496125328)); #47974=CARTESIAN_POINT('',(9.76,14.54,3.908)); #47975=CARTESIAN_POINT('',(9.76,14.66,3.7789496125328)); #47976=CARTESIAN_POINT('',(9.76,14.66,3.908)); #47977=CARTESIAN_POINT('',(9.76,14.66,3.908)); #47978=CARTESIAN_POINT('',(9.76,14.66,3.7789496125328)); #47979=CARTESIAN_POINT('',(8.7,14.66,3.908)); #47980=CARTESIAN_POINT('',(8.7,14.66,3.908)); #47981=CARTESIAN_POINT('',(9.76,14.66,3.908)); #47982=CARTESIAN_POINT('',(9.75,14.66,3.908)); #47983=CARTESIAN_POINT('',(9.76,14.66,3.908)); #47984=CARTESIAN_POINT('',(9.5,14.66,3.613)); #47985=CARTESIAN_POINT('',(8.7,14.54,3.908)); #47986=CARTESIAN_POINT('',(8.7,14.54,3.908)); #47987=CARTESIAN_POINT('',(9.76,14.54,3.908)); #47988=CARTESIAN_POINT('',(9.75,14.54,3.908)); #47989=CARTESIAN_POINT('',(9.76,14.54,3.908)); #47990=CARTESIAN_POINT('',(9.5,14.54,3.613)); #47991=CARTESIAN_POINT('',(8.7,5.55,3.638)); #47992=CARTESIAN_POINT('',(8.7,14.51,3.638)); #47993=CARTESIAN_POINT('',(8.7,14.19,3.638)); #47994=CARTESIAN_POINT('',(8.7,14.51,3.638)); #47995=CARTESIAN_POINT('',(9.67,14.51,3.638)); #47996=CARTESIAN_POINT('',(9.67,5.55,3.638)); #47997=CARTESIAN_POINT('',(9.67,14.19,3.638)); #47998=CARTESIAN_POINT('',(8.7,14.19,3.638)); #47999=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48000=CARTESIAN_POINT('',(9.76,14.04,3.7789496125328)); #48001=CARTESIAN_POINT('',(9.76,14.04,3.908)); #48002=CARTESIAN_POINT('',(9.76,14.16,3.7789496125328)); #48003=CARTESIAN_POINT('',(9.76,14.16,3.908)); #48004=CARTESIAN_POINT('',(9.76,14.16,3.908)); #48005=CARTESIAN_POINT('',(9.76,14.16,3.7789496125328)); #48006=CARTESIAN_POINT('',(8.7,14.16,3.908)); #48007=CARTESIAN_POINT('',(8.7,14.16,3.908)); #48008=CARTESIAN_POINT('',(9.76,14.16,3.908)); #48009=CARTESIAN_POINT('',(9.75,14.16,3.908)); #48010=CARTESIAN_POINT('',(9.76,14.16,3.908)); #48011=CARTESIAN_POINT('',(9.5,14.16,3.613)); #48012=CARTESIAN_POINT('',(8.7,14.04,3.908)); #48013=CARTESIAN_POINT('',(8.7,14.04,3.908)); #48014=CARTESIAN_POINT('',(9.76,14.04,3.908)); #48015=CARTESIAN_POINT('',(9.75,14.04,3.908)); #48016=CARTESIAN_POINT('',(9.76,14.04,3.908)); #48017=CARTESIAN_POINT('',(9.5,14.04,3.613)); #48018=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48019=CARTESIAN_POINT('',(8.7,14.01,3.638)); #48020=CARTESIAN_POINT('',(8.7,13.69,3.638)); #48021=CARTESIAN_POINT('',(8.7,14.01,3.638)); #48022=CARTESIAN_POINT('',(9.67,14.01,3.638)); #48023=CARTESIAN_POINT('',(9.67,5.55,3.638)); #48024=CARTESIAN_POINT('',(9.67,13.69,3.638)); #48025=CARTESIAN_POINT('',(8.7,13.69,3.638)); #48026=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48027=CARTESIAN_POINT('',(9.76,13.54,3.7789496125328)); #48028=CARTESIAN_POINT('',(9.76,13.54,3.908)); #48029=CARTESIAN_POINT('',(9.76,13.66,3.7789496125328)); #48030=CARTESIAN_POINT('',(9.76,13.66,3.908)); #48031=CARTESIAN_POINT('',(9.76,13.66,3.908)); #48032=CARTESIAN_POINT('',(9.76,13.66,3.7789496125328)); #48033=CARTESIAN_POINT('',(8.7,13.66,3.908)); #48034=CARTESIAN_POINT('',(8.7,13.66,3.908)); #48035=CARTESIAN_POINT('',(9.76,13.66,3.908)); #48036=CARTESIAN_POINT('',(9.75,13.66,3.908)); #48037=CARTESIAN_POINT('',(9.76,13.66,3.908)); #48038=CARTESIAN_POINT('',(9.5,13.66,3.613)); #48039=CARTESIAN_POINT('',(8.7,13.54,3.908)); #48040=CARTESIAN_POINT('',(8.7,13.54,3.908)); #48041=CARTESIAN_POINT('',(9.76,13.54,3.908)); #48042=CARTESIAN_POINT('',(9.75,13.54,3.908)); #48043=CARTESIAN_POINT('',(9.76,13.54,3.908)); #48044=CARTESIAN_POINT('',(9.5,13.54,3.613)); #48045=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48046=CARTESIAN_POINT('',(8.7,13.51,3.638)); #48047=CARTESIAN_POINT('',(8.7,13.19,3.638)); #48048=CARTESIAN_POINT('',(8.7,13.51,3.638)); #48049=CARTESIAN_POINT('',(9.67,13.51,3.638)); #48050=CARTESIAN_POINT('',(9.67,5.55,3.638)); #48051=CARTESIAN_POINT('',(9.67,13.19,3.638)); #48052=CARTESIAN_POINT('',(8.7,13.19,3.638)); #48053=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48054=CARTESIAN_POINT('',(9.76,13.04,3.7789496125328)); #48055=CARTESIAN_POINT('',(9.76,13.04,3.908)); #48056=CARTESIAN_POINT('',(9.76,13.16,3.7789496125328)); #48057=CARTESIAN_POINT('',(9.76,13.16,3.908)); #48058=CARTESIAN_POINT('',(9.76,13.16,3.908)); #48059=CARTESIAN_POINT('',(9.76,13.16,3.7789496125328)); #48060=CARTESIAN_POINT('',(8.7,13.16,3.908)); #48061=CARTESIAN_POINT('',(8.7,13.16,3.908)); #48062=CARTESIAN_POINT('',(9.76,13.16,3.908)); #48063=CARTESIAN_POINT('',(9.75,13.16,3.908)); #48064=CARTESIAN_POINT('',(9.76,13.16,3.908)); #48065=CARTESIAN_POINT('',(9.5,13.16,3.613)); #48066=CARTESIAN_POINT('',(8.7,13.04,3.908)); #48067=CARTESIAN_POINT('',(8.7,13.04,3.908)); #48068=CARTESIAN_POINT('',(9.76,13.04,3.908)); #48069=CARTESIAN_POINT('',(9.75,13.04,3.908)); #48070=CARTESIAN_POINT('',(9.76,13.04,3.908)); #48071=CARTESIAN_POINT('',(9.5,13.04,3.613)); #48072=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48073=CARTESIAN_POINT('',(8.7,13.01,3.638)); #48074=CARTESIAN_POINT('',(8.7,12.69,3.638)); #48075=CARTESIAN_POINT('',(8.7,13.01,3.638)); #48076=CARTESIAN_POINT('',(9.67,13.01,3.638)); #48077=CARTESIAN_POINT('',(9.67,5.55,3.638)); #48078=CARTESIAN_POINT('',(9.67,12.69,3.638)); #48079=CARTESIAN_POINT('',(8.7,12.69,3.638)); #48080=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48081=CARTESIAN_POINT('',(9.76,12.54,3.7789496125328)); #48082=CARTESIAN_POINT('',(9.76,12.54,3.908)); #48083=CARTESIAN_POINT('',(9.76,12.66,3.7789496125328)); #48084=CARTESIAN_POINT('',(9.76,12.66,3.908)); #48085=CARTESIAN_POINT('',(9.76,12.66,3.908)); #48086=CARTESIAN_POINT('',(9.76,12.66,3.7789496125328)); #48087=CARTESIAN_POINT('',(8.7,12.66,3.908)); #48088=CARTESIAN_POINT('',(8.7,12.66,3.908)); #48089=CARTESIAN_POINT('',(9.76,12.66,3.908)); #48090=CARTESIAN_POINT('',(9.75,12.66,3.908)); #48091=CARTESIAN_POINT('',(9.76,12.66,3.908)); #48092=CARTESIAN_POINT('',(9.5,12.66,3.613)); #48093=CARTESIAN_POINT('',(8.7,12.54,3.908)); #48094=CARTESIAN_POINT('',(8.7,12.54,3.908)); #48095=CARTESIAN_POINT('',(9.76,12.54,3.908)); #48096=CARTESIAN_POINT('',(9.75,12.54,3.908)); #48097=CARTESIAN_POINT('',(9.76,12.54,3.908)); #48098=CARTESIAN_POINT('',(9.5,12.54,3.613)); #48099=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48100=CARTESIAN_POINT('',(8.7,12.51,3.638)); #48101=CARTESIAN_POINT('',(8.7,12.19,3.638)); #48102=CARTESIAN_POINT('',(8.7,12.51,3.638)); #48103=CARTESIAN_POINT('',(9.67,12.51,3.638)); #48104=CARTESIAN_POINT('',(9.67,5.55,3.638)); #48105=CARTESIAN_POINT('',(9.67,12.19,3.638)); #48106=CARTESIAN_POINT('',(8.7,12.19,3.638)); #48107=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48108=CARTESIAN_POINT('',(9.76,12.04,3.7789496125328)); #48109=CARTESIAN_POINT('',(9.76,12.04,3.908)); #48110=CARTESIAN_POINT('',(9.76,12.16,3.7789496125328)); #48111=CARTESIAN_POINT('',(9.76,12.16,3.908)); #48112=CARTESIAN_POINT('',(9.76,12.16,3.908)); #48113=CARTESIAN_POINT('',(9.76,12.16,3.7789496125328)); #48114=CARTESIAN_POINT('',(8.7,12.16,3.908)); #48115=CARTESIAN_POINT('',(8.7,12.16,3.908)); #48116=CARTESIAN_POINT('',(9.76,12.16,3.908)); #48117=CARTESIAN_POINT('',(9.75,12.16,3.908)); #48118=CARTESIAN_POINT('',(9.76,12.16,3.908)); #48119=CARTESIAN_POINT('',(9.5,12.16,3.613)); #48120=CARTESIAN_POINT('',(8.7,12.04,3.908)); #48121=CARTESIAN_POINT('',(8.7,12.04,3.908)); #48122=CARTESIAN_POINT('',(9.76,12.04,3.908)); #48123=CARTESIAN_POINT('',(9.75,12.04,3.908)); #48124=CARTESIAN_POINT('',(9.76,12.04,3.908)); #48125=CARTESIAN_POINT('',(9.5,12.04,3.613)); #48126=CARTESIAN_POINT('',(8.7,12.01,3.908)); #48127=CARTESIAN_POINT('',(8.7,12.01,3.053)); #48128=CARTESIAN_POINT('',(8.7,12.01,3.053)); #48129=CARTESIAN_POINT('',(8.7,12.01,3.053)); #48130=CARTESIAN_POINT('',(8.7,12.19,3.053)); #48131=CARTESIAN_POINT('',(8.7,12.19,3.908)); #48132=CARTESIAN_POINT('',(8.7,12.51,3.908)); #48133=CARTESIAN_POINT('',(8.7,12.51,3.053)); #48134=CARTESIAN_POINT('',(8.7,12.51,3.053)); #48135=CARTESIAN_POINT('',(8.7,12.51,3.053)); #48136=CARTESIAN_POINT('',(8.7,12.69,3.053)); #48137=CARTESIAN_POINT('',(8.7,12.69,3.908)); #48138=CARTESIAN_POINT('',(8.7,13.01,3.908)); #48139=CARTESIAN_POINT('',(8.7,13.01,3.053)); #48140=CARTESIAN_POINT('',(8.7,13.01,3.053)); #48141=CARTESIAN_POINT('',(8.7,13.01,3.053)); #48142=CARTESIAN_POINT('',(8.7,13.19,3.053)); #48143=CARTESIAN_POINT('',(8.7,13.19,3.908)); #48144=CARTESIAN_POINT('',(8.7,13.51,3.908)); #48145=CARTESIAN_POINT('',(8.7,13.51,3.053)); #48146=CARTESIAN_POINT('',(8.7,13.51,3.053)); #48147=CARTESIAN_POINT('',(8.7,13.51,3.053)); #48148=CARTESIAN_POINT('',(8.7,13.69,3.053)); #48149=CARTESIAN_POINT('',(8.7,13.69,3.908)); #48150=CARTESIAN_POINT('',(8.7,14.01,3.908)); #48151=CARTESIAN_POINT('',(8.7,14.01,3.053)); #48152=CARTESIAN_POINT('',(8.7,14.01,3.053)); #48153=CARTESIAN_POINT('',(8.7,14.01,3.053)); #48154=CARTESIAN_POINT('',(8.7,14.19,3.053)); #48155=CARTESIAN_POINT('',(8.7,14.19,3.908)); #48156=CARTESIAN_POINT('',(8.7,14.51,3.908)); #48157=CARTESIAN_POINT('',(8.7,14.51,3.053)); #48158=CARTESIAN_POINT('',(8.7,14.51,3.053)); #48159=CARTESIAN_POINT('',(8.7,14.51,3.053)); #48160=CARTESIAN_POINT('',(8.7,14.69,3.053)); #48161=CARTESIAN_POINT('',(8.7,14.69,3.908)); #48162=CARTESIAN_POINT('',(8.7,15.01,3.908)); #48163=CARTESIAN_POINT('',(8.7,15.01,3.053)); #48164=CARTESIAN_POINT('',(8.7,15.01,3.053)); #48165=CARTESIAN_POINT('',(8.7,15.01,3.053)); #48166=CARTESIAN_POINT('',(8.7,15.19,3.053)); #48167=CARTESIAN_POINT('',(8.7,15.19,3.908)); #48168=CARTESIAN_POINT('',(8.7,15.51,3.908)); #48169=CARTESIAN_POINT('',(8.7,15.51,3.053)); #48170=CARTESIAN_POINT('',(8.7,15.51,3.053)); #48171=CARTESIAN_POINT('',(8.7,15.51,3.053)); #48172=CARTESIAN_POINT('',(8.7,15.69,3.053)); #48173=CARTESIAN_POINT('',(8.7,15.69,3.908)); #48174=CARTESIAN_POINT('',(8.7,16.15,3.638)); #48175=CARTESIAN_POINT('',(8.7,15.69,3.908)); #48176=CARTESIAN_POINT('',(8.7,15.69,3.908)); #48177=CARTESIAN_POINT('',(8.7,15.51,3.908)); #48178=CARTESIAN_POINT('',(8.7,15.51,3.908)); #48179=CARTESIAN_POINT('',(8.7,15.51,3.908)); #48180=CARTESIAN_POINT('',(8.7,15.51,3.908)); #48181=CARTESIAN_POINT('',(8.7,15.19,3.908)); #48182=CARTESIAN_POINT('',(8.7,15.19,3.908)); #48183=CARTESIAN_POINT('',(8.7,15.01,3.908)); #48184=CARTESIAN_POINT('',(8.7,15.01,3.908)); #48185=CARTESIAN_POINT('',(8.7,15.01,3.908)); #48186=CARTESIAN_POINT('',(8.7,15.01,3.908)); #48187=CARTESIAN_POINT('',(8.7,14.69,3.908)); #48188=CARTESIAN_POINT('',(8.7,14.69,3.908)); #48189=CARTESIAN_POINT('',(8.7,14.51,3.908)); #48190=CARTESIAN_POINT('',(8.7,14.51,3.908)); #48191=CARTESIAN_POINT('',(8.7,14.51,3.908)); #48192=CARTESIAN_POINT('',(8.7,14.51,3.908)); #48193=CARTESIAN_POINT('',(8.7,14.19,3.908)); #48194=CARTESIAN_POINT('',(8.7,14.19,3.908)); #48195=CARTESIAN_POINT('',(8.7,14.01,3.908)); #48196=CARTESIAN_POINT('',(8.7,14.01,3.908)); #48197=CARTESIAN_POINT('',(8.7,14.01,3.908)); #48198=CARTESIAN_POINT('',(8.7,14.01,3.908)); #48199=CARTESIAN_POINT('',(8.7,13.69,3.908)); #48200=CARTESIAN_POINT('',(8.7,13.69,3.908)); #48201=CARTESIAN_POINT('',(8.7,13.51,3.908)); #48202=CARTESIAN_POINT('',(8.7,13.51,3.908)); #48203=CARTESIAN_POINT('',(8.7,13.51,3.908)); #48204=CARTESIAN_POINT('',(8.7,13.51,3.908)); #48205=CARTESIAN_POINT('',(8.7,13.19,3.908)); #48206=CARTESIAN_POINT('',(8.7,13.19,3.908)); #48207=CARTESIAN_POINT('',(8.7,13.01,3.908)); #48208=CARTESIAN_POINT('',(8.7,13.01,3.908)); #48209=CARTESIAN_POINT('',(8.7,13.01,3.908)); #48210=CARTESIAN_POINT('',(8.7,13.01,3.908)); #48211=CARTESIAN_POINT('',(8.7,12.69,3.908)); #48212=CARTESIAN_POINT('',(8.7,12.69,3.908)); #48213=CARTESIAN_POINT('',(8.7,12.51,3.908)); #48214=CARTESIAN_POINT('',(8.7,12.51,3.908)); #48215=CARTESIAN_POINT('',(8.7,12.51,3.908)); #48216=CARTESIAN_POINT('',(8.7,12.51,3.908)); #48217=CARTESIAN_POINT('',(8.7,12.19,3.908)); #48218=CARTESIAN_POINT('',(8.7,12.19,3.908)); #48219=CARTESIAN_POINT('',(8.7,12.01,3.908)); #48220=CARTESIAN_POINT('',(8.7,12.01,3.908)); #48221=CARTESIAN_POINT('',(8.7,12.01,3.908)); #48222=CARTESIAN_POINT('',(8.7,12.01,3.908)); #48223=CARTESIAN_POINT('',(8.7,12.01,3.638)); #48224=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48225=CARTESIAN_POINT('',(8.7,11.69,3.638)); #48226=CARTESIAN_POINT('',(8.7,11.69,3.908)); #48227=CARTESIAN_POINT('',(8.7,11.69,3.908)); #48228=CARTESIAN_POINT('',(8.7,11.51,3.908)); #48229=CARTESIAN_POINT('',(8.7,11.51,3.908)); #48230=CARTESIAN_POINT('',(8.7,11.51,3.908)); #48231=CARTESIAN_POINT('',(8.7,11.51,3.908)); #48232=CARTESIAN_POINT('',(8.7,11.19,3.908)); #48233=CARTESIAN_POINT('',(8.7,11.19,3.908)); #48234=CARTESIAN_POINT('',(8.7,11.01,3.908)); #48235=CARTESIAN_POINT('',(8.7,11.01,3.908)); #48236=CARTESIAN_POINT('',(8.7,11.01,3.908)); #48237=CARTESIAN_POINT('',(8.7,11.01,3.908)); #48238=CARTESIAN_POINT('',(8.7,10.69,3.908)); #48239=CARTESIAN_POINT('',(8.7,10.69,3.908)); #48240=CARTESIAN_POINT('',(8.7,10.51,3.908)); #48241=CARTESIAN_POINT('',(8.7,10.51,3.908)); #48242=CARTESIAN_POINT('',(8.7,10.51,3.908)); #48243=CARTESIAN_POINT('',(8.7,10.51,3.908)); #48244=CARTESIAN_POINT('',(8.7,10.19,3.908)); #48245=CARTESIAN_POINT('',(8.7,10.19,3.908)); #48246=CARTESIAN_POINT('',(8.7,10.01,3.908)); #48247=CARTESIAN_POINT('',(8.7,10.01,3.908)); #48248=CARTESIAN_POINT('',(8.7,10.01,3.908)); #48249=CARTESIAN_POINT('',(8.7,10.01,3.908)); #48250=CARTESIAN_POINT('',(8.7,9.69,3.908)); #48251=CARTESIAN_POINT('',(8.7,9.69,3.908)); #48252=CARTESIAN_POINT('',(8.7,9.51,3.908)); #48253=CARTESIAN_POINT('',(8.7,9.51,3.908)); #48254=CARTESIAN_POINT('',(8.7,9.51,3.908)); #48255=CARTESIAN_POINT('',(8.7,9.51,3.908)); #48256=CARTESIAN_POINT('',(8.7,9.19,3.908)); #48257=CARTESIAN_POINT('',(8.7,9.19,3.908)); #48258=CARTESIAN_POINT('',(8.7,9.01,3.908)); #48259=CARTESIAN_POINT('',(8.7,9.01,3.908)); #48260=CARTESIAN_POINT('',(8.7,9.01,3.908)); #48261=CARTESIAN_POINT('',(8.7,9.01,3.908)); #48262=CARTESIAN_POINT('',(8.7,8.69,3.908)); #48263=CARTESIAN_POINT('',(8.7,8.69,3.908)); #48264=CARTESIAN_POINT('',(8.7,8.50999999999999,3.908)); #48265=CARTESIAN_POINT('',(8.7,8.50999999999999,3.908)); #48266=CARTESIAN_POINT('',(8.7,8.50999999999999,3.908)); #48267=CARTESIAN_POINT('',(8.7,8.50999999999999,3.908)); #48268=CARTESIAN_POINT('',(8.7,8.19,3.908)); #48269=CARTESIAN_POINT('',(8.7,8.19,3.908)); #48270=CARTESIAN_POINT('',(8.7,8.01,3.908)); #48271=CARTESIAN_POINT('',(8.7,8.01,3.908)); #48272=CARTESIAN_POINT('',(8.7,8.01,3.908)); #48273=CARTESIAN_POINT('',(8.7,8.01,3.908)); #48274=CARTESIAN_POINT('',(8.7,7.55,3.638)); #48275=CARTESIAN_POINT('',(8.7,8.01,3.908)); #48276=CARTESIAN_POINT('',(8.7,8.01,3.053)); #48277=CARTESIAN_POINT('',(8.7,8.01,3.053)); #48278=CARTESIAN_POINT('',(8.7,8.01,3.053)); #48279=CARTESIAN_POINT('',(8.7,8.19,3.053)); #48280=CARTESIAN_POINT('',(8.7,8.19,3.908)); #48281=CARTESIAN_POINT('',(8.7,8.50999999999999,3.908)); #48282=CARTESIAN_POINT('',(8.7,8.50999999999999,3.053)); #48283=CARTESIAN_POINT('',(8.7,8.50999999999999,3.053)); #48284=CARTESIAN_POINT('',(8.7,8.50999999999999,3.053)); #48285=CARTESIAN_POINT('',(8.7,8.69,3.053)); #48286=CARTESIAN_POINT('',(8.7,8.69,3.908)); #48287=CARTESIAN_POINT('',(8.7,9.01,3.908)); #48288=CARTESIAN_POINT('',(8.7,9.01,3.053)); #48289=CARTESIAN_POINT('',(8.7,9.01,3.053)); #48290=CARTESIAN_POINT('',(8.7,9.01,3.053)); #48291=CARTESIAN_POINT('',(8.7,9.19,3.053)); #48292=CARTESIAN_POINT('',(8.7,9.19,3.908)); #48293=CARTESIAN_POINT('',(8.7,9.51,3.908)); #48294=CARTESIAN_POINT('',(8.7,9.51,3.053)); #48295=CARTESIAN_POINT('',(8.7,9.51,3.053)); #48296=CARTESIAN_POINT('',(8.7,9.51,3.053)); #48297=CARTESIAN_POINT('',(8.7,9.69,3.053)); #48298=CARTESIAN_POINT('',(8.7,9.69,3.908)); #48299=CARTESIAN_POINT('',(8.7,10.01,3.908)); #48300=CARTESIAN_POINT('',(8.7,10.01,3.053)); #48301=CARTESIAN_POINT('',(8.7,10.01,3.053)); #48302=CARTESIAN_POINT('',(8.7,10.01,3.053)); #48303=CARTESIAN_POINT('',(8.7,10.19,3.053)); #48304=CARTESIAN_POINT('',(8.7,10.19,3.908)); #48305=CARTESIAN_POINT('',(8.7,10.51,3.908)); #48306=CARTESIAN_POINT('',(8.7,10.51,3.053)); #48307=CARTESIAN_POINT('',(8.7,10.51,3.053)); #48308=CARTESIAN_POINT('',(8.7,10.51,3.053)); #48309=CARTESIAN_POINT('',(8.7,10.69,3.053)); #48310=CARTESIAN_POINT('',(8.7,10.69,3.908)); #48311=CARTESIAN_POINT('',(8.7,11.01,3.908)); #48312=CARTESIAN_POINT('',(8.7,11.01,3.053)); #48313=CARTESIAN_POINT('',(8.7,11.01,3.053)); #48314=CARTESIAN_POINT('',(8.7,11.01,3.053)); #48315=CARTESIAN_POINT('',(8.7,11.19,3.053)); #48316=CARTESIAN_POINT('',(8.7,11.19,3.908)); #48317=CARTESIAN_POINT('',(8.7,11.51,3.908)); #48318=CARTESIAN_POINT('',(8.7,11.51,3.053)); #48319=CARTESIAN_POINT('',(8.7,11.51,3.053)); #48320=CARTESIAN_POINT('',(8.7,11.51,3.053)); #48321=CARTESIAN_POINT('',(8.7,11.69,3.053)); #48322=CARTESIAN_POINT('',(8.7,11.69,3.908)); #48323=CARTESIAN_POINT('',(8.7,16.15,3.223)); #48324=CARTESIAN_POINT('',(8.7,12.01,3.638)); #48325=CARTESIAN_POINT('',(9.67,12.01,3.638)); #48326=CARTESIAN_POINT('',(9.67,5.55,3.638)); #48327=CARTESIAN_POINT('',(9.67,11.69,3.638)); #48328=CARTESIAN_POINT('',(8.7,11.69,3.638)); #48329=CARTESIAN_POINT('',(8.7,5.55,3.638)); #48330=CARTESIAN_POINT('',(8.91,16.31,3.723)); #48331=CARTESIAN_POINT('',(8.91,16.21,3.723)); #48332=CARTESIAN_POINT('',(8.91,16.41,3.723)); #48333=CARTESIAN_POINT('',(8.91,16.21,3.723)); #48334=CARTESIAN_POINT('',(9.56,16.21,3.723)); #48335=CARTESIAN_POINT('',(9.56,16.31,3.723)); #48336=CARTESIAN_POINT('',(9.66,16.31,3.723)); #48337=CARTESIAN_POINT('',(9.56,16.31,3.723)); #48338=CARTESIAN_POINT('',(9.56,16.41,3.723)); #48339=CARTESIAN_POINT('',(8.91,16.41,3.723)); #48340=CARTESIAN_POINT('',(8.91,16.31,3.723)); #48341=CARTESIAN_POINT('',(9.185,7.55,3.153)); #48342=CARTESIAN_POINT('',(9.7,7.55,3.668)); #48343=CARTESIAN_POINT('',(9.7,7.55,3.173)); #48344=CARTESIAN_POINT('',(8.7,7.55,3.638)); #48345=CARTESIAN_POINT('',(9.69999999999999,7.21,3.623)); #48346=CARTESIAN_POINT('',(9.69999999999999,7.2075,3.623)); #48347=CARTESIAN_POINT('',(9.69999999999999,7.21,2.938)); #48348=CARTESIAN_POINT('',(9.69999999999999,7.2075,2.938)); #48349=CARTESIAN_POINT('',(9.7,7.2075,2.938)); #48350=CARTESIAN_POINT('',(9.69999999999999,7.21,3.623)); #48351=CARTESIAN_POINT('',(9.70125,7.20875,3.623)); #48352=CARTESIAN_POINT('',(9.69999999999999,7.21,3.623)); #48353=CARTESIAN_POINT('',(9.9,7.4075,3.623)); #48354=CARTESIAN_POINT('',(9.64999999999999,7.1575,3.623)); #48355=CARTESIAN_POINT('',(9.64999999999999,7.21,3.623)); #48356=CARTESIAN_POINT('',(9.64999999999999,7.025,3.623)); #48357=CARTESIAN_POINT('',(9.25,7.025,3.623)); #48358=CARTESIAN_POINT('',(9.25,7.175,3.623)); #48359=CARTESIAN_POINT('',(9.6675,7.175,3.6405)); #48360=CARTESIAN_POINT('',(9.7,7.2075,3.67300000000001)); #48361=CARTESIAN_POINT('',(9.7,7.2075,3.67300000000001)); #48362=CARTESIAN_POINT('',(9.7,7.0125,3.67300000000001)); #48363=CARTESIAN_POINT('',(9.3325,7.0125,3.3055)); #48364=CARTESIAN_POINT('',(9.64999999999999,7.0125,3.623)); #48365=CARTESIAN_POINT('',(9.64999999999999,7.21,3.623)); #48366=CARTESIAN_POINT('',(9.64999999999999,7.21,3.623)); #48367=CARTESIAN_POINT('',(9.25,7.025,3.623)); #48368=CARTESIAN_POINT('',(9.25,7.025,3.623)); #48369=CARTESIAN_POINT('',(9.7,7.0125,3.623)); #48370=CARTESIAN_POINT('',(9.25,7.0125,3.623)); #48371=CARTESIAN_POINT('',(9.25,7.21,3.623)); #48372=CARTESIAN_POINT('',(9.69999999999999,7.21,3.623)); #48373=CARTESIAN_POINT('',(9.25,7.025,3.163)); #48374=CARTESIAN_POINT('',(9.25,7.025,3.163)); #48375=CARTESIAN_POINT('',(9.01,7.025,3.163)); #48376=CARTESIAN_POINT('',(9.25,7.025,3.623)); #48377=CARTESIAN_POINT('',(9.25,7.21,3.163)); #48378=CARTESIAN_POINT('',(9.24999999999999,6.85,3.163)); #48379=CARTESIAN_POINT('',(9.24999999999999,6.85,3.163)); #48380=CARTESIAN_POINT('',(8.82,6.85,3.163)); #48381=CARTESIAN_POINT('',(8.82,7.21,3.163)); #48382=CARTESIAN_POINT('',(9.25,7.21,3.163)); #48383=CARTESIAN_POINT('',(8.82,6.85,3.163)); #48384=CARTESIAN_POINT('',(8.82,6.85,2.938)); #48385=CARTESIAN_POINT('',(8.82,7.21,2.938)); #48386=CARTESIAN_POINT('',(8.82,7.21,3.163)); #48387=CARTESIAN_POINT('',(7.095,7.4,3.358)); #48388=CARTESIAN_POINT('',(7.095,7.35,3.358)); #48389=CARTESIAN_POINT('',(7.445,7.35,3.358)); #48390=CARTESIAN_POINT('',(7.795,7.35,3.358)); #48391=CARTESIAN_POINT('',(7.795,7.4,3.358)); #48392=CARTESIAN_POINT('',(7.795,8.04,3.358)); #48393=CARTESIAN_POINT('',(7.445,8.04,3.358)); #48394=CARTESIAN_POINT('',(7.445,7.4,3.358)); #48395=CARTESIAN_POINT('',(7.445,7.68,3.358)); #48396=CARTESIAN_POINT('',(7.78573450074801,7.68,3.278)); #48397=CARTESIAN_POINT('',(7.78573450074801,7.4,3.278)); #48398=CARTESIAN_POINT('',(7.78573450074801,8.04,3.278)); #48399=CARTESIAN_POINT('',(7.445,8.04,3.358)); #48400=CARTESIAN_POINT('',(7.445,7.35,3.358)); #48401=CARTESIAN_POINT('',(7.445,7.4,3.358)); #48402=CARTESIAN_POINT('',(6.925,7.68,2.938)); #48403=CARTESIAN_POINT('',(8.3,7.68,2.938)); #48404=CARTESIAN_POINT('',(8.3,7.68,3.278)); #48405=CARTESIAN_POINT('',(8.3,7.68,3.278)); #48406=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48407=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48408=CARTESIAN_POINT('',(8.3,18.02,3.278)); #48409=CARTESIAN_POINT('',(8.3,8.04,3.278)); #48410=CARTESIAN_POINT('',(6.925,8.04,3.278)); #48411=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48412=CARTESIAN_POINT('',(8.29999999999997,8.04,3.908)); #48413=CARTESIAN_POINT('',(8.3,8.04,3.908)); #48414=CARTESIAN_POINT('',(8.3,8.04,-3.092)); #48415=CARTESIAN_POINT('',(8.3,8.04,-3.092)); #48416=CARTESIAN_POINT('',(8.29999999999997,8.15999999999999,3.908)); #48417=CARTESIAN_POINT('',(8.3,8.15999999999999,3.908)); #48418=CARTESIAN_POINT('',(8.3,8.15999999999999,-3.092)); #48419=CARTESIAN_POINT('',(8.3,8.15999999999999,-3.092)); #48420=CARTESIAN_POINT('',(8.29999999999997,8.15999999999999,3.908)); #48421=CARTESIAN_POINT('',(8.3,8.15999999999999,3.278)); #48422=CARTESIAN_POINT('',(6.925,8.15999999999999,3.278)); #48423=CARTESIAN_POINT('',(8.3,8.15999999999999,-3.092)); #48424=CARTESIAN_POINT('',(8.3,18.02,3.278)); #48425=CARTESIAN_POINT('',(8.3,8.54,3.278)); #48426=CARTESIAN_POINT('',(6.925,8.54,3.278)); #48427=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48428=CARTESIAN_POINT('',(8.29999999999997,8.54,3.908)); #48429=CARTESIAN_POINT('',(8.3,8.54,3.908)); #48430=CARTESIAN_POINT('',(8.3,8.54,-3.092)); #48431=CARTESIAN_POINT('',(8.3,8.54,-3.092)); #48432=CARTESIAN_POINT('',(8.29999999999997,8.66,3.908)); #48433=CARTESIAN_POINT('',(8.3,8.66,3.908)); #48434=CARTESIAN_POINT('',(8.3,8.66,-3.092)); #48435=CARTESIAN_POINT('',(8.3,8.66,-3.092)); #48436=CARTESIAN_POINT('',(8.29999999999997,8.66,3.908)); #48437=CARTESIAN_POINT('',(8.3,8.66,3.278)); #48438=CARTESIAN_POINT('',(6.925,8.66,3.278)); #48439=CARTESIAN_POINT('',(8.3,8.66,-3.092)); #48440=CARTESIAN_POINT('',(8.3,18.02,3.278)); #48441=CARTESIAN_POINT('',(8.3,9.04,3.278)); #48442=CARTESIAN_POINT('',(6.925,9.04,3.278)); #48443=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48444=CARTESIAN_POINT('',(8.29999999999997,9.04,3.908)); #48445=CARTESIAN_POINT('',(8.3,9.04,3.908)); #48446=CARTESIAN_POINT('',(8.3,9.04,-3.092)); #48447=CARTESIAN_POINT('',(8.3,9.04,-3.092)); #48448=CARTESIAN_POINT('',(8.29999999999997,9.16,3.908)); #48449=CARTESIAN_POINT('',(8.3,9.16,3.908)); #48450=CARTESIAN_POINT('',(8.3,9.16,-3.092)); #48451=CARTESIAN_POINT('',(8.3,9.16,-3.092)); #48452=CARTESIAN_POINT('',(8.29999999999997,9.16,3.908)); #48453=CARTESIAN_POINT('',(8.3,9.16,3.278)); #48454=CARTESIAN_POINT('',(6.925,9.16,3.278)); #48455=CARTESIAN_POINT('',(8.3,9.16,-3.092)); #48456=CARTESIAN_POINT('',(8.3,18.02,3.278)); #48457=CARTESIAN_POINT('',(8.3,9.53999999999999,3.278)); #48458=CARTESIAN_POINT('',(6.925,9.53999999999999,3.278)); #48459=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48460=CARTESIAN_POINT('',(8.29999999999997,9.53999999999999,3.908)); #48461=CARTESIAN_POINT('',(8.3,9.53999999999999,3.908)); #48462=CARTESIAN_POINT('',(8.3,9.53999999999999,-3.092)); #48463=CARTESIAN_POINT('',(8.3,9.53999999999999,-3.092)); #48464=CARTESIAN_POINT('',(8.29999999999997,9.66,3.908)); #48465=CARTESIAN_POINT('',(8.3,9.66,3.908)); #48466=CARTESIAN_POINT('',(8.3,9.66,-3.092)); #48467=CARTESIAN_POINT('',(8.3,9.66,-3.092)); #48468=CARTESIAN_POINT('',(8.29999999999997,9.66,3.908)); #48469=CARTESIAN_POINT('',(8.3,9.66,3.278)); #48470=CARTESIAN_POINT('',(6.925,9.66,3.278)); #48471=CARTESIAN_POINT('',(8.3,9.66,-3.092)); #48472=CARTESIAN_POINT('',(8.3,18.02,3.278)); #48473=CARTESIAN_POINT('',(8.3,10.04,3.278)); #48474=CARTESIAN_POINT('',(6.925,10.04,3.278)); #48475=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48476=CARTESIAN_POINT('',(8.29999999999997,10.04,3.908)); #48477=CARTESIAN_POINT('',(8.3,10.04,3.908)); #48478=CARTESIAN_POINT('',(8.3,10.04,-3.092)); #48479=CARTESIAN_POINT('',(8.3,10.04,-3.092)); #48480=CARTESIAN_POINT('',(8.29999999999997,10.16,3.908)); #48481=CARTESIAN_POINT('',(8.3,10.16,3.908)); #48482=CARTESIAN_POINT('',(8.3,10.16,-3.092)); #48483=CARTESIAN_POINT('',(8.3,10.16,-3.092)); #48484=CARTESIAN_POINT('',(8.29999999999997,10.16,3.908)); #48485=CARTESIAN_POINT('',(8.3,10.16,3.278)); #48486=CARTESIAN_POINT('',(6.925,10.16,3.278)); #48487=CARTESIAN_POINT('',(8.3,10.16,-3.092)); #48488=CARTESIAN_POINT('',(8.3,18.02,3.278)); #48489=CARTESIAN_POINT('',(8.3,10.54,3.278)); #48490=CARTESIAN_POINT('',(6.925,10.54,3.278)); #48491=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48492=CARTESIAN_POINT('',(8.29999999999997,10.54,3.908)); #48493=CARTESIAN_POINT('',(8.3,10.54,3.908)); #48494=CARTESIAN_POINT('',(8.3,10.54,-3.092)); #48495=CARTESIAN_POINT('',(8.3,10.54,-3.092)); #48496=CARTESIAN_POINT('',(8.29999999999997,10.66,3.908)); #48497=CARTESIAN_POINT('',(8.3,10.66,3.908)); #48498=CARTESIAN_POINT('',(8.3,10.66,-3.092)); #48499=CARTESIAN_POINT('',(8.3,10.66,-3.092)); #48500=CARTESIAN_POINT('',(8.29999999999997,10.66,3.908)); #48501=CARTESIAN_POINT('',(8.3,10.66,3.278)); #48502=CARTESIAN_POINT('',(6.925,10.66,3.278)); #48503=CARTESIAN_POINT('',(8.3,10.66,-3.092)); #48504=CARTESIAN_POINT('',(8.3,18.02,3.278)); #48505=CARTESIAN_POINT('',(8.3,11.04,3.278)); #48506=CARTESIAN_POINT('',(6.925,11.04,3.278)); #48507=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48508=CARTESIAN_POINT('',(8.29999999999997,11.04,3.908)); #48509=CARTESIAN_POINT('',(8.3,11.04,3.908)); #48510=CARTESIAN_POINT('',(8.3,11.04,-3.092)); #48511=CARTESIAN_POINT('',(8.3,11.04,-3.092)); #48512=CARTESIAN_POINT('',(8.29999999999997,11.16,3.908)); #48513=CARTESIAN_POINT('',(8.3,11.16,3.908)); #48514=CARTESIAN_POINT('',(8.3,11.16,-3.092)); #48515=CARTESIAN_POINT('',(8.3,11.16,-3.092)); #48516=CARTESIAN_POINT('',(8.29999999999997,11.16,3.908)); #48517=CARTESIAN_POINT('',(8.3,11.16,3.278)); #48518=CARTESIAN_POINT('',(6.925,11.16,3.278)); #48519=CARTESIAN_POINT('',(8.3,11.16,-3.092)); #48520=CARTESIAN_POINT('',(8.3,18.02,3.278)); #48521=CARTESIAN_POINT('',(8.3,11.54,3.278)); #48522=CARTESIAN_POINT('',(6.925,11.54,3.278)); #48523=CARTESIAN_POINT('',(6.925,7.68,3.278)); #48524=CARTESIAN_POINT('',(8.29999999999997,11.54,3.908)); #48525=CARTESIAN_POINT('',(8.3,11.54,3.908)); #48526=CARTESIAN_POINT('',(8.3,11.54,-3.092)); #48527=CARTESIAN_POINT('',(8.3,11.54,-3.092)); #48528=CARTESIAN_POINT('',(8.29999999999997,11.66,3.908)); #48529=CARTESIAN_POINT('',(8.3,11.66,3.908)); #48530=CARTESIAN_POINT('',(8.3,11.66,-3.092)); #48531=CARTESIAN_POINT('',(8.3,11.66,-3.092)); #48532=CARTESIAN_POINT('',(8.29999999999997,11.66,3.908)); #48533=CARTESIAN_POINT('',(8.3,11.66,3.278)); #48534=CARTESIAN_POINT('',(6.925,11.66,3.278)); #48535=CARTESIAN_POINT('',(8.3,11.66,-3.092)); #48536=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48537=CARTESIAN_POINT('',(8.3,12.04,3.278)); #48538=CARTESIAN_POINT('',(6.925,12.04,3.278)); #48539=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48540=CARTESIAN_POINT('',(8.29999999999997,12.04,3.908)); #48541=CARTESIAN_POINT('',(8.3,12.04,3.908)); #48542=CARTESIAN_POINT('',(8.3,12.04,-3.092)); #48543=CARTESIAN_POINT('',(8.3,12.04,-3.092)); #48544=CARTESIAN_POINT('',(8.29999999999997,12.16,3.908)); #48545=CARTESIAN_POINT('',(8.3,12.16,3.908)); #48546=CARTESIAN_POINT('',(8.3,12.16,-3.092)); #48547=CARTESIAN_POINT('',(8.3,12.16,-3.092)); #48548=CARTESIAN_POINT('',(8.29999999999997,12.16,3.908)); #48549=CARTESIAN_POINT('',(8.3,12.16,3.278)); #48550=CARTESIAN_POINT('',(6.925,12.16,3.278)); #48551=CARTESIAN_POINT('',(8.3,12.16,-3.092)); #48552=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48553=CARTESIAN_POINT('',(8.3,12.54,3.278)); #48554=CARTESIAN_POINT('',(6.925,12.54,3.278)); #48555=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48556=CARTESIAN_POINT('',(8.29999999999997,12.54,3.908)); #48557=CARTESIAN_POINT('',(8.3,12.54,3.908)); #48558=CARTESIAN_POINT('',(8.3,12.54,-3.092)); #48559=CARTESIAN_POINT('',(8.3,12.54,-3.092)); #48560=CARTESIAN_POINT('',(8.29999999999997,12.66,3.908)); #48561=CARTESIAN_POINT('',(8.3,12.66,3.908)); #48562=CARTESIAN_POINT('',(8.3,12.66,-3.092)); #48563=CARTESIAN_POINT('',(8.3,12.66,-3.092)); #48564=CARTESIAN_POINT('',(8.29999999999997,12.66,3.908)); #48565=CARTESIAN_POINT('',(8.3,12.66,3.278)); #48566=CARTESIAN_POINT('',(6.925,12.66,3.278)); #48567=CARTESIAN_POINT('',(8.3,12.66,-3.092)); #48568=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48569=CARTESIAN_POINT('',(8.3,13.04,3.278)); #48570=CARTESIAN_POINT('',(6.925,13.04,3.278)); #48571=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48572=CARTESIAN_POINT('',(8.29999999999997,13.04,3.908)); #48573=CARTESIAN_POINT('',(8.3,13.04,3.908)); #48574=CARTESIAN_POINT('',(8.3,13.04,-3.092)); #48575=CARTESIAN_POINT('',(8.3,13.04,-3.092)); #48576=CARTESIAN_POINT('',(8.29999999999997,13.16,3.908)); #48577=CARTESIAN_POINT('',(8.3,13.16,3.908)); #48578=CARTESIAN_POINT('',(8.3,13.16,-3.092)); #48579=CARTESIAN_POINT('',(8.3,13.16,-3.092)); #48580=CARTESIAN_POINT('',(8.29999999999997,13.16,3.908)); #48581=CARTESIAN_POINT('',(8.3,13.16,3.278)); #48582=CARTESIAN_POINT('',(6.925,13.16,3.278)); #48583=CARTESIAN_POINT('',(8.3,13.16,-3.092)); #48584=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48585=CARTESIAN_POINT('',(8.3,13.54,3.278)); #48586=CARTESIAN_POINT('',(6.925,13.54,3.278)); #48587=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48588=CARTESIAN_POINT('',(8.29999999999997,13.54,3.908)); #48589=CARTESIAN_POINT('',(8.3,13.54,3.908)); #48590=CARTESIAN_POINT('',(8.3,13.54,-3.092)); #48591=CARTESIAN_POINT('',(8.3,13.54,-3.092)); #48592=CARTESIAN_POINT('',(8.29999999999997,13.66,3.908)); #48593=CARTESIAN_POINT('',(8.3,13.66,3.908)); #48594=CARTESIAN_POINT('',(8.3,13.66,-3.092)); #48595=CARTESIAN_POINT('',(8.3,13.66,-3.092)); #48596=CARTESIAN_POINT('',(8.29999999999997,13.66,3.908)); #48597=CARTESIAN_POINT('',(8.3,13.66,3.278)); #48598=CARTESIAN_POINT('',(6.925,13.66,3.278)); #48599=CARTESIAN_POINT('',(8.3,13.66,-3.092)); #48600=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48601=CARTESIAN_POINT('',(8.3,14.04,3.278)); #48602=CARTESIAN_POINT('',(6.925,14.04,3.278)); #48603=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48604=CARTESIAN_POINT('',(8.29999999999997,14.04,3.908)); #48605=CARTESIAN_POINT('',(8.3,14.04,3.908)); #48606=CARTESIAN_POINT('',(8.3,14.04,-3.092)); #48607=CARTESIAN_POINT('',(8.3,14.04,-3.092)); #48608=CARTESIAN_POINT('',(8.29999999999997,14.16,3.908)); #48609=CARTESIAN_POINT('',(8.3,14.16,3.908)); #48610=CARTESIAN_POINT('',(8.3,14.16,-3.092)); #48611=CARTESIAN_POINT('',(8.3,14.16,-3.092)); #48612=CARTESIAN_POINT('',(8.29999999999997,14.16,3.908)); #48613=CARTESIAN_POINT('',(8.3,14.16,3.278)); #48614=CARTESIAN_POINT('',(6.925,14.16,3.278)); #48615=CARTESIAN_POINT('',(8.3,14.16,-3.092)); #48616=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48617=CARTESIAN_POINT('',(8.3,14.54,3.278)); #48618=CARTESIAN_POINT('',(6.925,14.54,3.278)); #48619=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48620=CARTESIAN_POINT('',(8.29999999999997,14.54,3.908)); #48621=CARTESIAN_POINT('',(8.3,14.54,3.908)); #48622=CARTESIAN_POINT('',(8.3,14.54,-3.092)); #48623=CARTESIAN_POINT('',(8.3,14.54,-3.092)); #48624=CARTESIAN_POINT('',(8.29999999999997,14.66,3.908)); #48625=CARTESIAN_POINT('',(8.3,14.66,3.908)); #48626=CARTESIAN_POINT('',(8.3,14.66,-3.092)); #48627=CARTESIAN_POINT('',(8.3,14.66,-3.092)); #48628=CARTESIAN_POINT('',(8.29999999999997,14.66,3.908)); #48629=CARTESIAN_POINT('',(8.3,14.66,3.278)); #48630=CARTESIAN_POINT('',(6.925,14.66,3.278)); #48631=CARTESIAN_POINT('',(8.3,14.66,-3.092)); #48632=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48633=CARTESIAN_POINT('',(8.3,15.04,3.278)); #48634=CARTESIAN_POINT('',(6.925,15.04,3.278)); #48635=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48636=CARTESIAN_POINT('',(8.29999999999997,15.04,3.908)); #48637=CARTESIAN_POINT('',(8.3,15.04,3.908)); #48638=CARTESIAN_POINT('',(8.3,15.04,-3.092)); #48639=CARTESIAN_POINT('',(8.3,15.04,-3.092)); #48640=CARTESIAN_POINT('',(8.29999999999997,15.16,3.908)); #48641=CARTESIAN_POINT('',(8.3,15.16,3.908)); #48642=CARTESIAN_POINT('',(8.3,15.16,-3.092)); #48643=CARTESIAN_POINT('',(8.3,15.16,-3.092)); #48644=CARTESIAN_POINT('',(8.29999999999997,15.16,3.908)); #48645=CARTESIAN_POINT('',(8.3,15.16,3.278)); #48646=CARTESIAN_POINT('',(6.925,15.16,3.278)); #48647=CARTESIAN_POINT('',(8.3,15.16,-3.092)); #48648=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48649=CARTESIAN_POINT('',(8.3,15.54,3.278)); #48650=CARTESIAN_POINT('',(6.925,15.54,3.278)); #48651=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48652=CARTESIAN_POINT('',(8.29999999999997,15.54,3.908)); #48653=CARTESIAN_POINT('',(8.3,15.54,3.908)); #48654=CARTESIAN_POINT('',(8.3,15.54,-3.092)); #48655=CARTESIAN_POINT('',(8.3,15.54,-3.092)); #48656=CARTESIAN_POINT('',(8.29999999999997,15.66,3.908)); #48657=CARTESIAN_POINT('',(8.3,15.66,3.908)); #48658=CARTESIAN_POINT('',(8.3,15.66,-3.092)); #48659=CARTESIAN_POINT('',(8.3,15.66,-3.092)); #48660=CARTESIAN_POINT('',(7.445,15.66,3.358)); #48661=CARTESIAN_POINT('',(7.78573450074801,15.66,3.278)); #48662=CARTESIAN_POINT('',(7.795,15.66,3.358)); #48663=CARTESIAN_POINT('',(7.445,15.66,3.358)); #48664=CARTESIAN_POINT('',(8.29999999999997,15.66,3.908)); #48665=CARTESIAN_POINT('',(8.3,15.66,3.278)); #48666=CARTESIAN_POINT('',(6.925,15.66,3.278)); #48667=CARTESIAN_POINT('',(8.3,15.66,-3.092)); #48668=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48669=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48670=CARTESIAN_POINT('',(6.925,16.02,3.278)); #48671=CARTESIAN_POINT('',(7.78573450074801,16.02,3.278)); #48672=CARTESIAN_POINT('',(7.78573450074801,5.4,3.278)); #48673=CARTESIAN_POINT('',(6.925,5.68,3.278)); #48674=CARTESIAN_POINT('',(7.445,16.02,3.358)); #48675=CARTESIAN_POINT('',(8.3,16.02,3.278)); #48676=CARTESIAN_POINT('',(8.3,16.02,2.938)); #48677=CARTESIAN_POINT('',(6.925,16.02,2.938)); #48678=CARTESIAN_POINT('',(6.925,16.02,3.278)); #48679=CARTESIAN_POINT('',(7.095,5.4,3.358)); #48680=CARTESIAN_POINT('',(7.095,16.35,3.358)); #48681=CARTESIAN_POINT('',(7.445,16.35,3.358)); #48682=CARTESIAN_POINT('',(7.795,16.35,3.358)); #48683=CARTESIAN_POINT('',(7.795,5.4,3.358)); #48684=CARTESIAN_POINT('',(7.445,5.4,3.358)); #48685=CARTESIAN_POINT('',(7.445,16.35,3.358)); #48686=CARTESIAN_POINT('',(7.445,5.4,3.358)); #48687=CARTESIAN_POINT('',(8.82,16.85,3.163)); #48688=CARTESIAN_POINT('',(8.82,16.85,2.938)); #48689=CARTESIAN_POINT('',(8.82,16.85,3.163)); #48690=CARTESIAN_POINT('',(8.82,16.49,3.163)); #48691=CARTESIAN_POINT('',(8.82,16.49,2.938)); #48692=CARTESIAN_POINT('',(8.82,16.49,3.163)); #48693=CARTESIAN_POINT('',(9.24999999999999,16.85,3.163)); #48694=CARTESIAN_POINT('',(9.24999999999999,16.85,3.163)); #48695=CARTESIAN_POINT('',(9.25,16.49,3.163)); #48696=CARTESIAN_POINT('',(9.25,16.675,3.163)); #48697=CARTESIAN_POINT('',(9.01,16.675,3.163)); #48698=CARTESIAN_POINT('',(9.25,16.49,3.163)); #48699=CARTESIAN_POINT('',(9.25,16.675,3.163)); #48700=CARTESIAN_POINT('',(9.25,16.675,3.623)); #48701=CARTESIAN_POINT('',(9.25,16.675,3.623)); #48702=CARTESIAN_POINT('',(9.64999999999999,16.675,3.623)); #48703=CARTESIAN_POINT('',(9.25,16.675,3.623)); #48704=CARTESIAN_POINT('',(9.25,16.675,3.623)); #48705=CARTESIAN_POINT('',(9.64999999999999,16.4925,3.623)); #48706=CARTESIAN_POINT('',(9.64999999999999,16.6875,3.623)); #48707=CARTESIAN_POINT('',(9.25,16.49,3.623)); #48708=CARTESIAN_POINT('',(9.25,16.6875,3.623)); #48709=CARTESIAN_POINT('',(9.7,16.6875,3.623)); #48710=CARTESIAN_POINT('',(9.69999999999999,16.49,3.623)); #48711=CARTESIAN_POINT('',(9.7,16.4925,3.673)); #48712=CARTESIAN_POINT('',(9.64999999999999,16.5425,3.623)); #48713=CARTESIAN_POINT('',(9.7,16.4925,3.673)); #48714=CARTESIAN_POINT('',(9.64999999999999,16.4925,3.623)); #48715=CARTESIAN_POINT('',(9.3325,16.6875,3.30550000000001)); #48716=CARTESIAN_POINT('',(9.7,16.6875,3.673)); #48717=CARTESIAN_POINT('',(9.7,16.6875,3.673)); #48718=CARTESIAN_POINT('',(9.7,16.4925,3.673)); #48719=CARTESIAN_POINT('',(9.9,16.2925,3.623)); #48720=CARTESIAN_POINT('',(9.25,16.525,3.623)); #48721=CARTESIAN_POINT('',(9.9,16.2925,3.623)); #48722=CARTESIAN_POINT('',(9.69999999999999,16.4925,3.623)); #48723=CARTESIAN_POINT('',(9.69999999999999,16.49,3.623)); #48724=CARTESIAN_POINT('',(9.69999999999999,16.49,3.623)); #48725=CARTESIAN_POINT('',(9.7,16.4925,2.938)); #48726=CARTESIAN_POINT('',(9.69999999999999,16.4925,2.938)); #48727=CARTESIAN_POINT('',(9.69999999999999,16.49,2.938)); #48728=CARTESIAN_POINT('',(9.69999999999999,16.49,3.623)); #48729=CARTESIAN_POINT('',(9.7,8.01,3.223)); #48730=CARTESIAN_POINT('',(9.9,8.01,3.173)); #48731=CARTESIAN_POINT('',(9.61513292433538,7.58394683026585,3.24421676891616)); #48732=CARTESIAN_POINT('',(9.9,7.47,3.173)); #48733=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48734=CARTESIAN_POINT('',(9.7,7.55,3.223)); #48735=CARTESIAN_POINT('',(9.9,8.01,3.908)); #48736=CARTESIAN_POINT('',(9.9,8.01,3.053)); #48737=CARTESIAN_POINT('',(7.82625804724736,8.01,3.053)); #48738=CARTESIAN_POINT('',(7.82625804724736,8.01,3.908)); #48739=CARTESIAN_POINT('',(9.9,8.01,3.053)); #48740=CARTESIAN_POINT('',(9.9,8.19,3.053)); #48741=CARTESIAN_POINT('',(7.82625804724736,8.19,3.053)); #48742=CARTESIAN_POINT('',(7.82625804724736,8.01,3.053)); #48743=CARTESIAN_POINT('',(9.9,8.19,3.908)); #48744=CARTESIAN_POINT('',(9.9,8.19,3.173)); #48745=CARTESIAN_POINT('',(9.7,8.19,3.223)); #48746=CARTESIAN_POINT('',(7.82625804724736,8.19,3.908)); #48747=CARTESIAN_POINT('',(9.7,8.50999999999999,3.223)); #48748=CARTESIAN_POINT('',(9.9,8.50999999999999,3.173)); #48749=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48750=CARTESIAN_POINT('',(9.7,7.55,3.223)); #48751=CARTESIAN_POINT('',(9.9,8.50999999999999,3.908)); #48752=CARTESIAN_POINT('',(9.9,8.50999999999999,3.053)); #48753=CARTESIAN_POINT('',(7.82625804724736,8.50999999999999,3.053)); #48754=CARTESIAN_POINT('',(7.82625804724736,8.50999999999999,3.908)); #48755=CARTESIAN_POINT('',(9.9,8.50999999999999,3.053)); #48756=CARTESIAN_POINT('',(9.9,8.69,3.053)); #48757=CARTESIAN_POINT('',(7.82625804724736,8.69,3.053)); #48758=CARTESIAN_POINT('',(7.82625804724736,8.50999999999999,3.053)); #48759=CARTESIAN_POINT('',(9.9,8.69,3.908)); #48760=CARTESIAN_POINT('',(9.9,8.69,3.173)); #48761=CARTESIAN_POINT('',(9.7,8.69,3.223)); #48762=CARTESIAN_POINT('',(7.82625804724736,8.69,3.908)); #48763=CARTESIAN_POINT('',(9.7,9.01,3.223)); #48764=CARTESIAN_POINT('',(9.9,9.01,3.173)); #48765=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48766=CARTESIAN_POINT('',(9.7,7.55,3.223)); #48767=CARTESIAN_POINT('',(9.9,9.01,3.908)); #48768=CARTESIAN_POINT('',(9.9,9.01,3.053)); #48769=CARTESIAN_POINT('',(7.82625804724736,9.01,3.053)); #48770=CARTESIAN_POINT('',(7.82625804724736,9.01,3.908)); #48771=CARTESIAN_POINT('',(9.9,9.01,3.053)); #48772=CARTESIAN_POINT('',(9.9,9.19,3.053)); #48773=CARTESIAN_POINT('',(7.82625804724736,9.19,3.053)); #48774=CARTESIAN_POINT('',(7.82625804724736,9.01,3.053)); #48775=CARTESIAN_POINT('',(9.9,9.19,3.908)); #48776=CARTESIAN_POINT('',(9.9,9.19,3.173)); #48777=CARTESIAN_POINT('',(9.7,9.19,3.223)); #48778=CARTESIAN_POINT('',(7.82625804724736,9.19,3.908)); #48779=CARTESIAN_POINT('',(9.7,9.51,3.223)); #48780=CARTESIAN_POINT('',(9.9,9.51,3.173)); #48781=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48782=CARTESIAN_POINT('',(9.7,7.55,3.223)); #48783=CARTESIAN_POINT('',(9.9,9.51,3.908)); #48784=CARTESIAN_POINT('',(9.9,9.51,3.053)); #48785=CARTESIAN_POINT('',(7.82625804724736,9.51,3.053)); #48786=CARTESIAN_POINT('',(7.82625804724736,9.51,3.908)); #48787=CARTESIAN_POINT('',(9.9,9.51,3.053)); #48788=CARTESIAN_POINT('',(9.9,9.69,3.053)); #48789=CARTESIAN_POINT('',(7.82625804724736,9.69,3.053)); #48790=CARTESIAN_POINT('',(7.82625804724736,9.51,3.053)); #48791=CARTESIAN_POINT('',(9.9,9.69,3.908)); #48792=CARTESIAN_POINT('',(9.9,9.69,3.173)); #48793=CARTESIAN_POINT('',(9.7,9.69,3.223)); #48794=CARTESIAN_POINT('',(7.82625804724736,9.69,3.908)); #48795=CARTESIAN_POINT('',(9.7,10.01,3.223)); #48796=CARTESIAN_POINT('',(9.9,10.01,3.173)); #48797=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48798=CARTESIAN_POINT('',(9.7,7.55,3.223)); #48799=CARTESIAN_POINT('',(9.9,10.01,3.908)); #48800=CARTESIAN_POINT('',(9.9,10.01,3.053)); #48801=CARTESIAN_POINT('',(7.82625804724736,10.01,3.053)); #48802=CARTESIAN_POINT('',(7.82625804724736,10.01,3.908)); #48803=CARTESIAN_POINT('',(9.9,10.01,3.053)); #48804=CARTESIAN_POINT('',(9.9,10.19,3.053)); #48805=CARTESIAN_POINT('',(7.82625804724736,10.19,3.053)); #48806=CARTESIAN_POINT('',(7.82625804724736,10.01,3.053)); #48807=CARTESIAN_POINT('',(9.9,10.19,3.908)); #48808=CARTESIAN_POINT('',(9.9,10.19,3.173)); #48809=CARTESIAN_POINT('',(9.7,10.19,3.223)); #48810=CARTESIAN_POINT('',(7.82625804724736,10.19,3.908)); #48811=CARTESIAN_POINT('',(9.7,10.51,3.223)); #48812=CARTESIAN_POINT('',(9.9,10.51,3.173)); #48813=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48814=CARTESIAN_POINT('',(9.7,7.55,3.223)); #48815=CARTESIAN_POINT('',(9.9,10.51,3.908)); #48816=CARTESIAN_POINT('',(9.9,10.51,3.053)); #48817=CARTESIAN_POINT('',(7.82625804724736,10.51,3.053)); #48818=CARTESIAN_POINT('',(7.82625804724736,10.51,3.908)); #48819=CARTESIAN_POINT('',(9.9,10.51,3.053)); #48820=CARTESIAN_POINT('',(9.9,10.69,3.053)); #48821=CARTESIAN_POINT('',(7.82625804724736,10.69,3.053)); #48822=CARTESIAN_POINT('',(7.82625804724736,10.51,3.053)); #48823=CARTESIAN_POINT('',(9.9,10.69,3.908)); #48824=CARTESIAN_POINT('',(9.9,10.69,3.173)); #48825=CARTESIAN_POINT('',(9.7,10.69,3.223)); #48826=CARTESIAN_POINT('',(7.82625804724736,10.69,3.908)); #48827=CARTESIAN_POINT('',(9.7,11.01,3.223)); #48828=CARTESIAN_POINT('',(9.9,11.01,3.173)); #48829=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48830=CARTESIAN_POINT('',(9.7,7.55,3.223)); #48831=CARTESIAN_POINT('',(9.9,11.01,3.908)); #48832=CARTESIAN_POINT('',(9.9,11.01,3.053)); #48833=CARTESIAN_POINT('',(7.82625804724736,11.01,3.053)); #48834=CARTESIAN_POINT('',(7.82625804724736,11.01,3.908)); #48835=CARTESIAN_POINT('',(9.9,11.01,3.053)); #48836=CARTESIAN_POINT('',(9.9,11.19,3.053)); #48837=CARTESIAN_POINT('',(7.82625804724736,11.19,3.053)); #48838=CARTESIAN_POINT('',(7.82625804724736,11.01,3.053)); #48839=CARTESIAN_POINT('',(9.9,11.19,3.908)); #48840=CARTESIAN_POINT('',(9.9,11.19,3.173)); #48841=CARTESIAN_POINT('',(9.7,11.19,3.223)); #48842=CARTESIAN_POINT('',(7.82625804724736,11.19,3.908)); #48843=CARTESIAN_POINT('',(9.7,11.51,3.223)); #48844=CARTESIAN_POINT('',(9.9,11.51,3.173)); #48845=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48846=CARTESIAN_POINT('',(9.7,7.55,3.223)); #48847=CARTESIAN_POINT('',(9.9,11.51,3.908)); #48848=CARTESIAN_POINT('',(9.9,11.51,3.053)); #48849=CARTESIAN_POINT('',(7.82625804724736,11.51,3.053)); #48850=CARTESIAN_POINT('',(7.82625804724736,11.51,3.908)); #48851=CARTESIAN_POINT('',(9.9,11.51,3.053)); #48852=CARTESIAN_POINT('',(9.9,11.69,3.053)); #48853=CARTESIAN_POINT('',(7.82625804724736,11.69,3.053)); #48854=CARTESIAN_POINT('',(7.82625804724736,11.51,3.053)); #48855=CARTESIAN_POINT('',(9.9,11.69,3.908)); #48856=CARTESIAN_POINT('',(9.9,11.69,3.173)); #48857=CARTESIAN_POINT('',(9.7,11.69,3.223)); #48858=CARTESIAN_POINT('',(7.82625804724736,11.69,3.908)); #48859=CARTESIAN_POINT('',(9.9,7.55,3.173)); #48860=CARTESIAN_POINT('',(9.9,12.01,3.173)); #48861=CARTESIAN_POINT('',(9.7,12.01,3.223)); #48862=CARTESIAN_POINT('',(9.7,5.55,3.223)); #48863=CARTESIAN_POINT('',(9.9,12.01,3.908)); #48864=CARTESIAN_POINT('',(9.9,12.01,3.053)); #48865=CARTESIAN_POINT('',(7.82625804724736,12.01,3.053)); #48866=CARTESIAN_POINT('',(7.82625804724736,12.01,3.908)); #48867=CARTESIAN_POINT('',(9.9,12.01,3.053)); #48868=CARTESIAN_POINT('',(9.9,12.19,3.053)); #48869=CARTESIAN_POINT('',(7.82625804724736,12.19,3.053)); #48870=CARTESIAN_POINT('',(7.82625804724736,12.01,3.053)); #48871=CARTESIAN_POINT('',(9.9,12.19,3.908)); #48872=CARTESIAN_POINT('',(9.9,12.19,3.173)); #48873=CARTESIAN_POINT('',(9.7,12.19,3.223)); #48874=CARTESIAN_POINT('',(7.82625804724736,12.19,3.908)); #48875=CARTESIAN_POINT('',(9.7,12.51,3.223)); #48876=CARTESIAN_POINT('',(9.9,12.51,3.173)); #48877=CARTESIAN_POINT('',(9.9,5.55,3.173)); #48878=CARTESIAN_POINT('',(9.7,5.55,3.223)); #48879=CARTESIAN_POINT('',(9.9,12.51,3.908)); #48880=CARTESIAN_POINT('',(9.9,12.51,3.053)); #48881=CARTESIAN_POINT('',(7.82625804724736,12.51,3.053)); #48882=CARTESIAN_POINT('',(7.82625804724736,12.51,3.908)); #48883=CARTESIAN_POINT('',(9.9,12.51,3.053)); #48884=CARTESIAN_POINT('',(9.9,12.69,3.053)); #48885=CARTESIAN_POINT('',(7.82625804724736,12.69,3.053)); #48886=CARTESIAN_POINT('',(7.82625804724736,12.51,3.053)); #48887=CARTESIAN_POINT('',(9.9,12.69,3.908)); #48888=CARTESIAN_POINT('',(9.9,12.69,3.173)); #48889=CARTESIAN_POINT('',(9.7,12.69,3.223)); #48890=CARTESIAN_POINT('',(7.82625804724736,12.69,3.908)); #48891=CARTESIAN_POINT('',(9.7,13.01,3.223)); #48892=CARTESIAN_POINT('',(9.9,13.01,3.173)); #48893=CARTESIAN_POINT('',(9.9,5.55,3.173)); #48894=CARTESIAN_POINT('',(9.7,5.55,3.223)); #48895=CARTESIAN_POINT('',(9.9,13.01,3.908)); #48896=CARTESIAN_POINT('',(9.9,13.01,3.053)); #48897=CARTESIAN_POINT('',(7.82625804724736,13.01,3.053)); #48898=CARTESIAN_POINT('',(7.82625804724736,13.01,3.908)); #48899=CARTESIAN_POINT('',(9.9,13.01,3.053)); #48900=CARTESIAN_POINT('',(9.9,13.19,3.053)); #48901=CARTESIAN_POINT('',(7.82625804724736,13.19,3.053)); #48902=CARTESIAN_POINT('',(7.82625804724736,13.01,3.053)); #48903=CARTESIAN_POINT('',(9.9,13.19,3.908)); #48904=CARTESIAN_POINT('',(9.9,13.19,3.173)); #48905=CARTESIAN_POINT('',(9.7,13.19,3.223)); #48906=CARTESIAN_POINT('',(7.82625804724736,13.19,3.908)); #48907=CARTESIAN_POINT('',(9.7,13.51,3.223)); #48908=CARTESIAN_POINT('',(9.9,13.51,3.173)); #48909=CARTESIAN_POINT('',(9.9,5.55,3.173)); #48910=CARTESIAN_POINT('',(9.7,5.55,3.223)); #48911=CARTESIAN_POINT('',(9.9,13.51,3.908)); #48912=CARTESIAN_POINT('',(9.9,13.51,3.053)); #48913=CARTESIAN_POINT('',(7.82625804724736,13.51,3.053)); #48914=CARTESIAN_POINT('',(7.82625804724736,13.51,3.908)); #48915=CARTESIAN_POINT('',(9.9,13.51,3.053)); #48916=CARTESIAN_POINT('',(9.9,13.69,3.053)); #48917=CARTESIAN_POINT('',(7.82625804724736,13.69,3.053)); #48918=CARTESIAN_POINT('',(7.82625804724736,13.51,3.053)); #48919=CARTESIAN_POINT('',(9.9,13.69,3.908)); #48920=CARTESIAN_POINT('',(9.9,13.69,3.173)); #48921=CARTESIAN_POINT('',(9.7,13.69,3.223)); #48922=CARTESIAN_POINT('',(7.82625804724736,13.69,3.908)); #48923=CARTESIAN_POINT('',(9.7,14.01,3.223)); #48924=CARTESIAN_POINT('',(9.9,14.01,3.173)); #48925=CARTESIAN_POINT('',(9.9,5.55,3.173)); #48926=CARTESIAN_POINT('',(9.7,5.55,3.223)); #48927=CARTESIAN_POINT('',(9.9,14.01,3.908)); #48928=CARTESIAN_POINT('',(9.9,14.01,3.053)); #48929=CARTESIAN_POINT('',(7.82625804724736,14.01,3.053)); #48930=CARTESIAN_POINT('',(7.82625804724736,14.01,3.908)); #48931=CARTESIAN_POINT('',(9.9,14.01,3.053)); #48932=CARTESIAN_POINT('',(9.9,14.19,3.053)); #48933=CARTESIAN_POINT('',(7.82625804724736,14.19,3.053)); #48934=CARTESIAN_POINT('',(7.82625804724736,14.01,3.053)); #48935=CARTESIAN_POINT('',(9.9,14.19,3.908)); #48936=CARTESIAN_POINT('',(9.9,14.19,3.173)); #48937=CARTESIAN_POINT('',(9.7,14.19,3.223)); #48938=CARTESIAN_POINT('',(7.82625804724736,14.19,3.908)); #48939=CARTESIAN_POINT('',(9.7,14.51,3.223)); #48940=CARTESIAN_POINT('',(9.9,14.51,3.173)); #48941=CARTESIAN_POINT('',(9.9,5.55,3.173)); #48942=CARTESIAN_POINT('',(9.7,5.55,3.223)); #48943=CARTESIAN_POINT('',(9.9,14.51,3.908)); #48944=CARTESIAN_POINT('',(9.9,14.51,3.053)); #48945=CARTESIAN_POINT('',(7.82625804724736,14.51,3.053)); #48946=CARTESIAN_POINT('',(7.82625804724736,14.51,3.908)); #48947=CARTESIAN_POINT('',(9.9,14.51,3.053)); #48948=CARTESIAN_POINT('',(9.9,14.69,3.053)); #48949=CARTESIAN_POINT('',(7.82625804724736,14.69,3.053)); #48950=CARTESIAN_POINT('',(7.82625804724736,14.51,3.053)); #48951=CARTESIAN_POINT('',(9.9,14.69,3.908)); #48952=CARTESIAN_POINT('',(9.9,14.69,3.173)); #48953=CARTESIAN_POINT('',(9.7,14.69,3.223)); #48954=CARTESIAN_POINT('',(7.82625804724736,14.69,3.908)); #48955=CARTESIAN_POINT('',(9.7,15.01,3.223)); #48956=CARTESIAN_POINT('',(9.9,15.01,3.173)); #48957=CARTESIAN_POINT('',(9.9,5.55,3.173)); #48958=CARTESIAN_POINT('',(9.7,5.55,3.223)); #48959=CARTESIAN_POINT('',(9.9,15.01,3.908)); #48960=CARTESIAN_POINT('',(9.9,15.01,3.053)); #48961=CARTESIAN_POINT('',(7.82625804724736,15.01,3.053)); #48962=CARTESIAN_POINT('',(7.82625804724736,15.01,3.908)); #48963=CARTESIAN_POINT('',(9.9,15.01,3.053)); #48964=CARTESIAN_POINT('',(9.9,15.19,3.053)); #48965=CARTESIAN_POINT('',(7.82625804724736,15.19,3.053)); #48966=CARTESIAN_POINT('',(7.82625804724736,15.01,3.053)); #48967=CARTESIAN_POINT('',(9.9,15.19,3.908)); #48968=CARTESIAN_POINT('',(9.9,15.19,3.173)); #48969=CARTESIAN_POINT('',(9.7,15.19,3.223)); #48970=CARTESIAN_POINT('',(7.82625804724736,15.19,3.908)); #48971=CARTESIAN_POINT('',(9.7,15.51,3.223)); #48972=CARTESIAN_POINT('',(9.9,15.51,3.173)); #48973=CARTESIAN_POINT('',(9.9,5.55,3.173)); #48974=CARTESIAN_POINT('',(9.7,5.55,3.223)); #48975=CARTESIAN_POINT('',(9.9,15.51,3.908)); #48976=CARTESIAN_POINT('',(9.9,15.51,3.053)); #48977=CARTESIAN_POINT('',(7.82625804724736,15.51,3.053)); #48978=CARTESIAN_POINT('',(7.82625804724736,15.51,3.908)); #48979=CARTESIAN_POINT('',(9.9,15.51,3.053)); #48980=CARTESIAN_POINT('',(9.9,15.69,3.053)); #48981=CARTESIAN_POINT('',(7.82625804724736,15.69,3.053)); #48982=CARTESIAN_POINT('',(7.82625804724736,15.51,3.053)); #48983=CARTESIAN_POINT('',(9.9,15.69,3.908)); #48984=CARTESIAN_POINT('',(9.9,15.69,3.173)); #48985=CARTESIAN_POINT('',(9.7,15.69,3.223)); #48986=CARTESIAN_POINT('',(7.82625804724736,15.69,3.908)); #48987=CARTESIAN_POINT('',(8.3,16.35,2.938)); #48988=CARTESIAN_POINT('',(8.3,16.35,2.938)); #48989=CARTESIAN_POINT('',(8.3,16.35,2.938)); #48990=CARTESIAN_POINT('',(6.5,16.35,2.938)); #48991=CARTESIAN_POINT('',(6.5,16.35,2.938)); #48992=CARTESIAN_POINT('',(6.5,16.85,2.938)); #48993=CARTESIAN_POINT('',(9.25,16.85,2.938)); #48994=CARTESIAN_POINT('',(9.9,16.2925,2.938)); #48995=CARTESIAN_POINT('',(9.9,16.2925,2.938)); #48996=CARTESIAN_POINT('',(9.9,16.2925,2.938)); #48997=CARTESIAN_POINT('',(9.9,7.4075,2.938)); #48998=CARTESIAN_POINT('',(9.9,7.4075,2.938)); #48999=CARTESIAN_POINT('',(9.25,6.85,2.938)); #49000=CARTESIAN_POINT('',(6.5,6.85,2.938)); #49001=CARTESIAN_POINT('',(6.5,6.85,2.938)); #49002=CARTESIAN_POINT('',(6.5,7.35,2.938)); #49003=CARTESIAN_POINT('',(8.3,7.35,2.938)); #49004=CARTESIAN_POINT('',(8.3,7.35,2.938)); #49005=CARTESIAN_POINT('',(8.3,18.35,2.938)); #49006=CARTESIAN_POINT('',(6.5,5.35,2.938)); #49007=CARTESIAN_POINT('',(9.7,16.15,3.638)); #49008=CARTESIAN_POINT('',(9.7,16.15,3.668)); #49009=CARTESIAN_POINT('',(9.185,16.15,3.153)); #49010=CARTESIAN_POINT('',(8.7,16.15,3.638)); #49011=CARTESIAN_POINT('',(9.9,5.55,3.173)); #49012=CARTESIAN_POINT('',(9.9,16.23,3.173)); #49013=CARTESIAN_POINT('',(9.61513292433538,16.1160531697342,3.24421676891616)); #49014=CARTESIAN_POINT('',(9.7,5.55,3.223)); #49015=CARTESIAN_POINT('',(9.75,11.69,3.718)); #49016=CARTESIAN_POINT('',(9.75,11.69,3.718)); #49017=CARTESIAN_POINT('',(9.75,11.69,2.937)); #49018=CARTESIAN_POINT('',(9.75,11.69,3.908)); #49019=CARTESIAN_POINT('',(7.82625804724736,11.69,3.908)); #49020=CARTESIAN_POINT('',(7.82625804724736,11.69,3.908)); #49021=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49022=CARTESIAN_POINT('',(7.82625804724736,11.51,3.908)); #49023=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49024=CARTESIAN_POINT('',(9.76,11.66,3.908)); #49025=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49026=CARTESIAN_POINT('',(9.75,11.51,3.908)); #49027=CARTESIAN_POINT('',(7.82625804724736,11.51,3.908)); #49028=CARTESIAN_POINT('',(7.82625804724736,11.51,3.908)); #49029=CARTESIAN_POINT('',(9.75,11.51,2.937)); #49030=CARTESIAN_POINT('',(9.75,11.51,3.718)); #49031=CARTESIAN_POINT('',(9.75,11.51,3.718)); #49032=CARTESIAN_POINT('',(7.82625804724736,11.51,3.908)); #49033=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49034=CARTESIAN_POINT('',(9.75,11.19,3.718)); #49035=CARTESIAN_POINT('',(9.75,11.19,3.718)); #49036=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49037=CARTESIAN_POINT('',(9.75,11.19,2.937)); #49038=CARTESIAN_POINT('',(9.75,11.19,3.908)); #49039=CARTESIAN_POINT('',(7.82625804724736,11.19,3.908)); #49040=CARTESIAN_POINT('',(7.82625804724736,11.19,3.908)); #49041=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49042=CARTESIAN_POINT('',(7.82625804724736,11.01,3.908)); #49043=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49044=CARTESIAN_POINT('',(9.76,11.16,3.908)); #49045=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49046=CARTESIAN_POINT('',(9.75,11.01,3.908)); #49047=CARTESIAN_POINT('',(7.82625804724736,11.01,3.908)); #49048=CARTESIAN_POINT('',(7.82625804724736,11.01,3.908)); #49049=CARTESIAN_POINT('',(9.75,11.01,2.937)); #49050=CARTESIAN_POINT('',(9.75,11.01,3.718)); #49051=CARTESIAN_POINT('',(9.75,11.01,3.718)); #49052=CARTESIAN_POINT('',(7.82625804724736,11.01,3.908)); #49053=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49054=CARTESIAN_POINT('',(9.75,10.69,3.718)); #49055=CARTESIAN_POINT('',(9.75,10.69,3.718)); #49056=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49057=CARTESIAN_POINT('',(9.75,10.69,2.937)); #49058=CARTESIAN_POINT('',(9.75,10.69,3.908)); #49059=CARTESIAN_POINT('',(7.82625804724736,10.69,3.908)); #49060=CARTESIAN_POINT('',(7.82625804724736,10.69,3.908)); #49061=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49062=CARTESIAN_POINT('',(7.82625804724736,10.51,3.908)); #49063=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49064=CARTESIAN_POINT('',(9.76,10.66,3.908)); #49065=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49066=CARTESIAN_POINT('',(9.75,10.51,3.908)); #49067=CARTESIAN_POINT('',(7.82625804724736,10.51,3.908)); #49068=CARTESIAN_POINT('',(7.82625804724736,10.51,3.908)); #49069=CARTESIAN_POINT('',(9.75,10.51,2.937)); #49070=CARTESIAN_POINT('',(9.75,10.51,3.718)); #49071=CARTESIAN_POINT('',(9.75,10.51,3.718)); #49072=CARTESIAN_POINT('',(7.82625804724736,10.51,3.908)); #49073=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49074=CARTESIAN_POINT('',(9.75,10.19,3.718)); #49075=CARTESIAN_POINT('',(9.75,10.19,3.718)); #49076=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49077=CARTESIAN_POINT('',(9.75,10.19,2.937)); #49078=CARTESIAN_POINT('',(9.75,10.19,3.908)); #49079=CARTESIAN_POINT('',(7.82625804724736,10.19,3.908)); #49080=CARTESIAN_POINT('',(7.82625804724736,10.19,3.908)); #49081=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49082=CARTESIAN_POINT('',(7.82625804724736,10.01,3.908)); #49083=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49084=CARTESIAN_POINT('',(9.76,10.16,3.908)); #49085=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49086=CARTESIAN_POINT('',(9.75,10.01,3.908)); #49087=CARTESIAN_POINT('',(7.82625804724736,10.01,3.908)); #49088=CARTESIAN_POINT('',(7.82625804724736,10.01,3.908)); #49089=CARTESIAN_POINT('',(9.75,10.01,2.937)); #49090=CARTESIAN_POINT('',(9.75,10.01,3.718)); #49091=CARTESIAN_POINT('',(9.75,10.01,3.718)); #49092=CARTESIAN_POINT('',(7.82625804724736,10.01,3.908)); #49093=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49094=CARTESIAN_POINT('',(9.75,9.69,3.718)); #49095=CARTESIAN_POINT('',(9.75,9.69,3.718)); #49096=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49097=CARTESIAN_POINT('',(9.75,9.69,2.937)); #49098=CARTESIAN_POINT('',(9.75,9.69,3.908)); #49099=CARTESIAN_POINT('',(7.82625804724736,9.69,3.908)); #49100=CARTESIAN_POINT('',(7.82625804724736,9.69,3.908)); #49101=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49102=CARTESIAN_POINT('',(7.82625804724736,9.51,3.908)); #49103=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49104=CARTESIAN_POINT('',(9.76,9.66,3.908)); #49105=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49106=CARTESIAN_POINT('',(9.75,9.51,3.908)); #49107=CARTESIAN_POINT('',(7.82625804724736,9.51,3.908)); #49108=CARTESIAN_POINT('',(7.82625804724736,9.51,3.908)); #49109=CARTESIAN_POINT('',(9.75,9.51,2.937)); #49110=CARTESIAN_POINT('',(9.75,9.51,3.718)); #49111=CARTESIAN_POINT('',(9.75,9.51,3.718)); #49112=CARTESIAN_POINT('',(7.82625804724736,9.51,3.908)); #49113=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49114=CARTESIAN_POINT('',(9.75,9.19,3.718)); #49115=CARTESIAN_POINT('',(9.75,9.19,3.718)); #49116=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49117=CARTESIAN_POINT('',(9.75,9.19,2.937)); #49118=CARTESIAN_POINT('',(9.75,9.19,3.908)); #49119=CARTESIAN_POINT('',(7.82625804724736,9.19,3.908)); #49120=CARTESIAN_POINT('',(7.82625804724736,9.19,3.908)); #49121=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49122=CARTESIAN_POINT('',(7.82625804724736,9.01,3.908)); #49123=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49124=CARTESIAN_POINT('',(9.76,9.16,3.908)); #49125=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49126=CARTESIAN_POINT('',(9.75,9.01,3.908)); #49127=CARTESIAN_POINT('',(7.82625804724736,9.01,3.908)); #49128=CARTESIAN_POINT('',(7.82625804724736,9.01,3.908)); #49129=CARTESIAN_POINT('',(9.75,9.01,2.937)); #49130=CARTESIAN_POINT('',(9.75,9.01,3.718)); #49131=CARTESIAN_POINT('',(9.75,9.01,3.718)); #49132=CARTESIAN_POINT('',(7.82625804724736,9.01,3.908)); #49133=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49134=CARTESIAN_POINT('',(9.75,8.69,3.718)); #49135=CARTESIAN_POINT('',(9.75,8.69,3.718)); #49136=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49137=CARTESIAN_POINT('',(9.75,8.69,2.937)); #49138=CARTESIAN_POINT('',(9.75,8.69,3.908)); #49139=CARTESIAN_POINT('',(7.82625804724736,8.69,3.908)); #49140=CARTESIAN_POINT('',(7.82625804724736,8.69,3.908)); #49141=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49142=CARTESIAN_POINT('',(7.82625804724736,8.50999999999999,3.908)); #49143=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49144=CARTESIAN_POINT('',(9.76,8.66,3.908)); #49145=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49146=CARTESIAN_POINT('',(9.75,8.50999999999999,3.908)); #49147=CARTESIAN_POINT('',(7.82625804724736,8.50999999999999,3.908)); #49148=CARTESIAN_POINT('',(7.82625804724736,8.50999999999999,3.908)); #49149=CARTESIAN_POINT('',(9.75,8.50999999999999,2.937)); #49150=CARTESIAN_POINT('',(9.75,8.50999999999999,3.718)); #49151=CARTESIAN_POINT('',(9.75,8.50999999999999,3.718)); #49152=CARTESIAN_POINT('',(7.82625804724736,8.50999999999999,3.908)); #49153=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49154=CARTESIAN_POINT('',(9.75,8.19,3.718)); #49155=CARTESIAN_POINT('',(9.75,8.19,3.718)); #49156=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49157=CARTESIAN_POINT('',(9.75,8.19,2.937)); #49158=CARTESIAN_POINT('',(9.75,8.19,3.908)); #49159=CARTESIAN_POINT('',(7.82625804724736,8.19,3.908)); #49160=CARTESIAN_POINT('',(7.82625804724736,8.19,3.908)); #49161=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49162=CARTESIAN_POINT('',(7.82625804724736,8.01,3.908)); #49163=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49164=CARTESIAN_POINT('',(9.76,8.15999999999999,3.908)); #49165=CARTESIAN_POINT('',(9.75,18.17,3.908)); #49166=CARTESIAN_POINT('',(9.75,8.01,3.908)); #49167=CARTESIAN_POINT('',(7.82625804724736,8.01,3.908)); #49168=CARTESIAN_POINT('',(7.82625804724736,8.01,3.908)); #49169=CARTESIAN_POINT('',(9.75,8.01,2.937)); #49170=CARTESIAN_POINT('',(9.75,8.01,3.718)); #49171=CARTESIAN_POINT('',(9.75,8.01,3.718)); #49172=CARTESIAN_POINT('',(7.82625804724736,8.01,3.908)); #49173=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49174=CARTESIAN_POINT('',(9.75,7.53,3.718)); #49175=CARTESIAN_POINT('',(7.77962962962961,8.31814814814815,1.74762962962963)); #49176=CARTESIAN_POINT('',(9.75,18.17,3.718)); #49177=CARTESIAN_POINT('',(9.75,15.69,3.718)); #49178=CARTESIAN_POINT('',(9.75,15.69,3.718)); #49179=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49180=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49181=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49182=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49183=CARTESIAN_POINT('',(9.75,15.69,2.937)); #49184=CARTESIAN_POINT('',(9.75,15.69,3.908)); #49185=CARTESIAN_POINT('',(7.82625804724736,15.69,3.908)); #49186=CARTESIAN_POINT('',(7.82625804724736,15.69,3.908)); #49187=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49188=CARTESIAN_POINT('',(7.82625804724736,15.51,3.908)); #49189=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49190=CARTESIAN_POINT('',(9.76,15.66,3.908)); #49191=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49192=CARTESIAN_POINT('',(9.75,15.51,3.908)); #49193=CARTESIAN_POINT('',(7.82625804724736,15.51,3.908)); #49194=CARTESIAN_POINT('',(7.82625804724736,15.51,3.908)); #49195=CARTESIAN_POINT('',(9.75,15.51,2.937)); #49196=CARTESIAN_POINT('',(9.75,15.51,3.718)); #49197=CARTESIAN_POINT('',(9.75,15.51,3.718)); #49198=CARTESIAN_POINT('',(7.82625804724736,15.51,3.908)); #49199=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49200=CARTESIAN_POINT('',(9.75,15.19,3.718)); #49201=CARTESIAN_POINT('',(9.75,15.19,3.718)); #49202=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49203=CARTESIAN_POINT('',(9.75,15.19,2.937)); #49204=CARTESIAN_POINT('',(9.75,15.19,3.908)); #49205=CARTESIAN_POINT('',(7.82625804724736,15.19,3.908)); #49206=CARTESIAN_POINT('',(7.82625804724736,15.19,3.908)); #49207=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49208=CARTESIAN_POINT('',(7.82625804724736,15.01,3.908)); #49209=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49210=CARTESIAN_POINT('',(9.76,15.16,3.908)); #49211=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49212=CARTESIAN_POINT('',(9.75,15.01,3.908)); #49213=CARTESIAN_POINT('',(7.82625804724736,15.01,3.908)); #49214=CARTESIAN_POINT('',(7.82625804724736,15.01,3.908)); #49215=CARTESIAN_POINT('',(9.75,15.01,2.937)); #49216=CARTESIAN_POINT('',(9.75,15.01,3.718)); #49217=CARTESIAN_POINT('',(9.75,15.01,3.718)); #49218=CARTESIAN_POINT('',(7.82625804724736,15.01,3.908)); #49219=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49220=CARTESIAN_POINT('',(9.75,14.69,3.718)); #49221=CARTESIAN_POINT('',(9.75,14.69,3.718)); #49222=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49223=CARTESIAN_POINT('',(9.75,14.69,2.937)); #49224=CARTESIAN_POINT('',(9.75,14.69,3.908)); #49225=CARTESIAN_POINT('',(7.82625804724736,14.69,3.908)); #49226=CARTESIAN_POINT('',(7.82625804724736,14.69,3.908)); #49227=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49228=CARTESIAN_POINT('',(7.82625804724736,14.51,3.908)); #49229=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49230=CARTESIAN_POINT('',(9.76,14.66,3.908)); #49231=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49232=CARTESIAN_POINT('',(9.75,14.51,3.908)); #49233=CARTESIAN_POINT('',(7.82625804724736,14.51,3.908)); #49234=CARTESIAN_POINT('',(7.82625804724736,14.51,3.908)); #49235=CARTESIAN_POINT('',(9.75,14.51,2.937)); #49236=CARTESIAN_POINT('',(9.75,14.51,3.718)); #49237=CARTESIAN_POINT('',(9.75,14.51,3.718)); #49238=CARTESIAN_POINT('',(7.82625804724736,14.51,3.908)); #49239=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49240=CARTESIAN_POINT('',(9.75,14.19,3.718)); #49241=CARTESIAN_POINT('',(9.75,14.19,3.718)); #49242=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49243=CARTESIAN_POINT('',(9.75,14.19,2.937)); #49244=CARTESIAN_POINT('',(9.75,14.19,3.908)); #49245=CARTESIAN_POINT('',(7.82625804724736,14.19,3.908)); #49246=CARTESIAN_POINT('',(7.82625804724736,14.19,3.908)); #49247=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49248=CARTESIAN_POINT('',(7.82625804724736,14.01,3.908)); #49249=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49250=CARTESIAN_POINT('',(9.76,14.16,3.908)); #49251=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49252=CARTESIAN_POINT('',(9.75,14.01,3.908)); #49253=CARTESIAN_POINT('',(7.82625804724736,14.01,3.908)); #49254=CARTESIAN_POINT('',(7.82625804724736,14.01,3.908)); #49255=CARTESIAN_POINT('',(9.75,14.01,2.937)); #49256=CARTESIAN_POINT('',(9.75,14.01,3.718)); #49257=CARTESIAN_POINT('',(9.75,14.01,3.718)); #49258=CARTESIAN_POINT('',(7.82625804724736,14.01,3.908)); #49259=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49260=CARTESIAN_POINT('',(9.75,13.69,3.718)); #49261=CARTESIAN_POINT('',(9.75,13.69,3.718)); #49262=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49263=CARTESIAN_POINT('',(9.75,13.69,2.937)); #49264=CARTESIAN_POINT('',(9.75,13.69,3.908)); #49265=CARTESIAN_POINT('',(7.82625804724736,13.69,3.908)); #49266=CARTESIAN_POINT('',(7.82625804724736,13.69,3.908)); #49267=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49268=CARTESIAN_POINT('',(7.82625804724736,13.51,3.908)); #49269=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49270=CARTESIAN_POINT('',(9.76,13.66,3.908)); #49271=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49272=CARTESIAN_POINT('',(9.75,13.51,3.908)); #49273=CARTESIAN_POINT('',(7.82625804724736,13.51,3.908)); #49274=CARTESIAN_POINT('',(7.82625804724736,13.51,3.908)); #49275=CARTESIAN_POINT('',(9.75,13.51,2.937)); #49276=CARTESIAN_POINT('',(9.75,13.51,3.718)); #49277=CARTESIAN_POINT('',(9.75,13.51,3.718)); #49278=CARTESIAN_POINT('',(7.82625804724736,13.51,3.908)); #49279=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49280=CARTESIAN_POINT('',(9.75,13.19,3.718)); #49281=CARTESIAN_POINT('',(9.75,13.19,3.718)); #49282=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49283=CARTESIAN_POINT('',(9.75,13.19,2.937)); #49284=CARTESIAN_POINT('',(9.75,13.19,3.908)); #49285=CARTESIAN_POINT('',(7.82625804724736,13.19,3.908)); #49286=CARTESIAN_POINT('',(7.82625804724736,13.19,3.908)); #49287=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49288=CARTESIAN_POINT('',(7.82625804724736,13.01,3.908)); #49289=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49290=CARTESIAN_POINT('',(9.76,13.16,3.908)); #49291=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49292=CARTESIAN_POINT('',(9.75,13.01,3.908)); #49293=CARTESIAN_POINT('',(7.82625804724736,13.01,3.908)); #49294=CARTESIAN_POINT('',(7.82625804724736,13.01,3.908)); #49295=CARTESIAN_POINT('',(9.75,13.01,2.937)); #49296=CARTESIAN_POINT('',(9.75,13.01,3.718)); #49297=CARTESIAN_POINT('',(9.75,13.01,3.718)); #49298=CARTESIAN_POINT('',(7.82625804724736,13.01,3.908)); #49299=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49300=CARTESIAN_POINT('',(9.75,12.69,3.718)); #49301=CARTESIAN_POINT('',(9.75,12.69,3.718)); #49302=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49303=CARTESIAN_POINT('',(9.75,12.69,2.937)); #49304=CARTESIAN_POINT('',(9.75,12.69,3.908)); #49305=CARTESIAN_POINT('',(7.82625804724736,12.69,3.908)); #49306=CARTESIAN_POINT('',(7.82625804724736,12.69,3.908)); #49307=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49308=CARTESIAN_POINT('',(7.82625804724736,12.51,3.908)); #49309=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49310=CARTESIAN_POINT('',(9.76,12.66,3.908)); #49311=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49312=CARTESIAN_POINT('',(9.75,12.51,3.908)); #49313=CARTESIAN_POINT('',(7.82625804724736,12.51,3.908)); #49314=CARTESIAN_POINT('',(7.82625804724736,12.51,3.908)); #49315=CARTESIAN_POINT('',(9.75,12.51,2.937)); #49316=CARTESIAN_POINT('',(9.75,12.51,3.718)); #49317=CARTESIAN_POINT('',(9.75,12.51,3.718)); #49318=CARTESIAN_POINT('',(7.82625804724736,12.51,3.908)); #49319=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49320=CARTESIAN_POINT('',(9.75,12.19,3.718)); #49321=CARTESIAN_POINT('',(9.75,12.19,3.718)); #49322=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49323=CARTESIAN_POINT('',(9.75,12.19,2.937)); #49324=CARTESIAN_POINT('',(9.75,12.19,3.908)); #49325=CARTESIAN_POINT('',(7.82625804724736,12.19,3.908)); #49326=CARTESIAN_POINT('',(7.82625804724736,12.19,3.908)); #49327=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49328=CARTESIAN_POINT('',(7.82625804724736,12.01,3.908)); #49329=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49330=CARTESIAN_POINT('',(9.76,12.16,3.908)); #49331=CARTESIAN_POINT('',(9.75,16.17,3.908)); #49332=CARTESIAN_POINT('',(9.75,12.01,3.908)); #49333=CARTESIAN_POINT('',(7.82625804724736,12.01,3.908)); #49334=CARTESIAN_POINT('',(7.82625804724736,12.01,3.908)); #49335=CARTESIAN_POINT('',(9.75,12.01,2.937)); #49336=CARTESIAN_POINT('',(9.75,12.01,3.718)); #49337=CARTESIAN_POINT('',(9.75,12.01,3.718)); #49338=CARTESIAN_POINT('',(7.82625804724736,12.01,3.908)); #49339=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49340=CARTESIAN_POINT('',(9.75,16.17,3.718)); #49341=CARTESIAN_POINT('',(9.66,16.31,3.723)); #49342=CARTESIAN_POINT('',(9.66,16.31,4.023)); #49343=CARTESIAN_POINT('',(9.56,16.21,3.723)); #49344=CARTESIAN_POINT('',(9.56,16.21,4.023)); #49345=CARTESIAN_POINT('',(9.56,16.31,4.023)); #49346=CARTESIAN_POINT('',(9.56,16.31,3.723)); #49347=CARTESIAN_POINT('',(9.56,16.31,4.023)); #49348=CARTESIAN_POINT('',(9.56,16.41,4.023)); #49349=CARTESIAN_POINT('',(9.56,16.41,3.723)); #49350=CARTESIAN_POINT('',(9.56,16.31,3.723)); #49351=CARTESIAN_POINT('',(8.91,16.41,4.023)); #49352=CARTESIAN_POINT('',(8.91,16.41,4.023)); #49353=CARTESIAN_POINT('',(8.91,16.41,3.723)); #49354=CARTESIAN_POINT('',(8.91,16.41,3.723)); #49355=CARTESIAN_POINT('',(8.91,16.31,4.023)); #49356=CARTESIAN_POINT('',(8.91,16.21,4.023)); #49357=CARTESIAN_POINT('',(8.91,16.21,3.723)); #49358=CARTESIAN_POINT('',(8.91,16.31,3.723)); #49359=CARTESIAN_POINT('',(8.91,16.21,4.023)); #49360=CARTESIAN_POINT('',(8.91,16.21,3.723)); #49361=CARTESIAN_POINT('',(9.75,7.53,2.937)); #49362=CARTESIAN_POINT('',(9.75,7.53,4.023)); #49363=CARTESIAN_POINT('',(9.9,7.47,4.023)); #49364=CARTESIAN_POINT('',(9.9,7.47,4.023)); #49365=CARTESIAN_POINT('',(9.9,7.47,3.638)); #49366=CARTESIAN_POINT('',(9.7,7.55,3.638)); #49367=CARTESIAN_POINT('',(9.9,7.4075,4.023)); #49368=CARTESIAN_POINT('',(9.7,7.2075,4.023)); #49369=CARTESIAN_POINT('',(9.9,7.4075,4.023)); #49370=CARTESIAN_POINT('',(9.7,7.2075,2.938)); #49371=CARTESIAN_POINT('',(9.9,7.4075,2.938)); #49372=CARTESIAN_POINT('',(9.9,7.4075,2.938)); #49373=CARTESIAN_POINT('',(9.7,7.0125,2.938)); #49374=CARTESIAN_POINT('',(9.7,7.0125,4.023)); #49375=CARTESIAN_POINT('',(9.7,7.2075,4.023)); #49376=CARTESIAN_POINT('',(9.7,7.2075,2.938)); #49377=CARTESIAN_POINT('',(9.7,7.0125,4.023)); #49378=CARTESIAN_POINT('',(9.25,7.0125,4.023)); #49379=CARTESIAN_POINT('',(9.25,7.0125,2.938)); #49380=CARTESIAN_POINT('',(9.7,7.0125,2.938)); #49381=CARTESIAN_POINT('',(9.25,7.0125,4.023)); #49382=CARTESIAN_POINT('',(9.25,6.85,4.023)); #49383=CARTESIAN_POINT('',(9.25,6.85,2.938)); #49384=CARTESIAN_POINT('',(9.25,7.0125,2.938)); #49385=CARTESIAN_POINT('',(9.25,6.85,4.023)); #49386=CARTESIAN_POINT('',(6.5,6.85,4.023)); #49387=CARTESIAN_POINT('',(6.5,6.85,2.938)); #49388=CARTESIAN_POINT('',(9.25,6.85,2.938)); #49389=CARTESIAN_POINT('',(6.5,6.85,4.023)); #49390=CARTESIAN_POINT('',(6.5,7.35,4.023)); #49391=CARTESIAN_POINT('',(6.5,7.35,2.938)); #49392=CARTESIAN_POINT('',(6.5,6.85,2.938)); #49393=CARTESIAN_POINT('',(8.3,7.35,4.023)); #49394=CARTESIAN_POINT('',(8.3,7.35,4.023)); #49395=CARTESIAN_POINT('',(8.3,7.35,2.938)); #49396=CARTESIAN_POINT('',(8.3,7.35,2.938)); #49397=CARTESIAN_POINT('',(8.3,16.35,4.023)); #49398=CARTESIAN_POINT('',(8.3,16.35,4.023)); #49399=CARTESIAN_POINT('',(8.3,16.35,2.938)); #49400=CARTESIAN_POINT('',(8.3,16.35,2.938)); #49401=CARTESIAN_POINT('',(8.3,16.35,4.023)); #49402=CARTESIAN_POINT('',(6.5,16.35,4.023)); #49403=CARTESIAN_POINT('',(6.5,16.35,2.938)); #49404=CARTESIAN_POINT('',(8.3,16.35,2.938)); #49405=CARTESIAN_POINT('',(6.5,16.35,4.023)); #49406=CARTESIAN_POINT('',(6.5,16.85,4.023)); #49407=CARTESIAN_POINT('',(6.5,16.85,2.938)); #49408=CARTESIAN_POINT('',(6.5,16.35,2.938)); #49409=CARTESIAN_POINT('',(9.25,16.85,4.023)); #49410=CARTESIAN_POINT('',(9.25,16.85,4.023)); #49411=CARTESIAN_POINT('',(9.25,16.85,2.938)); #49412=CARTESIAN_POINT('',(9.25,16.85,2.938)); #49413=CARTESIAN_POINT('',(9.25,16.6875,4.023)); #49414=CARTESIAN_POINT('',(9.25,16.6875,4.023)); #49415=CARTESIAN_POINT('',(9.25,16.6875,2.938)); #49416=CARTESIAN_POINT('',(9.25,16.6875,2.938)); #49417=CARTESIAN_POINT('',(9.7,16.6875,2.938)); #49418=CARTESIAN_POINT('',(9.7,16.6875,4.023)); #49419=CARTESIAN_POINT('',(9.7,16.6875,4.023)); #49420=CARTESIAN_POINT('',(9.7,16.6875,2.938)); #49421=CARTESIAN_POINT('',(9.7,16.4925,2.938)); #49422=CARTESIAN_POINT('',(9.7,16.4925,4.023)); #49423=CARTESIAN_POINT('',(9.7,16.4925,4.023)); #49424=CARTESIAN_POINT('',(9.7,16.4925,2.938)); #49425=CARTESIAN_POINT('',(9.9,16.2925,4.023)); #49426=CARTESIAN_POINT('',(9.9,16.2925,4.023)); #49427=CARTESIAN_POINT('',(9.9,16.2925,2.938)); #49428=CARTESIAN_POINT('',(9.9,16.2925,2.938)); #49429=CARTESIAN_POINT('',(9.9,16.2925,4.023)); #49430=CARTESIAN_POINT('',(9.9,16.23,4.023)); #49431=CARTESIAN_POINT('',(9.9,16.23,3.173)); #49432=CARTESIAN_POINT('',(9.9,18.2925,4.023)); #49433=CARTESIAN_POINT('',(9.9,16.2925,2.938)); #49434=CARTESIAN_POINT('',(9.75,16.17,4.023)); #49435=CARTESIAN_POINT('',(9.75,16.17,4.023)); #49436=CARTESIAN_POINT('',(9.75,16.17,2.937)); #49437=CARTESIAN_POINT('',(9.7,16.15,3.638)); #49438=CARTESIAN_POINT('',(9.75,16.17,4.023)); #49439=CARTESIAN_POINT('',(9.75,16.17,2.937)); #49440=CARTESIAN_POINT('',(8.91,7.29,4.023)); #49441=CARTESIAN_POINT('',(8.91,7.29,4.023)); #49442=CARTESIAN_POINT('',(9.56,7.29,4.023)); #49443=CARTESIAN_POINT('',(9.56,7.29,3.723)); #49444=CARTESIAN_POINT('',(9.56,7.29,3.723)); #49445=CARTESIAN_POINT('',(8.91,7.29,3.723)); #49446=CARTESIAN_POINT('',(8.91,7.29,3.723)); #49447=CARTESIAN_POINT('',(8.91,7.29,3.723)); #49448=CARTESIAN_POINT('',(8.91,7.29,3.723)); #49449=CARTESIAN_POINT('',(8.91,7.39,4.023)); #49450=CARTESIAN_POINT('',(8.91,7.49,4.023)); #49451=CARTESIAN_POINT('',(8.91,7.39,3.723)); #49452=CARTESIAN_POINT('',(8.91,7.49,3.723)); #49453=CARTESIAN_POINT('',(8.91,7.49,3.723)); #49454=CARTESIAN_POINT('',(8.91,7.39,3.723)); #49455=CARTESIAN_POINT('',(8.91,7.49,4.023)); #49456=CARTESIAN_POINT('',(9.56,7.49,4.023)); #49457=CARTESIAN_POINT('',(8.91,7.49,3.723)); #49458=CARTESIAN_POINT('',(9.56,7.49,3.723)); #49459=CARTESIAN_POINT('',(9.56,7.49,3.723)); #49460=CARTESIAN_POINT('',(8.91,7.49,3.723)); #49461=CARTESIAN_POINT('',(9.56,7.39,4.023)); #49462=CARTESIAN_POINT('',(9.66,7.39,4.023)); #49463=CARTESIAN_POINT('',(9.56,7.39,4.023)); #49464=CARTESIAN_POINT('',(6.5,5.35,4.023)); #49465=CARTESIAN_POINT('',(9.66,7.39,3.723)); #49466=CARTESIAN_POINT('',(9.66,7.39,3.723)); #49467=CARTESIAN_POINT('',(9.56,7.39,3.723)); #49468=CARTESIAN_POINT('',(9.56,7.39,3.723)); #49469=CARTESIAN_POINT('',(9.56,7.39,3.723)); #49470=CARTESIAN_POINT('',(8.91,7.39,3.723)); #49471=CARTESIAN_POINT('',(9.56,7.39,3.723)); #49472=CARTESIAN_POINT('',(9.22410473623412,19.1727762803235,5.3)); #49473=CARTESIAN_POINT('',(9.19118213323065,19.1727762803235,5.3)); #49474=CARTESIAN_POINT('',(9.15825953022719,19.1727762803235,5.3)); #49475=CARTESIAN_POINT('',(9.12533692722372,19.1727762803235,5.3)); #49476=CARTESIAN_POINT('',(9.22410473623412,19.1727762803235,5.3)); #49477=CARTESIAN_POINT('',(9.12533692722372,19.1727762803235,5.3)); #49478=CARTESIAN_POINT('',(9.22410473623412,19.1374278013093,5.3)); #49479=CARTESIAN_POINT('',(9.22410473623412,19.1492106276474,5.3)); #49480=CARTESIAN_POINT('',(9.22410473623412,19.1609934539854,5.3)); #49481=CARTESIAN_POINT('',(9.22410473623412,19.1727762803235,5.3)); #49482=CARTESIAN_POINT('',(9.22410473623412,19.1374278013093,5.3)); #49483=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.3)); #49484=CARTESIAN_POINT('',(9.06954177897574,19.1374278013093,5.3)); #49485=CARTESIAN_POINT('',(9.14682325760493,19.1374278013093,5.3)); #49486=CARTESIAN_POINT('',(9.22410473623412,19.1374278013093,5.3)); #49487=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.3)); #49488=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.3)); #49489=CARTESIAN_POINT('',(9.09449364651521,19.3003080477475,5.3)); #49490=CARTESIAN_POINT('',(9.07924528301887,19.3058529072007,5.3)); #49491=CARTESIAN_POINT('',(9.01443973815942,19.3058529072007,5.3)); #49492=CARTESIAN_POINT('',(8.99226030034655,19.2791682710821,5.3)); #49493=CARTESIAN_POINT('',(8.99226030034655,19.1965729688102,5.3)); #49494=CARTESIAN_POINT('',(8.99226030034655,19.1670003850598,5.3)); #49495=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.3)); #49496=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.3)); #49497=CARTESIAN_POINT('',(9.12256449749711,19.245552560647,5.3)); #49498=CARTESIAN_POINT('',(9.12083172891798,19.2642664613016,5.3)); #49499=CARTESIAN_POINT('',(9.11494031574894,19.2788217173663,5.3)); #49500=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.3)); #49501=CARTESIAN_POINT('',(9.12256449749711,19.245552560647,5.3)); #49502=CARTESIAN_POINT('',(9.22410473623412,19.3120908740856,5.3)); #49503=CARTESIAN_POINT('',(9.19025798998845,19.2899114362727,5.3)); #49504=CARTESIAN_POINT('',(9.15641124374278,19.2677319984598,5.3)); #49505=CARTESIAN_POINT('',(9.12256449749711,19.245552560647,5.3)); #49506=CARTESIAN_POINT('',(9.22410473623412,19.3120908740856,5.3)); #49507=CARTESIAN_POINT('',(9.22410473623412,19.2743165190605,5.3)); #49508=CARTESIAN_POINT('',(9.22410473623412,19.2869079707355,5.3)); #49509=CARTESIAN_POINT('',(9.22410473623412,19.2994994224105,5.3)); #49510=CARTESIAN_POINT('',(9.22410473623412,19.3120908740856,5.3)); #49511=CARTESIAN_POINT('',(9.22410473623412,19.2743165190605,5.3)); #49512=CARTESIAN_POINT('',(9.12533692722372,19.2133230650752,5.3)); #49513=CARTESIAN_POINT('',(9.15825953022719,19.2336542164036,5.3)); #49514=CARTESIAN_POINT('',(9.19118213323065,19.2539853677321,5.3)); #49515=CARTESIAN_POINT('',(9.22410473623412,19.2743165190605,5.3)); #49516=CARTESIAN_POINT('',(9.12533692722372,19.2133230650752,5.3)); #49517=CARTESIAN_POINT('',(9.12533692722372,19.1727762803235,5.3)); #49518=CARTESIAN_POINT('',(9.12533692722372,19.1862918752407,5.3)); #49519=CARTESIAN_POINT('',(9.12533692722372,19.199807470158,5.3)); #49520=CARTESIAN_POINT('',(9.12533692722372,19.2133230650752,5.3)); #49521=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.3)); #49522=CARTESIAN_POINT('',(9.19638043896804,19.0670773969966,5.3)); #49523=CARTESIAN_POINT('',(9.15721986907971,19.0514824797844,5.3)); #49524=CARTESIAN_POINT('',(9.06157104351174,19.0514824797844,5.3)); #49525=CARTESIAN_POINT('',(9.02171736619176,19.0670773969966,5.3)); #49526=CARTESIAN_POINT('',(8.95743879727448,19.1285912962831,5.3)); #49527=CARTESIAN_POINT('',(8.94166345783596,19.1672314208703,5.3)); #49528=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.3)); #49529=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.3)); #49530=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.3)); #49531=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.3)); #49532=CARTESIAN_POINT('',(9.02241047362341,19.3602618405854,5.3)); #49533=CARTESIAN_POINT('',(9.0622641509434,19.3758567577975,5.3)); #49534=CARTESIAN_POINT('',(9.15721986907971,19.3758567577975,5.3)); #49535=CARTESIAN_POINT('',(9.19638043896804,19.3606083943012,5.3)); #49536=CARTESIAN_POINT('',(9.25945321524836,19.2989218328842,5.3)); #49537=CARTESIAN_POINT('',(9.27504813246053,19.2601078167117,5.3)); #49538=CARTESIAN_POINT('',(9.27504813246053,19.1672314208703,5.3)); #49539=CARTESIAN_POINT('',(9.25945321524836,19.1287639584136,5.3)); #49540=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.3)); #49541=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.3)); #49542=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.3)); #49543=CARTESIAN_POINT('',(8.94166345783596,19.25941470928,5.3)); #49544=CARTESIAN_POINT('',(8.95760492876396,19.2982287254525,5.3)); #49545=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.3)); #49546=CARTESIAN_POINT('',(6.35,10.25,5.3)); #49547=CARTESIAN_POINT('',(4.975,10.4898776134625,5.3)); #49548=CARTESIAN_POINT('',(4.975,10.3299592044875,5.3)); #49549=CARTESIAN_POINT('',(4.975,10.1700407955125,5.3)); #49550=CARTESIAN_POINT('',(4.975,10.0101223865375,5.3)); #49551=CARTESIAN_POINT('',(4.975,10.4898776134625,5.3)); #49552=CARTESIAN_POINT('',(4.975,10.3219632840388,5.3)); #49553=CARTESIAN_POINT('',(6.575,10.4898776134625,5.3)); #49554=CARTESIAN_POINT('',(6.04166666666667,10.4898776134625,5.3)); #49555=CARTESIAN_POINT('',(5.50833333333333,10.4898776134625,5.3)); #49556=CARTESIAN_POINT('',(4.975,10.4898776134625,5.3)); #49557=CARTESIAN_POINT('',(6.575,10.4898776134625,5.3)); #49558=CARTESIAN_POINT('',(6.575,10.0101223865375,5.3)); #49559=CARTESIAN_POINT('',(6.575,10.1700407955125,5.3)); #49560=CARTESIAN_POINT('',(6.575,10.3299592044875,5.3)); #49561=CARTESIAN_POINT('',(6.575,10.4898776134625,5.3)); #49562=CARTESIAN_POINT('',(6.575,10.0101223865375,5.3)); #49563=CARTESIAN_POINT('',(4.975,10.0101223865375,5.3)); #49564=CARTESIAN_POINT('',(5.50833333333333,10.0101223865375,5.3)); #49565=CARTESIAN_POINT('',(6.04166666666667,10.0101223865375,5.3)); #49566=CARTESIAN_POINT('',(6.575,10.0101223865375,5.3)); #49567=CARTESIAN_POINT('',(4.975,10.0101223865375,5.3)); #49568=CARTESIAN_POINT('',(4.975,10.4898776134625,5.3)); #49569=CARTESIAN_POINT('',(4.975,10.3299592044875,5.3)); #49570=CARTESIAN_POINT('',(4.975,10.1700407955125,5.3)); #49571=CARTESIAN_POINT('',(4.975,10.0101223865375,5.3)); #49572=CARTESIAN_POINT('',(4.975,10.1780367159613,5.3)); #49573=CARTESIAN_POINT('',(4.975,10.1780367159612,5.3)); #49574=CARTESIAN_POINT('',(4.44166666666667,10.1780367159612,5.3)); #49575=CARTESIAN_POINT('',(3.90833333333333,10.1780367159612,5.3)); #49576=CARTESIAN_POINT('',(3.375,10.1780367159612,5.3)); #49577=CARTESIAN_POINT('',(3.375,10.1780367159612,5.3)); #49578=CARTESIAN_POINT('',(3.375,10.1780367159612,5.3)); #49579=CARTESIAN_POINT('',(3.375,10.2260122386538,5.3)); #49580=CARTESIAN_POINT('',(3.375,10.2739877613463,5.3)); #49581=CARTESIAN_POINT('',(3.375,10.3219632840388,5.3)); #49582=CARTESIAN_POINT('',(3.375,10.3219632840388,5.3)); #49583=CARTESIAN_POINT('',(3.375,10.3219632840388,5.3)); #49584=CARTESIAN_POINT('',(3.90833333333333,10.3219632840388,5.3)); #49585=CARTESIAN_POINT('',(4.44166666666667,10.3219632840388,5.3)); #49586=CARTESIAN_POINT('',(4.975,10.3219632840388,5.3)); #49587=CARTESIAN_POINT('',(4.975,13.949500254972,5.3)); #49588=CARTESIAN_POINT('',(4.975,13.9015247322795,5.3)); #49589=CARTESIAN_POINT('',(4.975,13.8535492095869,5.3)); #49590=CARTESIAN_POINT('',(4.975,13.8055736868944,5.3)); #49591=CARTESIAN_POINT('',(4.975,13.949500254972,5.3)); #49592=CARTESIAN_POINT('',(4.975,13.8055736868944,5.3)); #49593=CARTESIAN_POINT('',(4.975,13.8055736868944,5.3)); #49594=CARTESIAN_POINT('',(4.44166666666667,13.8055736868944,5.3)); #49595=CARTESIAN_POINT('',(3.90833333333333,13.8055736868944,5.3)); #49596=CARTESIAN_POINT('',(3.375,13.8055736868944,5.3)); #49597=CARTESIAN_POINT('',(3.375,13.8055736868944,5.3)); #49598=CARTESIAN_POINT('',(3.375,13.8055736868944,5.3)); #49599=CARTESIAN_POINT('',(3.375,13.8535492095869,5.3)); #49600=CARTESIAN_POINT('',(3.375,13.9015247322795,5.3)); #49601=CARTESIAN_POINT('',(3.375,13.949500254972,5.3)); #49602=CARTESIAN_POINT('',(3.375,13.949500254972,5.3)); #49603=CARTESIAN_POINT('',(3.375,13.949500254972,5.3)); #49604=CARTESIAN_POINT('',(3.90833333333333,13.949500254972,5.3)); #49605=CARTESIAN_POINT('',(4.44166666666667,13.949500254972,5.3)); #49606=CARTESIAN_POINT('',(4.975,13.949500254972,5.3)); #49607=CARTESIAN_POINT('',(4.975,12.1357317695054,5.3)); #49608=CARTESIAN_POINT('',(4.975,12.0877562468129,5.3)); #49609=CARTESIAN_POINT('',(4.975,12.0397807241203,5.3)); #49610=CARTESIAN_POINT('',(4.975,11.9918052014278,5.3)); #49611=CARTESIAN_POINT('',(4.975,12.1357317695054,5.3)); #49612=CARTESIAN_POINT('',(4.975,11.9918052014278,5.3)); #49613=CARTESIAN_POINT('',(4.975,11.9918052014278,5.3)); #49614=CARTESIAN_POINT('',(4.44166666666667,11.9918052014278,5.3)); #49615=CARTESIAN_POINT('',(3.90833333333333,11.9918052014278,5.3)); #49616=CARTESIAN_POINT('',(3.375,11.9918052014278,5.3)); #49617=CARTESIAN_POINT('',(3.375,11.9918052014278,5.3)); #49618=CARTESIAN_POINT('',(3.375,11.9918052014278,5.3)); #49619=CARTESIAN_POINT('',(3.375,12.0397807241203,5.3)); #49620=CARTESIAN_POINT('',(3.375,12.0877562468129,5.3)); #49621=CARTESIAN_POINT('',(3.375,12.1357317695054,5.3)); #49622=CARTESIAN_POINT('',(3.375,12.1357317695054,5.3)); #49623=CARTESIAN_POINT('',(3.375,12.1357317695054,5.3)); #49624=CARTESIAN_POINT('',(3.90833333333333,12.1357317695054,5.3)); #49625=CARTESIAN_POINT('',(4.44166666666667,12.1357317695054,5.3)); #49626=CARTESIAN_POINT('',(4.975,12.1357317695054,5.3)); #49627=CARTESIAN_POINT('',(4.975,8.50819479857216,5.3)); #49628=CARTESIAN_POINT('',(4.975,8.46021927587965,5.3)); #49629=CARTESIAN_POINT('',(4.975,8.41224375318715,5.3)); #49630=CARTESIAN_POINT('',(4.975,8.36426823049464,5.3)); #49631=CARTESIAN_POINT('',(4.975,8.50819479857216,5.3)); #49632=CARTESIAN_POINT('',(4.975,8.36426823049464,5.3)); #49633=CARTESIAN_POINT('',(4.975,8.36426823049464,5.3)); #49634=CARTESIAN_POINT('',(4.44166666666667,8.36426823049464,5.3)); #49635=CARTESIAN_POINT('',(3.90833333333333,8.36426823049464,5.3)); #49636=CARTESIAN_POINT('',(3.375,8.36426823049464,5.3)); #49637=CARTESIAN_POINT('',(3.375,8.36426823049464,5.3)); #49638=CARTESIAN_POINT('',(3.375,8.36426823049464,5.3)); #49639=CARTESIAN_POINT('',(3.375,8.41224375318715,5.3)); #49640=CARTESIAN_POINT('',(3.375,8.46021927587965,5.3)); #49641=CARTESIAN_POINT('',(3.375,8.50819479857216,5.3)); #49642=CARTESIAN_POINT('',(3.375,8.50819479857216,5.3)); #49643=CARTESIAN_POINT('',(3.375,8.50819479857216,5.3)); #49644=CARTESIAN_POINT('',(3.90833333333333,8.50819479857216,5.3)); #49645=CARTESIAN_POINT('',(4.44166666666667,8.50819479857216,5.3)); #49646=CARTESIAN_POINT('',(4.975,8.50819479857216,5.3)); #49647=CARTESIAN_POINT('',(4.975,6.69442631310556,5.3)); #49648=CARTESIAN_POINT('',(4.975,6.64645079041305,5.3)); #49649=CARTESIAN_POINT('',(4.975,6.59847526772055,5.3)); #49650=CARTESIAN_POINT('',(4.975,6.55049974502804,5.3)); #49651=CARTESIAN_POINT('',(4.975,6.69442631310556,5.3)); #49652=CARTESIAN_POINT('',(4.975,6.55049974502804,5.3)); #49653=CARTESIAN_POINT('',(4.975,6.55049974502804,5.3)); #49654=CARTESIAN_POINT('',(4.44166666666667,6.55049974502804,5.3)); #49655=CARTESIAN_POINT('',(3.90833333333333,6.55049974502804,5.3)); #49656=CARTESIAN_POINT('',(3.375,6.55049974502804,5.3)); #49657=CARTESIAN_POINT('',(3.375,6.55049974502804,5.3)); #49658=CARTESIAN_POINT('',(3.375,6.55049974502804,5.3)); #49659=CARTESIAN_POINT('',(3.375,6.59847526772055,5.3)); #49660=CARTESIAN_POINT('',(3.375,6.64645079041305,5.3)); #49661=CARTESIAN_POINT('',(3.375,6.69442631310556,5.3)); #49662=CARTESIAN_POINT('',(3.375,6.69442631310556,5.3)); #49663=CARTESIAN_POINT('',(3.375,6.69442631310556,5.3)); #49664=CARTESIAN_POINT('',(3.90833333333333,6.69442631310556,5.3)); #49665=CARTESIAN_POINT('',(4.44166666666667,6.69442631310556,5.3)); #49666=CARTESIAN_POINT('',(4.975,6.69442631310556,5.3)); #49667=CARTESIAN_POINT('',(1.2,10.2999745028047,5.3)); #49668=CARTESIAN_POINT('',(1.2,10.2666581676016,5.3)); #49669=CARTESIAN_POINT('',(1.2,10.2333418323984,5.3)); #49670=CARTESIAN_POINT('',(1.2,10.2000254971953,5.3)); #49671=CARTESIAN_POINT('',(1.2,10.2999745028047,5.3)); #49672=CARTESIAN_POINT('',(1.2,10.2000254971953,5.3)); #49673=CARTESIAN_POINT('',(2.2,10.2999745028047,5.3)); #49674=CARTESIAN_POINT('',(1.86666666666667,10.2999745028047,5.3)); #49675=CARTESIAN_POINT('',(1.53333333333333,10.2999745028047,5.3)); #49676=CARTESIAN_POINT('',(1.2,10.2999745028047,5.3)); #49677=CARTESIAN_POINT('',(2.2,10.2999745028047,5.3)); #49678=CARTESIAN_POINT('',(2.2,10.2000254971953,5.3)); #49679=CARTESIAN_POINT('',(2.2,10.2333418323984,5.3)); #49680=CARTESIAN_POINT('',(2.2,10.2666581676016,5.3)); #49681=CARTESIAN_POINT('',(2.2,10.2999745028047,5.3)); #49682=CARTESIAN_POINT('',(2.2,10.2000254971953,5.3)); #49683=CARTESIAN_POINT('',(1.2,10.2000254971953,5.3)); #49684=CARTESIAN_POINT('',(1.53333333333333,10.2000254971953,5.3)); #49685=CARTESIAN_POINT('',(1.86666666666667,10.2000254971953,5.3)); #49686=CARTESIAN_POINT('',(2.2,10.2000254971953,5.3)); #49687=CARTESIAN_POINT('',(4.975,8.05475267720551,5.3)); #49688=CARTESIAN_POINT('',(4.975,8.006777154513,5.3)); #49689=CARTESIAN_POINT('',(4.975,7.9588016318205,5.3)); #49690=CARTESIAN_POINT('',(4.975,7.91082610912799,5.3)); #49691=CARTESIAN_POINT('',(4.975,8.05475267720551,5.3)); #49692=CARTESIAN_POINT('',(4.975,7.91082610912799,5.3)); #49693=CARTESIAN_POINT('',(4.975,7.91082610912799,5.3)); #49694=CARTESIAN_POINT('',(4.44166666666667,7.91082610912799,5.3)); #49695=CARTESIAN_POINT('',(3.90833333333333,7.91082610912799,5.3)); #49696=CARTESIAN_POINT('',(3.375,7.91082610912799,5.3)); #49697=CARTESIAN_POINT('',(3.375,7.91082610912799,5.3)); #49698=CARTESIAN_POINT('',(3.375,7.91082610912799,5.3)); #49699=CARTESIAN_POINT('',(3.375,7.9588016318205,5.3)); #49700=CARTESIAN_POINT('',(3.375,8.006777154513,5.3)); #49701=CARTESIAN_POINT('',(3.375,8.05475267720551,5.3)); #49702=CARTESIAN_POINT('',(3.375,8.05475267720551,5.3)); #49703=CARTESIAN_POINT('',(3.375,8.05475267720551,5.3)); #49704=CARTESIAN_POINT('',(3.90833333333333,8.05475267720551,5.3)); #49705=CARTESIAN_POINT('',(4.44166666666667,8.05475267720551,5.3)); #49706=CARTESIAN_POINT('',(4.975,8.05475267720551,5.3)); #49707=CARTESIAN_POINT('',(4.975,9.86852116267211,5.3)); #49708=CARTESIAN_POINT('',(4.975,9.8205456399796,5.3)); #49709=CARTESIAN_POINT('',(4.975,9.7725701172871,5.3)); #49710=CARTESIAN_POINT('',(4.975,9.72459459459459,5.3)); #49711=CARTESIAN_POINT('',(4.975,9.86852116267211,5.3)); #49712=CARTESIAN_POINT('',(4.975,9.72459459459459,5.3)); #49713=CARTESIAN_POINT('',(4.975,9.72459459459459,5.3)); #49714=CARTESIAN_POINT('',(4.44166666666667,9.72459459459459,5.3)); #49715=CARTESIAN_POINT('',(3.90833333333333,9.72459459459459,5.3)); #49716=CARTESIAN_POINT('',(3.375,9.72459459459459,5.3)); #49717=CARTESIAN_POINT('',(3.375,9.72459459459459,5.3)); #49718=CARTESIAN_POINT('',(3.375,9.72459459459459,5.3)); #49719=CARTESIAN_POINT('',(3.375,9.7725701172871,5.3)); #49720=CARTESIAN_POINT('',(3.375,9.8205456399796,5.3)); #49721=CARTESIAN_POINT('',(3.375,9.86852116267211,5.3)); #49722=CARTESIAN_POINT('',(3.375,9.86852116267211,5.3)); #49723=CARTESIAN_POINT('',(3.375,9.86852116267211,5.3)); #49724=CARTESIAN_POINT('',(3.90833333333333,9.86852116267211,5.3)); #49725=CARTESIAN_POINT('',(4.44166666666667,9.86852116267211,5.3)); #49726=CARTESIAN_POINT('',(4.975,9.86852116267211,5.3)); #49727=CARTESIAN_POINT('',(4.975,11.6822896481387,5.3)); #49728=CARTESIAN_POINT('',(4.975,11.6343141254462,5.3)); #49729=CARTESIAN_POINT('',(4.975,11.5863386027537,5.3)); #49730=CARTESIAN_POINT('',(4.975,11.5383630800612,5.3)); #49731=CARTESIAN_POINT('',(4.975,11.6822896481387,5.3)); #49732=CARTESIAN_POINT('',(4.975,11.5383630800612,5.3)); #49733=CARTESIAN_POINT('',(4.975,11.5383630800612,5.3)); #49734=CARTESIAN_POINT('',(4.44166666666667,11.5383630800612,5.3)); #49735=CARTESIAN_POINT('',(3.90833333333333,11.5383630800612,5.3)); #49736=CARTESIAN_POINT('',(3.375,11.5383630800612,5.3)); #49737=CARTESIAN_POINT('',(3.375,11.5383630800612,5.3)); #49738=CARTESIAN_POINT('',(3.375,11.5383630800612,5.3)); #49739=CARTESIAN_POINT('',(3.375,11.5863386027537,5.3)); #49740=CARTESIAN_POINT('',(3.375,11.6343141254462,5.3)); #49741=CARTESIAN_POINT('',(3.375,11.6822896481387,5.3)); #49742=CARTESIAN_POINT('',(3.375,11.6822896481387,5.3)); #49743=CARTESIAN_POINT('',(3.375,11.6822896481387,5.3)); #49744=CARTESIAN_POINT('',(3.90833333333333,11.6822896481387,5.3)); #49745=CARTESIAN_POINT('',(4.44166666666667,11.6822896481387,5.3)); #49746=CARTESIAN_POINT('',(4.975,11.6822896481387,5.3)); #49747=CARTESIAN_POINT('',(4.975,13.4960581336053,5.3)); #49748=CARTESIAN_POINT('',(4.975,13.4480826109128,5.3)); #49749=CARTESIAN_POINT('',(4.975,13.4001070882203,5.3)); #49750=CARTESIAN_POINT('',(4.975,13.3521315655278,5.3)); #49751=CARTESIAN_POINT('',(4.975,13.4960581336053,5.3)); #49752=CARTESIAN_POINT('',(4.975,13.3521315655278,5.3)); #49753=CARTESIAN_POINT('',(4.975,13.3521315655278,5.3)); #49754=CARTESIAN_POINT('',(4.44166666666667,13.3521315655278,5.3)); #49755=CARTESIAN_POINT('',(3.90833333333333,13.3521315655278,5.3)); #49756=CARTESIAN_POINT('',(3.375,13.3521315655278,5.3)); #49757=CARTESIAN_POINT('',(3.375,13.3521315655278,5.3)); #49758=CARTESIAN_POINT('',(3.375,13.3521315655278,5.3)); #49759=CARTESIAN_POINT('',(3.375,13.4001070882203,5.3)); #49760=CARTESIAN_POINT('',(3.375,13.4480826109128,5.3)); #49761=CARTESIAN_POINT('',(3.375,13.4960581336053,5.3)); #49762=CARTESIAN_POINT('',(3.375,13.4960581336053,5.3)); #49763=CARTESIAN_POINT('',(3.375,13.4960581336053,5.3)); #49764=CARTESIAN_POINT('',(3.90833333333333,13.4960581336053,5.3)); #49765=CARTESIAN_POINT('',(4.44166666666667,13.4960581336053,5.3)); #49766=CARTESIAN_POINT('',(4.975,13.4960581336053,5.3)); #49767=CARTESIAN_POINT('',(11.45,7.3,5.3)); #49768=CARTESIAN_POINT('',(11.45,7.3,5.3)); #49769=CARTESIAN_POINT('',(12.45,7.3,5.3)); #49770=CARTESIAN_POINT('',(11.45,7.3,5.3)); #49771=CARTESIAN_POINT('',(11.45,16.4,5.3)); #49772=CARTESIAN_POINT('',(11.45,16.4,5.3)); #49773=CARTESIAN_POINT('',(12.45,16.4,5.3)); #49774=CARTESIAN_POINT('',(12.45,10.25,5.3)); #49775=CARTESIAN_POINT('',(12.45,19.45,5.3)); #49776=CARTESIAN_POINT('',(14.,17.9,5.3)); #49777=CARTESIAN_POINT('',(11.65,20.25,5.3)); #49778=CARTESIAN_POINT('',(6.35,20.25,5.3)); #49779=CARTESIAN_POINT('',(6.2713205623731,20.25,5.3)); #49780=CARTESIAN_POINT('',(6.2713205623731,10.25,5.3)); #49781=CARTESIAN_POINT('',(6.2713205623731,18.5,5.3)); #49782=CARTESIAN_POINT('',(2.,18.5,5.3)); #49783=CARTESIAN_POINT('',(2.,14.2286794376269,5.3)); #49784=CARTESIAN_POINT('',(6.35,14.2286794376269,5.3)); #49785=CARTESIAN_POINT('',(0.25,14.2286794376269,5.3)); #49786=CARTESIAN_POINT('',(0.25,10.25,5.3)); #49787=CARTESIAN_POINT('',(0.249999999999999,1.05000000000001,5.3)); #49788=CARTESIAN_POINT('',(-1.3,2.6,5.3)); #49789=CARTESIAN_POINT('',(1.05,0.250000000000001,5.3)); #49790=CARTESIAN_POINT('',(6.35,0.250000000000001,5.3)); #49791=CARTESIAN_POINT('',(6.4286794376269,0.250000000000001,5.3)); #49792=CARTESIAN_POINT('',(6.4286794376269,10.25,5.3)); #49793=CARTESIAN_POINT('',(6.4286794376269,2.,5.3)); #49794=CARTESIAN_POINT('',(10.7,2.,5.3)); #49795=CARTESIAN_POINT('',(10.7,6.2713205623731,5.3)); #49796=CARTESIAN_POINT('',(6.35,6.2713205623731,5.3)); #49797=CARTESIAN_POINT('',(12.45,6.2713205623731,5.3)); #49798=CARTESIAN_POINT('',(12.45,10.25,5.3)); #49799=CARTESIAN_POINT('',(2.9,3.6,5.3)); #49800=CARTESIAN_POINT('',(2.15,3.6,5.3)); #49801=CARTESIAN_POINT('',(5.02497450280469,1.2,5.3)); #49802=CARTESIAN_POINT('',(4.99165816760156,1.2,5.3)); #49803=CARTESIAN_POINT('',(4.95834183239843,1.2,5.3)); #49804=CARTESIAN_POINT('',(4.92502549719531,1.2,5.3)); #49805=CARTESIAN_POINT('',(5.02497450280469,1.2,5.3)); #49806=CARTESIAN_POINT('',(4.92502549719531,1.2,5.3)); #49807=CARTESIAN_POINT('',(4.92502549719531,1.2,5.3)); #49808=CARTESIAN_POINT('',(4.92502549719531,1.53333333333333,5.3)); #49809=CARTESIAN_POINT('',(4.92502549719531,1.86666666666667,5.3)); #49810=CARTESIAN_POINT('',(4.92502549719531,2.2,5.3)); #49811=CARTESIAN_POINT('',(4.92502549719531,2.2,5.3)); #49812=CARTESIAN_POINT('',(4.92502549719531,2.2,5.3)); #49813=CARTESIAN_POINT('',(4.95834183239843,2.2,5.3)); #49814=CARTESIAN_POINT('',(4.99165816760156,2.2,5.3)); #49815=CARTESIAN_POINT('',(5.02497450280469,2.2,5.3)); #49816=CARTESIAN_POINT('',(5.02497450280469,2.2,5.3)); #49817=CARTESIAN_POINT('',(5.02497450280469,2.2,5.3)); #49818=CARTESIAN_POINT('',(5.02497450280469,1.86666666666667,5.3)); #49819=CARTESIAN_POINT('',(5.02497450280469,1.53333333333333,5.3)); #49820=CARTESIAN_POINT('',(5.02497450280469,1.2,5.3)); #49821=CARTESIAN_POINT('',(4.975,12.589173890872,5.3)); #49822=CARTESIAN_POINT('',(4.975,12.5411983681795,5.3)); #49823=CARTESIAN_POINT('',(4.975,12.493222845487,5.3)); #49824=CARTESIAN_POINT('',(4.975,12.4452473227945,5.3)); #49825=CARTESIAN_POINT('',(4.975,12.589173890872,5.3)); #49826=CARTESIAN_POINT('',(4.975,12.4452473227945,5.3)); #49827=CARTESIAN_POINT('',(4.975,12.4452473227945,5.3)); #49828=CARTESIAN_POINT('',(4.44166666666667,12.4452473227945,5.3)); #49829=CARTESIAN_POINT('',(3.90833333333333,12.4452473227945,5.3)); #49830=CARTESIAN_POINT('',(3.375,12.4452473227945,5.3)); #49831=CARTESIAN_POINT('',(3.375,12.4452473227945,5.3)); #49832=CARTESIAN_POINT('',(3.375,12.4452473227945,5.3)); #49833=CARTESIAN_POINT('',(3.375,12.493222845487,5.3)); #49834=CARTESIAN_POINT('',(3.375,12.5411983681795,5.3)); #49835=CARTESIAN_POINT('',(3.375,12.589173890872,5.3)); #49836=CARTESIAN_POINT('',(3.375,12.589173890872,5.3)); #49837=CARTESIAN_POINT('',(3.375,12.589173890872,5.3)); #49838=CARTESIAN_POINT('',(3.90833333333333,12.589173890872,5.3)); #49839=CARTESIAN_POINT('',(4.44166666666667,12.589173890872,5.3)); #49840=CARTESIAN_POINT('',(4.975,12.589173890872,5.3)); #49841=CARTESIAN_POINT('',(4.975,10.7754054054054,5.3)); #49842=CARTESIAN_POINT('',(4.975,10.7274298827129,5.3)); #49843=CARTESIAN_POINT('',(4.975,10.6794543600204,5.3)); #49844=CARTESIAN_POINT('',(4.975,10.6314788373279,5.3)); #49845=CARTESIAN_POINT('',(4.975,10.7754054054054,5.3)); #49846=CARTESIAN_POINT('',(4.975,10.6314788373279,5.3)); #49847=CARTESIAN_POINT('',(4.975,10.6314788373279,5.3)); #49848=CARTESIAN_POINT('',(4.44166666666667,10.6314788373279,5.3)); #49849=CARTESIAN_POINT('',(3.90833333333333,10.6314788373279,5.3)); #49850=CARTESIAN_POINT('',(3.375,10.6314788373279,5.3)); #49851=CARTESIAN_POINT('',(3.375,10.6314788373279,5.3)); #49852=CARTESIAN_POINT('',(3.375,10.6314788373279,5.3)); #49853=CARTESIAN_POINT('',(3.375,10.6794543600204,5.3)); #49854=CARTESIAN_POINT('',(3.375,10.7274298827129,5.3)); #49855=CARTESIAN_POINT('',(3.375,10.7754054054054,5.3)); #49856=CARTESIAN_POINT('',(3.375,10.7754054054054,5.3)); #49857=CARTESIAN_POINT('',(3.375,10.7754054054054,5.3)); #49858=CARTESIAN_POINT('',(3.90833333333333,10.7754054054054,5.3)); #49859=CARTESIAN_POINT('',(4.44166666666667,10.7754054054054,5.3)); #49860=CARTESIAN_POINT('',(4.975,10.7754054054054,5.3)); #49861=CARTESIAN_POINT('',(4.975,8.96163691993881,5.3)); #49862=CARTESIAN_POINT('',(4.975,8.9136613972463,5.3)); #49863=CARTESIAN_POINT('',(4.975,8.8656858745538,5.3)); #49864=CARTESIAN_POINT('',(4.975,8.8177103518613,5.3)); #49865=CARTESIAN_POINT('',(4.975,8.96163691993881,5.3)); #49866=CARTESIAN_POINT('',(4.975,8.8177103518613,5.3)); #49867=CARTESIAN_POINT('',(4.975,8.8177103518613,5.3)); #49868=CARTESIAN_POINT('',(4.44166666666667,8.8177103518613,5.3)); #49869=CARTESIAN_POINT('',(3.90833333333333,8.8177103518613,5.3)); #49870=CARTESIAN_POINT('',(3.375,8.8177103518613,5.3)); #49871=CARTESIAN_POINT('',(3.375,8.8177103518613,5.3)); #49872=CARTESIAN_POINT('',(3.375,8.8177103518613,5.3)); #49873=CARTESIAN_POINT('',(3.375,8.8656858745538,5.3)); #49874=CARTESIAN_POINT('',(3.375,8.9136613972463,5.3)); #49875=CARTESIAN_POINT('',(3.375,8.96163691993881,5.3)); #49876=CARTESIAN_POINT('',(3.375,8.96163691993881,5.3)); #49877=CARTESIAN_POINT('',(3.375,8.96163691993881,5.3)); #49878=CARTESIAN_POINT('',(3.90833333333333,8.96163691993881,5.3)); #49879=CARTESIAN_POINT('',(4.44166666666667,8.96163691993881,5.3)); #49880=CARTESIAN_POINT('',(4.975,8.96163691993881,5.3)); #49881=CARTESIAN_POINT('',(4.975,7.14786843447221,5.3)); #49882=CARTESIAN_POINT('',(4.975,7.0998929117797,5.3)); #49883=CARTESIAN_POINT('',(4.975,7.0519173890872,5.3)); #49884=CARTESIAN_POINT('',(4.975,7.00394186639469,5.3)); #49885=CARTESIAN_POINT('',(4.975,7.14786843447221,5.3)); #49886=CARTESIAN_POINT('',(4.975,7.00394186639469,5.3)); #49887=CARTESIAN_POINT('',(4.975,7.00394186639469,5.3)); #49888=CARTESIAN_POINT('',(4.44166666666667,7.00394186639469,5.3)); #49889=CARTESIAN_POINT('',(3.90833333333333,7.00394186639469,5.3)); #49890=CARTESIAN_POINT('',(3.375,7.00394186639469,5.3)); #49891=CARTESIAN_POINT('',(3.375,7.00394186639469,5.3)); #49892=CARTESIAN_POINT('',(3.375,7.00394186639469,5.3)); #49893=CARTESIAN_POINT('',(3.375,7.0519173890872,5.3)); #49894=CARTESIAN_POINT('',(3.375,7.0998929117797,5.3)); #49895=CARTESIAN_POINT('',(3.375,7.14786843447221,5.3)); #49896=CARTESIAN_POINT('',(3.375,7.14786843447221,5.3)); #49897=CARTESIAN_POINT('',(3.375,7.14786843447221,5.3)); #49898=CARTESIAN_POINT('',(3.90833333333333,7.14786843447221,5.3)); #49899=CARTESIAN_POINT('',(4.44166666666667,7.14786843447221,5.3)); #49900=CARTESIAN_POINT('',(4.975,7.14786843447221,5.3)); #49901=CARTESIAN_POINT('',(4.975,7.60131055583885,5.3)); #49902=CARTESIAN_POINT('',(4.975,7.55333503314635,5.3)); #49903=CARTESIAN_POINT('',(4.975,7.50535951045385,5.3)); #49904=CARTESIAN_POINT('',(4.975,7.45738398776134,5.3)); #49905=CARTESIAN_POINT('',(4.975,7.60131055583885,5.3)); #49906=CARTESIAN_POINT('',(4.975,7.45738398776134,5.3)); #49907=CARTESIAN_POINT('',(4.975,7.45738398776134,5.3)); #49908=CARTESIAN_POINT('',(4.44166666666667,7.45738398776134,5.3)); #49909=CARTESIAN_POINT('',(3.90833333333333,7.45738398776134,5.3)); #49910=CARTESIAN_POINT('',(3.375,7.45738398776134,5.3)); #49911=CARTESIAN_POINT('',(3.375,7.45738398776134,5.3)); #49912=CARTESIAN_POINT('',(3.375,7.45738398776134,5.3)); #49913=CARTESIAN_POINT('',(3.375,7.50535951045385,5.3)); #49914=CARTESIAN_POINT('',(3.375,7.55333503314635,5.3)); #49915=CARTESIAN_POINT('',(3.375,7.60131055583885,5.3)); #49916=CARTESIAN_POINT('',(3.375,7.60131055583885,5.3)); #49917=CARTESIAN_POINT('',(3.375,7.60131055583885,5.3)); #49918=CARTESIAN_POINT('',(3.90833333333333,7.60131055583885,5.3)); #49919=CARTESIAN_POINT('',(4.44166666666667,7.60131055583885,5.3)); #49920=CARTESIAN_POINT('',(4.975,7.60131055583885,5.3)); #49921=CARTESIAN_POINT('',(4.975,9.41507904130546,5.3)); #49922=CARTESIAN_POINT('',(4.975,9.36710351861295,5.3)); #49923=CARTESIAN_POINT('',(4.975,9.31912799592045,5.3)); #49924=CARTESIAN_POINT('',(4.975,9.27115247322794,5.3)); #49925=CARTESIAN_POINT('',(4.975,9.41507904130546,5.3)); #49926=CARTESIAN_POINT('',(4.975,9.27115247322794,5.3)); #49927=CARTESIAN_POINT('',(4.975,9.27115247322794,5.3)); #49928=CARTESIAN_POINT('',(4.44166666666667,9.27115247322794,5.3)); #49929=CARTESIAN_POINT('',(3.90833333333333,9.27115247322794,5.3)); #49930=CARTESIAN_POINT('',(3.375,9.27115247322794,5.3)); #49931=CARTESIAN_POINT('',(3.375,9.27115247322794,5.3)); #49932=CARTESIAN_POINT('',(3.375,9.27115247322794,5.3)); #49933=CARTESIAN_POINT('',(3.375,9.31912799592045,5.3)); #49934=CARTESIAN_POINT('',(3.375,9.36710351861295,5.3)); #49935=CARTESIAN_POINT('',(3.375,9.41507904130546,5.3)); #49936=CARTESIAN_POINT('',(3.375,9.41507904130546,5.3)); #49937=CARTESIAN_POINT('',(3.375,9.41507904130546,5.3)); #49938=CARTESIAN_POINT('',(3.90833333333333,9.41507904130546,5.3)); #49939=CARTESIAN_POINT('',(4.44166666666667,9.41507904130546,5.3)); #49940=CARTESIAN_POINT('',(4.975,9.41507904130546,5.3)); #49941=CARTESIAN_POINT('',(4.975,11.2288475267721,5.3)); #49942=CARTESIAN_POINT('',(4.975,11.1808720040796,5.3)); #49943=CARTESIAN_POINT('',(4.975,11.132896481387,5.3)); #49944=CARTESIAN_POINT('',(4.975,11.0849209586945,5.3)); #49945=CARTESIAN_POINT('',(4.975,11.2288475267721,5.3)); #49946=CARTESIAN_POINT('',(4.975,11.0849209586945,5.3)); #49947=CARTESIAN_POINT('',(4.975,11.0849209586945,5.3)); #49948=CARTESIAN_POINT('',(4.44166666666667,11.0849209586945,5.3)); #49949=CARTESIAN_POINT('',(3.90833333333333,11.0849209586945,5.3)); #49950=CARTESIAN_POINT('',(3.375,11.0849209586945,5.3)); #49951=CARTESIAN_POINT('',(3.375,11.0849209586945,5.3)); #49952=CARTESIAN_POINT('',(3.375,11.0849209586945,5.3)); #49953=CARTESIAN_POINT('',(3.375,11.132896481387,5.3)); #49954=CARTESIAN_POINT('',(3.375,11.1808720040796,5.3)); #49955=CARTESIAN_POINT('',(3.375,11.2288475267721,5.3)); #49956=CARTESIAN_POINT('',(3.375,11.2288475267721,5.3)); #49957=CARTESIAN_POINT('',(3.375,11.2288475267721,5.3)); #49958=CARTESIAN_POINT('',(3.90833333333333,11.2288475267721,5.3)); #49959=CARTESIAN_POINT('',(4.44166666666667,11.2288475267721,5.3)); #49960=CARTESIAN_POINT('',(4.975,11.2288475267721,5.3)); #49961=CARTESIAN_POINT('',(4.975,13.0426160122387,5.3)); #49962=CARTESIAN_POINT('',(4.975,12.9946404895462,5.3)); #49963=CARTESIAN_POINT('',(4.975,12.9466649668536,5.3)); #49964=CARTESIAN_POINT('',(4.975,12.8986894441611,5.3)); #49965=CARTESIAN_POINT('',(4.975,13.0426160122387,5.3)); #49966=CARTESIAN_POINT('',(4.975,12.8986894441611,5.3)); #49967=CARTESIAN_POINT('',(4.975,12.8986894441611,5.3)); #49968=CARTESIAN_POINT('',(4.44166666666667,12.8986894441611,5.3)); #49969=CARTESIAN_POINT('',(3.90833333333333,12.8986894441611,5.3)); #49970=CARTESIAN_POINT('',(3.375,12.8986894441611,5.3)); #49971=CARTESIAN_POINT('',(3.375,12.8986894441611,5.3)); #49972=CARTESIAN_POINT('',(3.375,12.8986894441611,5.3)); #49973=CARTESIAN_POINT('',(3.375,12.9466649668536,5.3)); #49974=CARTESIAN_POINT('',(3.375,12.9946404895462,5.3)); #49975=CARTESIAN_POINT('',(3.375,13.0426160122387,5.3)); #49976=CARTESIAN_POINT('',(3.375,13.0426160122387,5.3)); #49977=CARTESIAN_POINT('',(3.375,13.0426160122387,5.3)); #49978=CARTESIAN_POINT('',(3.90833333333333,13.0426160122387,5.3)); #49979=CARTESIAN_POINT('',(4.44166666666667,13.0426160122387,5.3)); #49980=CARTESIAN_POINT('',(4.975,13.0426160122387,5.3)); #49981=CARTESIAN_POINT('',(8.4360415864459,10.7075086638429,5.3)); #49982=CARTESIAN_POINT('',(8.21829033500193,10.5666923373123,5.3)); #49983=CARTESIAN_POINT('',(8.00053908355795,10.4258760107817,5.3)); #49984=CARTESIAN_POINT('',(7.78278783211398,10.2850596842511,5.3)); #49985=CARTESIAN_POINT('',(8.4360415864459,10.7075086638429,5.3)); #49986=CARTESIAN_POINT('',(7.78278783211398,10.2850596842511,5.3)); #49987=CARTESIAN_POINT('',(7.55995379283789,10.7075086638429,5.3)); #49988=CARTESIAN_POINT('',(7.85198305737389,10.7075086638429,5.3)); #49989=CARTESIAN_POINT('',(8.1440123219099,10.7075086638429,5.3)); #49990=CARTESIAN_POINT('',(8.4360415864459,10.7075086638429,5.3)); #49991=CARTESIAN_POINT('',(7.55995379283789,10.7075086638429,5.3)); #49992=CARTESIAN_POINT('',(7.55995379283789,10.9930689256835,5.3)); #49993=CARTESIAN_POINT('',(7.55995379283789,10.8978821717366,5.3)); #49994=CARTESIAN_POINT('',(7.55995379283789,10.8026954177898,5.3)); #49995=CARTESIAN_POINT('',(7.55995379283789,10.7075086638429,5.3)); #49996=CARTESIAN_POINT('',(7.55995379283789,10.9930689256835,5.3)); #49997=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.3)); #49998=CARTESIAN_POINT('',(8.54000770119369,10.9930689256835,5.3)); #49999=CARTESIAN_POINT('',(8.04998074701579,10.9930689256835,5.3)); #50000=CARTESIAN_POINT('',(7.55995379283789,10.9930689256835,5.3)); #50001=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.3)); #50002=CARTESIAN_POINT('',(9.17350789372353,10.5456680785522,5.3)); #50003=CARTESIAN_POINT('',(9.22445128994994,10.5751251443974,5.3)); #50004=CARTESIAN_POINT('',(9.26118598382749,10.6073546399692,5.3)); #50005=CARTESIAN_POINT('',(9.3046963503507,10.677109354554,5.3)); #50006=CARTESIAN_POINT('',(9.31559491721217,10.7241432422026,5.3)); #50007=CARTESIAN_POINT('',(9.31559491721217,10.8644974971121,5.3)); #50008=CARTESIAN_POINT('',(9.28683095879861,10.9216788602234,5.3)); #50009=CARTESIAN_POINT('',(9.18468432643775,10.9803978824188,5.3)); #50010=CARTESIAN_POINT('',(9.1184058529072,10.9930689256835,5.3)); #50011=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.3)); #50012=CARTESIAN_POINT('',(9.17350789372353,10.5456680785522,5.3)); #50013=CARTESIAN_POINT('',(8.19484020023104,9.93192144782444,5.3)); #50014=CARTESIAN_POINT('',(8.52106276472853,10.136503658067,5.3)); #50015=CARTESIAN_POINT('',(8.84728532922603,10.3410858683096,5.3)); #50016=CARTESIAN_POINT('',(9.17350789372353,10.5456680785522,5.3)); #50017=CARTESIAN_POINT('',(8.19484020023104,9.93192144782444,5.3)); #50018=CARTESIAN_POINT('',(9.3,9.93192144782444,5.3)); #50019=CARTESIAN_POINT('',(8.93161340007701,9.93192144782444,5.3)); #50020=CARTESIAN_POINT('',(8.56322680015403,9.93192144782444,5.3)); #50021=CARTESIAN_POINT('',(8.19484020023104,9.93192144782444,5.3)); #50022=CARTESIAN_POINT('',(9.3,9.93192144782444,5.3)); #50023=CARTESIAN_POINT('',(9.3,9.64636118598385,5.3)); #50024=CARTESIAN_POINT('',(9.3,9.74154793993071,5.3)); #50025=CARTESIAN_POINT('',(9.3,9.83673469387757,5.3)); #50026=CARTESIAN_POINT('',(9.3,9.93192144782444,5.3)); #50027=CARTESIAN_POINT('',(9.3,9.64636118598385,5.3)); #50028=CARTESIAN_POINT('',(7.78278783211398,10.2850596842511,5.3)); #50029=CARTESIAN_POINT('',(7.70619946091644,10.2382749326146,5.3)); #50030=CARTESIAN_POINT('',(7.65179052753177,10.1921832884097,5.3)); #50031=CARTESIAN_POINT('',(7.57961605250538,10.0931353386395,5.3)); #50032=CARTESIAN_POINT('',(7.55995379283789,10.0258375048133,5.3)); #50033=CARTESIAN_POINT('',(7.55995379283789,9.86191759722759,5.3)); #50034=CARTESIAN_POINT('',(7.57762803234502,9.79607239122066,5.3)); #50035=CARTESIAN_POINT('',(7.6419436229328,9.70819564368487,5.3)); #50036=CARTESIAN_POINT('',(7.6829803619561,9.67997689641896,5.3)); #50037=CARTESIAN_POINT('',(7.77521587599194,9.65242602859008,5.3)); #50038=CARTESIAN_POINT('',(7.82333461686562,9.64636118598385,5.3)); #50039=CARTESIAN_POINT('',(8.3536773199846,9.64636118598385,5.3)); #50040=CARTESIAN_POINT('',(8.8268386599923,9.64636118598385,5.3)); #50041=CARTESIAN_POINT('',(9.3,9.64636118598385,5.3)); #50042=CARTESIAN_POINT('',(9.3,15.2795918367347,5.3)); #50043=CARTESIAN_POINT('',(9.3,15.3664613015018,5.3)); #50044=CARTESIAN_POINT('',(9.3,15.4533307662688,5.3)); #50045=CARTESIAN_POINT('',(9.3,15.5402002310359,5.3)); #50046=CARTESIAN_POINT('',(9.3,15.2795918367347,5.3)); #50047=CARTESIAN_POINT('',(9.3,15.5402002310359,5.3)); #50048=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.3)); #50049=CARTESIAN_POINT('',(7.67431651906046,16.3452445128996,5.3)); #50050=CARTESIAN_POINT('',(7.63411628802464,16.3095494801695,5.3)); #50051=CARTESIAN_POINT('',(7.57583942351358,16.2206399048257,5.3)); #50052=CARTESIAN_POINT('',(7.55995379283789,16.1646900269542,5.3)); #50053=CARTESIAN_POINT('',(7.55995379283789,16.0236426646131,5.3)); #50054=CARTESIAN_POINT('',(7.57485560261841,15.9602233346169,5.3)); #50055=CARTESIAN_POINT('',(7.63109519840541,15.8642852006273,5.3)); #50056=CARTESIAN_POINT('',(7.67154408933385,15.8257604928765,5.3)); #50057=CARTESIAN_POINT('',(7.76920976692663,15.7695100076806,5.3)); #50058=CARTESIAN_POINT('',(7.82957258375048,15.7453600308048,5.3)); #50059=CARTESIAN_POINT('',(8.37183864969327,15.576817875174,5.3)); #50060=CARTESIAN_POINT('',(8.83550250288795,15.4268771659608,5.3)); #50061=CARTESIAN_POINT('',(9.3,15.2795918367347,5.3)); #50062=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.3)); #50063=CARTESIAN_POINT('',(9.3,16.9049287639585,5.3)); #50064=CARTESIAN_POINT('',(8.82995764343473,16.7505968425107,5.3)); #50065=CARTESIAN_POINT('',(8.35991528686946,16.5962649210628,5.3)); #50066=CARTESIAN_POINT('',(7.8216715885176,16.419540030181,5.3)); #50067=CARTESIAN_POINT('',(7.76719291490181,16.3975741239893,5.3)); #50068=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.3)); #50069=CARTESIAN_POINT('',(9.3,16.9049287639585,5.3)); #50070=CARTESIAN_POINT('',(9.3,16.3338082402773,5.3)); #50071=CARTESIAN_POINT('',(9.3,16.524181748171,5.3)); #50072=CARTESIAN_POINT('',(9.3,16.7145552560648,5.3)); #50073=CARTESIAN_POINT('',(9.3,16.9049287639585,5.3)); #50074=CARTESIAN_POINT('',(9.3,16.3338082402773,5.3)); #50075=CARTESIAN_POINT('',(8.9822102425876,16.2350404312669,5.3)); #50076=CARTESIAN_POINT('',(9.08814016172507,16.2679630342704,5.3)); #50077=CARTESIAN_POINT('',(9.19407008086253,16.3008856372738,5.3)); #50078=CARTESIAN_POINT('',(9.3,16.3338082402773,5.3)); #50079=CARTESIAN_POINT('',(8.9822102425876,16.2350404312669,5.3)); #50080=CARTESIAN_POINT('',(8.9822102425876,15.6483249903736,5.3)); #50081=CARTESIAN_POINT('',(8.9822102425876,15.8438968040047,5.3)); #50082=CARTESIAN_POINT('',(8.9822102425876,16.0394686176358,5.3)); #50083=CARTESIAN_POINT('',(8.9822102425876,16.2350404312669,5.3)); #50084=CARTESIAN_POINT('',(8.9822102425876,15.6483249903736,5.3)); #50085=CARTESIAN_POINT('',(9.3,15.5402002310359,5.3)); #50086=CARTESIAN_POINT('',(9.19407008086253,15.5762418174818,5.3)); #50087=CARTESIAN_POINT('',(9.08814016172507,15.6122834039277,5.3)); #50088=CARTESIAN_POINT('',(8.9822102425876,15.6483249903736,5.3)); #50089=CARTESIAN_POINT('',(7.57554871005006,6.5,5.3)); #50090=CARTESIAN_POINT('',(8.15036580670004,6.5,5.3)); #50091=CARTESIAN_POINT('',(8.72518290335002,6.5,5.3)); #50092=CARTESIAN_POINT('',(9.3,6.5,5.3)); #50093=CARTESIAN_POINT('',(7.57554871005006,6.5,5.3)); #50094=CARTESIAN_POINT('',(9.3,6.5,5.3)); #50095=CARTESIAN_POINT('',(8.5375818251829,7.64951867539469,5.3)); #50096=CARTESIAN_POINT('',(8.50985752791683,7.75868309587987,5.3)); #50097=CARTESIAN_POINT('',(8.45787447054293,7.84081632653062,5.3)); #50098=CARTESIAN_POINT('',(8.30757492015233,7.95012509045106,5.3)); #50099=CARTESIAN_POINT('',(8.21355410088564,7.9766653831344,5.3)); #50100=CARTESIAN_POINT('',(7.94324220254139,7.9766653831344,5.3)); #50101=CARTESIAN_POINT('',(7.81536388140162,7.91879091259146,5.3)); #50102=CARTESIAN_POINT('',(7.62239114396505,7.69343114229841,5.3)); #50103=CARTESIAN_POINT('',(7.57554871005006,7.55976126299577,5.3)); #50104=CARTESIAN_POINT('',(7.57554871005006,7.10138621486331,5.3)); #50105=CARTESIAN_POINT('',(7.57554871005006,6.80069310743165,5.3)); #50106=CARTESIAN_POINT('',(7.57554871005006,6.5,5.3)); #50107=CARTESIAN_POINT('',(8.5375818251829,7.64951867539469,5.3)); #50108=CARTESIAN_POINT('',(9.3,8.14751636503659,5.3)); #50109=CARTESIAN_POINT('',(9.0458606083943,7.98151713515596,5.3)); #50110=CARTESIAN_POINT('',(8.7917212167886,7.81551790527533,5.3)); #50111=CARTESIAN_POINT('',(8.5375818251829,7.64951867539469,5.3)); #50112=CARTESIAN_POINT('',(9.3,8.14751636503659,5.3)); #50113=CARTESIAN_POINT('',(9.3,7.50361956103197,5.3)); #50114=CARTESIAN_POINT('',(9.3,7.71825182903351,5.3)); #50115=CARTESIAN_POINT('',(9.3,7.93288409703505,5.3)); #50116=CARTESIAN_POINT('',(9.3,8.14751636503659,5.3)); #50117=CARTESIAN_POINT('',(9.3,7.50361956103197,5.3)); #50118=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.3)); #50119=CARTESIAN_POINT('',(8.7351174432037,7.23862148633039,5.3)); #50120=CARTESIAN_POINT('',(9.01755872160185,7.37112052368118,5.3)); #50121=CARTESIAN_POINT('',(9.3,7.50361956103197,5.3)); #50122=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.3)); #50123=CARTESIAN_POINT('',(7.81397766653831,7.07112052368118,5.3)); #50124=CARTESIAN_POINT('',(7.81397766653831,7.09237581825183,5.3)); #50125=CARTESIAN_POINT('',(7.81397766653831,7.11363111282249,5.3)); #50126=CARTESIAN_POINT('',(7.81397766653831,7.21112822487486,5.3)); #50127=CARTESIAN_POINT('',(7.84100885637274,7.27454755487101,5.3)); #50128=CARTESIAN_POINT('',(7.95169543294551,7.37804669140659,5.3)); #50129=CARTESIAN_POINT('',(8.02884097035041,7.40485175202157,5.3)); #50130=CARTESIAN_POINT('',(8.23018867924528,7.40485175202157,5.3)); #50131=CARTESIAN_POINT('',(8.30989603388525,7.38267231420871,5.3)); #50132=CARTESIAN_POINT('',(8.42319955790123,7.29115792942657,5.3)); #50133=CARTESIAN_POINT('',(8.45267616480555,7.21632653061225,5.3)); #50134=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.3)); #50135=CARTESIAN_POINT('',(7.81397766653831,7.07112052368118,5.3)); #50136=CARTESIAN_POINT('',(9.3,7.07112052368118,5.3)); #50137=CARTESIAN_POINT('',(8.80465922217944,7.07112052368118,5.3)); #50138=CARTESIAN_POINT('',(8.30931844435888,7.07112052368118,5.3)); #50139=CARTESIAN_POINT('',(7.81397766653831,7.07112052368118,5.3)); #50140=CARTESIAN_POINT('',(9.3,7.07112052368118,5.3)); #50141=CARTESIAN_POINT('',(9.3,6.5,5.3)); #50142=CARTESIAN_POINT('',(9.3,6.69037350789373,5.3)); #50143=CARTESIAN_POINT('',(9.3,6.88074701578745,5.3)); #50144=CARTESIAN_POINT('',(9.3,7.07112052368118,5.3)); #50145=CARTESIAN_POINT('',(8.57015787447054,9.33896804004623,5.3)); #50146=CARTESIAN_POINT('',(8.48536773199846,9.33896804004623,5.3)); #50147=CARTESIAN_POINT('',(8.40057758952638,9.33896804004623,5.3)); #50148=CARTESIAN_POINT('',(8.31578744705429,9.33896804004623,5.3)); #50149=CARTESIAN_POINT('',(8.57015787447054,9.33896804004623,5.3)); #50150=CARTESIAN_POINT('',(8.31578744705429,9.33896804004623,5.3)); #50151=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.3)); #50152=CARTESIAN_POINT('',(8.57015787447054,8.97901424720834,5.3)); #50153=CARTESIAN_POINT('',(8.57015787447054,9.15899114362728,5.3)); #50154=CARTESIAN_POINT('',(8.57015787447054,9.33896804004623,5.3)); #50155=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.3)); #50156=CARTESIAN_POINT('',(9.04597612629958,9.42733923758184,5.3)); #50157=CARTESIAN_POINT('',(9.04597612629958,9.26538313438585,5.3)); #50158=CARTESIAN_POINT('',(9.04597612629958,9.10342703118985,5.3)); #50159=CARTESIAN_POINT('',(9.04597612629958,8.89468617635735,5.3)); #50160=CARTESIAN_POINT('',(9.0355795148248,8.8593376973431,5.3)); #50161=CARTESIAN_POINT('',(8.9936211782786,8.81118878655238,5.3)); #50162=CARTESIAN_POINT('',(8.96384289564883,8.79903735078939,5.3)); #50163=CARTESIAN_POINT('',(8.80720061609549,8.79903735078939,5.3)); #50164=CARTESIAN_POINT('',(8.68867924528302,8.79903735078939,5.3)); #50165=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.3)); #50166=CARTESIAN_POINT('',(9.04597612629958,9.42733923758184,5.3)); #50167=CARTESIAN_POINT('',(9.3,9.42733923758184,5.3)); #50168=CARTESIAN_POINT('',(9.21532537543319,9.42733923758184,5.3)); #50169=CARTESIAN_POINT('',(9.13065075086639,9.42733923758184,5.3)); #50170=CARTESIAN_POINT('',(9.04597612629958,9.42733923758184,5.3)); #50171=CARTESIAN_POINT('',(9.3,9.42733923758184,5.3)); #50172=CARTESIAN_POINT('',(7.57554871005006,9.40238737004237,5.3)); #50173=CARTESIAN_POINT('',(7.57554871005006,9.1396996534463,5.3)); #50174=CARTESIAN_POINT('',(7.57554871005006,8.87701193685023,5.3)); #50175=CARTESIAN_POINT('',(7.57554871005006,8.50654601463228,5.3)); #50176=CARTESIAN_POINT('',(7.6081247593377,8.41609549480171,5.3)); #50177=CARTESIAN_POINT('',(7.74161551302168,8.26609781996326,5.3)); #50178=CARTESIAN_POINT('',(7.83234501347709,8.22722371967656,5.3)); #50179=CARTESIAN_POINT('',(8.28194070080863,8.22722371967656,5.3)); #50180=CARTESIAN_POINT('',(8.61959953792838,8.22722371967656,5.3)); #50181=CARTESIAN_POINT('',(9.06087793608009,8.22722371967656,5.3)); #50182=CARTESIAN_POINT('',(9.1437042741625,8.25668078552177,5.3)); #50183=CARTESIAN_POINT('',(9.26847373087312,8.37521176939686,5.3)); #50184=CARTESIAN_POINT('',(9.3,8.45144397381596,5.3)); #50185=CARTESIAN_POINT('',(9.3,8.83912206391992,5.3)); #50186=CARTESIAN_POINT('',(9.3,9.13323065075089,5.3)); #50187=CARTESIAN_POINT('',(9.3,9.42733923758184,5.3)); #50188=CARTESIAN_POINT('',(7.57554871005006,9.40238737004237,5.3)); #50189=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.3)); #50190=CARTESIAN_POINT('',(7.74512899499423,9.40238737004237,5.3)); #50191=CARTESIAN_POINT('',(7.66033885252214,9.40238737004237,5.3)); #50192=CARTESIAN_POINT('',(7.57554871005006,9.40238737004237,5.3)); #50193=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.3)); #50194=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.3)); #50195=CARTESIAN_POINT('',(7.84274162495187,8.83681170581442,5.3)); #50196=CARTESIAN_POINT('',(7.82991913746631,8.86314978821719,5.3)); #50197=CARTESIAN_POINT('',(7.82991913746631,9.0680785521756,5.3)); #50198=CARTESIAN_POINT('',(7.82991913746631,9.23523296110899,5.3)); #50199=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.3)); #50200=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.3)); #50201=CARTESIAN_POINT('',(8.31578744705429,8.79903735078939,5.3)); #50202=CARTESIAN_POINT('',(8.20558336542164,8.79903735078939,5.3)); #50203=CARTESIAN_POINT('',(8.09537928378899,8.79903735078939,5.3)); #50204=CARTESIAN_POINT('',(7.93319214478244,8.79903735078939,5.3)); #50205=CARTESIAN_POINT('',(7.89437812860994,8.80666153253756,5.3)); #50206=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.3)); #50207=CARTESIAN_POINT('',(8.31578744705429,8.79903735078939,5.3)); #50208=CARTESIAN_POINT('',(8.31578744705429,9.33896804004623,5.3)); #50209=CARTESIAN_POINT('',(8.31578744705429,9.15899114362728,5.3)); #50210=CARTESIAN_POINT('',(8.31578744705429,8.97901424720834,5.3)); #50211=CARTESIAN_POINT('',(8.31578744705429,8.79903735078939,5.3)); #50212=CARTESIAN_POINT('',(7.57554871005006,11.3042741624952,5.3)); #50213=CARTESIAN_POINT('',(8.15036580670004,11.3042741624952,5.3)); #50214=CARTESIAN_POINT('',(8.72518290335002,11.3042741624952,5.3)); #50215=CARTESIAN_POINT('',(9.3,11.3042741624952,5.3)); #50216=CARTESIAN_POINT('',(7.57554871005006,11.3042741624952,5.3)); #50217=CARTESIAN_POINT('',(9.3,11.3042741624952,5.3)); #50218=CARTESIAN_POINT('',(7.57554871005006,11.8753946861764,5.3)); #50219=CARTESIAN_POINT('',(7.57554871005006,11.6850211782827,5.3)); #50220=CARTESIAN_POINT('',(7.57554871005006,11.4946476703889,5.3)); #50221=CARTESIAN_POINT('',(7.57554871005006,11.3042741624952,5.3)); #50222=CARTESIAN_POINT('',(7.57554871005006,11.8753946861764,5.3)); #50223=CARTESIAN_POINT('',(9.3,11.8753946861764,5.3)); #50224=CARTESIAN_POINT('',(8.72518290335002,11.8753946861764,5.3)); #50225=CARTESIAN_POINT('',(8.15036580670004,11.8753946861764,5.3)); #50226=CARTESIAN_POINT('',(7.57554871005006,11.8753946861764,5.3)); #50227=CARTESIAN_POINT('',(9.3,11.8753946861764,5.3)); #50228=CARTESIAN_POINT('',(9.3,11.3042741624952,5.3)); #50229=CARTESIAN_POINT('',(9.3,11.4946476703889,5.3)); #50230=CARTESIAN_POINT('',(9.3,11.6850211782827,5.3)); #50231=CARTESIAN_POINT('',(9.3,11.8753946861764,5.3)); #50232=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.3)); #50233=CARTESIAN_POINT('',(7.82991913746631,12.9592991913747,5.3)); #50234=CARTESIAN_POINT('',(7.82991913746631,13.0905275317675,5.3)); #50235=CARTESIAN_POINT('',(7.82991913746631,13.2217558721602,5.3)); #50236=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.3)); #50237=CARTESIAN_POINT('',(7.82991913746631,13.2217558721602,5.3)); #50238=CARTESIAN_POINT('',(9.3,12.1581825182904,5.3)); #50239=CARTESIAN_POINT('',(9.3,12.3644974971121,5.3)); #50240=CARTESIAN_POINT('',(9.3,12.5708124759338,5.3)); #50241=CARTESIAN_POINT('',(9.3,12.9608009241433,5.3)); #50242=CARTESIAN_POINT('',(9.24940315748941,13.108779360801,5.3)); #50243=CARTESIAN_POINT('',(9.04081216529167,13.3403081940651,5.3)); #50244=CARTESIAN_POINT('',(8.8900269541779,13.4002310358106,5.3)); #50245=CARTESIAN_POINT('',(8.49530227185214,13.4002310358106,5.3)); #50246=CARTESIAN_POINT('',(8.35252214093185,13.3340392760878,5.3)); #50247=CARTESIAN_POINT('',(8.23845745294943,13.1535154103967,5.3)); #50248=CARTESIAN_POINT('',(8.19761262995764,13.0297651135926,5.3)); #50249=CARTESIAN_POINT('',(8.11142482152448,12.6977395965414,5.3)); #50250=CARTESIAN_POINT('',(8.05379283788987,12.6312283403928,5.3)); #50251=CARTESIAN_POINT('',(7.92695417789758,12.6312283403928,5.3)); #50252=CARTESIAN_POINT('',(7.89229880631498,12.6440508278784,5.3)); #50253=CARTESIAN_POINT('',(7.84297863130853,12.7000964812948,5.3)); #50254=CARTESIAN_POINT('',(7.82991913746631,12.7528686946477,5.3)); #50255=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.3)); #50256=CARTESIAN_POINT('',(9.3,12.1581825182904,5.3)); #50257=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.3)); #50258=CARTESIAN_POINT('',(9.13065075086639,12.1581825182904,5.3)); #50259=CARTESIAN_POINT('',(9.21532537543319,12.1581825182904,5.3)); #50260=CARTESIAN_POINT('',(9.3,12.1581825182904,5.3)); #50261=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.3)); #50262=CARTESIAN_POINT('',(7.57554871005006,13.2217558721602,5.3)); #50263=CARTESIAN_POINT('',(7.57554871005006,13.0387755102041,5.3)); #50264=CARTESIAN_POINT('',(7.57554871005006,12.855795148248,5.3)); #50265=CARTESIAN_POINT('',(7.57554871005006,12.4971120523682,5.3)); #50266=CARTESIAN_POINT('',(7.62268001540239,12.356064690027,5.3)); #50267=CARTESIAN_POINT('',(7.81512888821871,12.1351048730897,5.3)); #50268=CARTESIAN_POINT('',(7.9525991528687,12.0788217173662,5.3)); #50269=CARTESIAN_POINT('',(8.31544089333847,12.0788217173662,5.3)); #50270=CARTESIAN_POINT('',(8.44990373507894,12.1498652291105,5.3)); #50271=CARTESIAN_POINT('',(8.55592312318564,12.3352863717142,5.3)); #50272=CARTESIAN_POINT('',(8.59822872545245,12.4680015402388,5.3)); #50273=CARTESIAN_POINT('',(8.69778813496331,12.8332423454158,5.3)); #50274=CARTESIAN_POINT('',(8.75798998844821,12.9046592221795,5.3)); #50275=CARTESIAN_POINT('',(8.92849441663458,12.9046592221795,5.3)); #50276=CARTESIAN_POINT('',(8.98706199460917,12.8807470157875,5.3)); #50277=CARTESIAN_POINT('',(9.03577275975216,12.7981763285329,5.3)); #50278=CARTESIAN_POINT('',(9.04597612629958,12.7341547939931,5.3)); #50279=CARTESIAN_POINT('',(9.04597612629958,12.4797843665769,5.3)); #50280=CARTESIAN_POINT('',(9.04597612629958,12.3189834424336,5.3)); #50281=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.3)); #50282=CARTESIAN_POINT('',(7.57554871005006,13.2217558721602,5.3)); #50283=CARTESIAN_POINT('',(7.82991913746631,13.2217558721602,5.3)); #50284=CARTESIAN_POINT('',(7.74512899499423,13.2217558721602,5.3)); #50285=CARTESIAN_POINT('',(7.66033885252214,13.2217558721602,5.3)); #50286=CARTESIAN_POINT('',(7.57554871005006,13.2217558721602,5.3)); #50287=CARTESIAN_POINT('',(9.3,13.6098960338853,5.3)); #50288=CARTESIAN_POINT('',(9.3,13.800269541779,5.3)); #50289=CARTESIAN_POINT('',(9.3,13.9906430496727,5.3)); #50290=CARTESIAN_POINT('',(9.3,14.1810165575665,5.3)); #50291=CARTESIAN_POINT('',(9.3,13.6098960338853,5.3)); #50292=CARTESIAN_POINT('',(9.3,14.1810165575665,5.3)); #50293=CARTESIAN_POINT('',(7.57554871005006,13.6098960338853,5.3)); #50294=CARTESIAN_POINT('',(8.15036580670004,13.6098960338853,5.3)); #50295=CARTESIAN_POINT('',(8.72518290335002,13.6098960338853,5.3)); #50296=CARTESIAN_POINT('',(9.3,13.6098960338853,5.3)); #50297=CARTESIAN_POINT('',(7.57554871005006,13.6098960338853,5.3)); #50298=CARTESIAN_POINT('',(7.57554871005006,14.1810165575665,5.3)); #50299=CARTESIAN_POINT('',(7.57554871005006,13.9906430496727,5.3)); #50300=CARTESIAN_POINT('',(7.57554871005006,13.800269541779,5.3)); #50301=CARTESIAN_POINT('',(7.57554871005006,13.6098960338853,5.3)); #50302=CARTESIAN_POINT('',(7.57554871005006,14.1810165575665,5.3)); #50303=CARTESIAN_POINT('',(8.17924528301887,14.1810165575665,5.3)); #50304=CARTESIAN_POINT('',(7.97801309202926,14.1810165575665,5.3)); #50305=CARTESIAN_POINT('',(7.77678090103966,14.1810165575665,5.3)); #50306=CARTESIAN_POINT('',(7.57554871005006,14.1810165575665,5.3)); #50307=CARTESIAN_POINT('',(8.17924528301887,14.1810165575665,5.3)); #50308=CARTESIAN_POINT('',(8.17924528301887,14.5747015787448,5.3)); #50309=CARTESIAN_POINT('',(8.17924528301887,14.443473238352,5.3)); #50310=CARTESIAN_POINT('',(8.17924528301887,14.3122448979592,5.3)); #50311=CARTESIAN_POINT('',(8.17924528301887,14.1810165575665,5.3)); #50312=CARTESIAN_POINT('',(8.17924528301887,14.5747015787448,5.3)); #50313=CARTESIAN_POINT('',(7.57554871005006,14.5747015787448,5.3)); #50314=CARTESIAN_POINT('',(7.77678090103966,14.5747015787448,5.3)); #50315=CARTESIAN_POINT('',(7.97801309202926,14.5747015787448,5.3)); #50316=CARTESIAN_POINT('',(8.17924528301887,14.5747015787448,5.3)); #50317=CARTESIAN_POINT('',(7.57554871005006,14.5747015787448,5.3)); #50318=CARTESIAN_POINT('',(7.57554871005006,15.1465152098576,5.3)); #50319=CARTESIAN_POINT('',(7.57554871005006,14.9559106661533,5.3)); #50320=CARTESIAN_POINT('',(7.57554871005006,14.765306122449,5.3)); #50321=CARTESIAN_POINT('',(7.57554871005006,14.5747015787448,5.3)); #50322=CARTESIAN_POINT('',(7.57554871005006,15.1465152098576,5.3)); #50323=CARTESIAN_POINT('',(9.3,15.1465152098576,5.3)); #50324=CARTESIAN_POINT('',(8.72518290335002,15.1465152098576,5.3)); #50325=CARTESIAN_POINT('',(8.15036580670004,15.1465152098576,5.3)); #50326=CARTESIAN_POINT('',(7.57554871005006,15.1465152098576,5.3)); #50327=CARTESIAN_POINT('',(9.3,15.1465152098576,5.3)); #50328=CARTESIAN_POINT('',(9.3,14.5747015787448,5.3)); #50329=CARTESIAN_POINT('',(9.3,14.765306122449,5.3)); #50330=CARTESIAN_POINT('',(9.3,14.9559106661533,5.3)); #50331=CARTESIAN_POINT('',(9.3,15.1465152098576,5.3)); #50332=CARTESIAN_POINT('',(9.3,14.5747015787448,5.3)); #50333=CARTESIAN_POINT('',(8.43326915671929,14.5747015787448,5.3)); #50334=CARTESIAN_POINT('',(8.72217943781286,14.5747015787448,5.3)); #50335=CARTESIAN_POINT('',(9.01108971890643,14.5747015787448,5.3)); #50336=CARTESIAN_POINT('',(9.3,14.5747015787448,5.3)); #50337=CARTESIAN_POINT('',(8.43326915671929,14.5747015787448,5.3)); #50338=CARTESIAN_POINT('',(8.43326915671929,14.1810165575665,5.3)); #50339=CARTESIAN_POINT('',(8.43326915671929,14.3122448979592,5.3)); #50340=CARTESIAN_POINT('',(8.43326915671929,14.443473238352,5.3)); #50341=CARTESIAN_POINT('',(8.43326915671929,14.5747015787448,5.3)); #50342=CARTESIAN_POINT('',(8.43326915671929,14.1810165575665,5.3)); #50343=CARTESIAN_POINT('',(9.3,14.1810165575665,5.3)); #50344=CARTESIAN_POINT('',(9.01108971890643,14.1810165575665,5.3)); #50345=CARTESIAN_POINT('',(8.72217943781286,14.1810165575665,5.3)); #50346=CARTESIAN_POINT('',(8.43326915671929,14.1810165575665,5.3)); #50347=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.3)); #50348=CARTESIAN_POINT('',(8.58101655756642,18.0770889487871,5.3)); #50349=CARTESIAN_POINT('',(8.79911436272622,18.1309202926454,5.3)); #50350=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.3)); #50351=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.3)); #50352=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.3)); #50353=CARTESIAN_POINT('',(7.57554871005006,16.6990758567579,5.3)); #50354=CARTESIAN_POINT('',(8.05090489025799,16.8323835194456,5.3)); #50355=CARTESIAN_POINT('',(8.52626107046592,16.9656911821333,5.3)); #50356=CARTESIAN_POINT('',(9.10475000232819,17.127921128031,5.3)); #50357=CARTESIAN_POINT('',(9.18217173661918,17.1696958028495,5.3)); #50358=CARTESIAN_POINT('',(9.28791220215037,17.2878334264085,5.3)); #50359=CARTESIAN_POINT('',(9.31559491721217,17.371736619176,5.3)); #50360=CARTESIAN_POINT('',(9.31559491721217,17.5807085098191,5.3)); #50361=CARTESIAN_POINT('',(9.28232576049288,17.6642279553331,5.3)); #50362=CARTESIAN_POINT('',(9.15886334253692,17.7819627353427,5.3)); #50363=CARTESIAN_POINT('',(9.07716596072391,17.8229495571814,5.3)); #50364=CARTESIAN_POINT('',(8.76734370171085,17.9051677618386,5.3)); #50365=CARTESIAN_POINT('',(8.56530612244898,17.9615710435118,5.3)); #50366=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.3)); #50367=CARTESIAN_POINT('',(7.57554871005006,16.6990758567579,5.3)); #50368=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.3)); #50369=CARTESIAN_POINT('',(7.57554871005006,17.0821332306508,5.3)); #50370=CARTESIAN_POINT('',(7.57554871005006,16.8906045437043,5.3)); #50371=CARTESIAN_POINT('',(7.57554871005006,16.6990758567579,5.3)); #50372=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.3)); #50373=CARTESIAN_POINT('',(8.81101270696958,17.6295725837506,5.3)); #50374=CARTESIAN_POINT('',(8.39919137466307,17.5065460146323,5.3)); #50375=CARTESIAN_POINT('',(7.98737004235656,17.3901039661148,5.3)); #50376=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.3)); #50377=CARTESIAN_POINT('',(8.81101270696958,17.6295725837506,5.3)); #50378=CARTESIAN_POINT('',(7.94428186368887,17.8773584905661,5.3)); #50379=CARTESIAN_POINT('',(8.23319214478244,17.7947631882943,5.3)); #50380=CARTESIAN_POINT('',(8.52210242587601,17.7121678860224,5.3)); #50381=CARTESIAN_POINT('',(8.81101270696958,17.6295725837506,5.3)); #50382=CARTESIAN_POINT('',(7.94428186368887,17.8773584905661,5.3)); #50383=CARTESIAN_POINT('',(7.57554871005006,17.7848286484406,5.3)); #50384=CARTESIAN_POINT('',(7.698459761263,17.8156719291491,5.3)); #50385=CARTESIAN_POINT('',(7.82137081247593,17.8465152098576,5.3)); #50386=CARTESIAN_POINT('',(7.94428186368887,17.8773584905661,5.3)); #50387=CARTESIAN_POINT('',(7.57554871005006,17.7848286484406,5.3)); #50388=CARTESIAN_POINT('',(7.57554871005006,18.35941470928,5.3)); #50389=CARTESIAN_POINT('',(7.57554871005006,18.1678860223335,5.3)); #50390=CARTESIAN_POINT('',(7.57554871005006,17.9763573353871,5.3)); #50391=CARTESIAN_POINT('',(7.57554871005006,17.7848286484406,5.3)); #50392=CARTESIAN_POINT('',(7.57554871005006,18.35941470928,5.3)); #50393=CARTESIAN_POINT('',(8.82972660762418,18.6893338467463,5.3)); #50394=CARTESIAN_POINT('',(8.41166730843281,18.5793608009242,5.3)); #50395=CARTESIAN_POINT('',(7.99360800924143,18.4693877551021,5.3)); #50396=CARTESIAN_POINT('',(7.57554871005006,18.35941470928,5.3)); #50397=CARTESIAN_POINT('',(8.82972660762418,18.6893338467463,5.3)); #50398=CARTESIAN_POINT('',(8.82972660762418,18.6997304582211,5.3)); #50399=CARTESIAN_POINT('',(8.82972660762418,18.6962649210628,5.3)); #50400=CARTESIAN_POINT('',(8.82972660762418,18.6927993839046,5.3)); #50401=CARTESIAN_POINT('',(8.82972660762418,18.6893338467463,5.3)); #50402=CARTESIAN_POINT('',(8.82972660762418,18.6997304582211,5.3)); #50403=CARTESIAN_POINT('',(7.57554871005006,19.0518290335003,5.3)); #50404=CARTESIAN_POINT('',(7.99360800924144,18.9344628417405,5.3)); #50405=CARTESIAN_POINT('',(8.41166730843281,18.8170966499808,5.3)); #50406=CARTESIAN_POINT('',(8.82972660762418,18.6997304582211,5.3)); #50407=CARTESIAN_POINT('',(7.57554871005006,19.0518290335003,5.3)); #50408=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.3)); #50409=CARTESIAN_POINT('',(7.57554871005006,19.2445128994995,5.3)); #50410=CARTESIAN_POINT('',(7.57554871005006,19.1481709664999,5.3)); #50411=CARTESIAN_POINT('',(7.57554871005006,19.0518290335003,5.3)); #50412=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.3)); #50413=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.3)); #50414=CARTESIAN_POINT('',(9.11944551405468,18.212129380054,5.3)); #50415=CARTESIAN_POINT('',(9.19499422410474,18.2568348093955,5.3)); #50416=CARTESIAN_POINT('',(9.2916344148246,18.3812851269413,5.3)); #50417=CARTESIAN_POINT('',(9.31559491721217,18.4654601463228,5.3)); #50418=CARTESIAN_POINT('',(9.31559491721217,18.6498267231422,5.3)); #50419=CARTESIAN_POINT('',(9.30346553715826,18.7097805159801,5.3)); #50420=CARTESIAN_POINT('',(9.25045127158561,18.8016221309862,5.3)); #50421=CARTESIAN_POINT('',(9.20157874470543,18.8369657296882,5.3)); #50422=CARTESIAN_POINT('',(8.61291152195452,19.0185446740193,5.3)); #50423=CARTESIAN_POINT('',(8.09433962264151,19.1800539083559,5.3)); #50424=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.3)); #50425=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.3)); #50426=CARTESIAN_POINT('',(9.00473623411629,19.0310358105507,5.3)); #50427=CARTESIAN_POINT('',(9.05256064690027,19.0109356950328,5.3)); #50428=CARTESIAN_POINT('',(9.16588371197536,19.0109356950328,5.3)); #50429=CARTESIAN_POINT('',(9.21301501732769,19.0310358105507,5.3)); #50430=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.3)); #50431=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.3)); #50432=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.3)); #50433=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.3)); #50434=CARTESIAN_POINT('',(9.28994994224105,19.1117828263382,5.3)); #50435=CARTESIAN_POINT('',(9.3093569503273,19.1592606854063,5.3)); #50436=CARTESIAN_POINT('',(9.3093569503273,19.2701578744706,5.3)); #50437=CARTESIAN_POINT('',(9.29029649595688,19.3179822872546,5.3)); #50438=CARTESIAN_POINT('',(9.21352983630537,19.3968237214913,5.3)); #50439=CARTESIAN_POINT('',(9.16623026569118,19.416750096265,5.3)); #50440=CARTESIAN_POINT('',(9.05186753946862,19.416750096265,5.3)); #50441=CARTESIAN_POINT('',(9.00404312668464,19.3969965344629,5.3)); #50442=CARTESIAN_POINT('',(8.92796952947447,19.3188482027834,5.3)); #50443=CARTESIAN_POINT('',(8.90908740854833,19.2705044281864,5.3)); #50444=CARTESIAN_POINT('',(8.90908740854833,19.1585675779747,5.3)); #50445=CARTESIAN_POINT('',(8.92814786291875,19.1110897189065,5.3)); #50446=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.3)); #50447=CARTESIAN_POINT('',(11.05,10.2999745028047,5.3)); #50448=CARTESIAN_POINT('',(11.05,10.2666581676016,5.3)); #50449=CARTESIAN_POINT('',(11.05,10.2333418323984,5.3)); #50450=CARTESIAN_POINT('',(11.05,10.2000254971953,5.3)); #50451=CARTESIAN_POINT('',(11.05,10.2999745028047,5.3)); #50452=CARTESIAN_POINT('',(11.05,10.2000254971953,5.3)); #50453=CARTESIAN_POINT('',(11.05,10.2000254971953,5.3)); #50454=CARTESIAN_POINT('',(10.7166666666667,10.2000254971953,5.3)); #50455=CARTESIAN_POINT('',(10.3833333333333,10.2000254971953,5.3)); #50456=CARTESIAN_POINT('',(10.05,10.2000254971953,5.3)); #50457=CARTESIAN_POINT('',(10.05,10.2000254971953,5.3)); #50458=CARTESIAN_POINT('',(10.05,10.2000254971953,5.3)); #50459=CARTESIAN_POINT('',(10.05,10.2333418323984,5.3)); #50460=CARTESIAN_POINT('',(10.05,10.2666581676016,5.3)); #50461=CARTESIAN_POINT('',(10.05,10.2999745028047,5.3)); #50462=CARTESIAN_POINT('',(10.05,10.2999745028047,5.3)); #50463=CARTESIAN_POINT('',(10.05,10.2999745028047,5.3)); #50464=CARTESIAN_POINT('',(10.3833333333333,10.2999745028047,5.3)); #50465=CARTESIAN_POINT('',(10.7166666666667,10.2999745028047,5.3)); #50466=CARTESIAN_POINT('',(11.05,10.2999745028047,5.3)); #50467=CARTESIAN_POINT('',(6.35,10.25,5.3)); #50468=CARTESIAN_POINT('',(11.45,7.3,4.3)); #50469=CARTESIAN_POINT('',(12.3160254037844,7.3,4.8)); #50470=CARTESIAN_POINT('',(12.45,7.3,4.8)); #50471=CARTESIAN_POINT('',(12.45,7.3,4.8)); #50472=CARTESIAN_POINT('',(11.45,7.3,4.8)); #50473=CARTESIAN_POINT('',(11.45,7.3,5.3)); #50474=CARTESIAN_POINT('',(12.45,6.2713205623731,4.3)); #50475=CARTESIAN_POINT('',(12.45,5.56421378118655,5.00710678118655)); #50476=CARTESIAN_POINT('',(12.45,7.2285535,6.6714465)); #50477=CARTESIAN_POINT('',(12.45,4.85355360940673,4.29644660940673)); #50478=CARTESIAN_POINT('',(12.45,4.50000021881345,4.65)); #50479=CARTESIAN_POINT('',(12.45,4.50000021881345,4.15)); #50480=CARTESIAN_POINT('',(12.45,4.707107,4.15)); #50481=CARTESIAN_POINT('',(12.45,2.5570853021784,4.15)); #50482=CARTESIAN_POINT('',(12.45,2.5570853021784,3.6)); #50483=CARTESIAN_POINT('',(12.45,2.5570853021784,3.65)); #50484=CARTESIAN_POINT('',(12.45,10.25,3.65)); #50485=CARTESIAN_POINT('',(12.45,4.50000043762691,3.65)); #50486=CARTESIAN_POINT('',(12.45,4.50000043762691,4.65)); #50487=CARTESIAN_POINT('',(12.45,5.20710721881345,3.94289321881345)); #50488=CARTESIAN_POINT('',(12.45,4.914214,3.65)); #50489=CARTESIAN_POINT('',(12.45,5.91776739059327,4.65355339059327)); #50490=CARTESIAN_POINT('',(12.45,6.27132078118655,4.3)); #50491=CARTESIAN_POINT('',(12.45,6.27132078118655,4.8)); #50492=CARTESIAN_POINT('',(12.45,4.914214,4.8)); #50493=CARTESIAN_POINT('',(12.45,10.25,3.65)); #50494=CARTESIAN_POINT('',(2.,18.5,3.65)); #50495=CARTESIAN_POINT('',(2.43190598582131,19.5927406917525,3.65)); #50496=CARTESIAN_POINT('',(0.907259308247498,18.0680940141787,3.65)); #50497=CARTESIAN_POINT('',(2.55708530217846,19.9094497377943,3.65)); #50498=CARTESIAN_POINT('',(2.55708530217846,20.25,3.65)); #50499=CARTESIAN_POINT('',(6.35,20.25,3.65)); #50500=CARTESIAN_POINT('',(4.5000004376269,20.25,3.65)); #50501=CARTESIAN_POINT('',(4.5000004376269,18.792893,3.65)); #50502=CARTESIAN_POINT('',(4.5000004376269,18.792893,3.65)); #50503=CARTESIAN_POINT('',(1.707107,18.792893,3.65)); #50504=CARTESIAN_POINT('',(1.707107,15.9999995623731,3.65)); #50505=CARTESIAN_POINT('',(0.25,15.9999995623731,3.65)); #50506=CARTESIAN_POINT('',(0.25,15.9999995623731,3.65)); #50507=CARTESIAN_POINT('',(0.25,10.25,3.65)); #50508=CARTESIAN_POINT('',(0.249999999999992,17.9429146978216,3.65)); #50509=CARTESIAN_POINT('',(0.590550262205681,17.9429146978216,3.65)); #50510=CARTESIAN_POINT('',(6.35,10.25,3.65)); #50511=CARTESIAN_POINT('',(0.25,0.250000000000001,4.8)); #50512=CARTESIAN_POINT('',(0.25,1.05,4.8)); #50513=CARTESIAN_POINT('',(0.25,14.2286792188135,4.8)); #50514=CARTESIAN_POINT('',(0.25,1.05,3.65)); #50515=CARTESIAN_POINT('',(0.25,14.2286794376269,4.3)); #50516=CARTESIAN_POINT('',(0.25,14.9357862188135,5.00710678118655)); #50517=CARTESIAN_POINT('',(0.25,13.2714465,6.6714465)); #50518=CARTESIAN_POINT('',(0.25,15.6464463905933,4.29644660940673)); #50519=CARTESIAN_POINT('',(0.25,15.9999997811865,4.65)); #50520=CARTESIAN_POINT('',(0.25,15.9999997811865,4.15)); #50521=CARTESIAN_POINT('',(0.25,20.25,4.15)); #50522=CARTESIAN_POINT('',(0.249999999999992,17.9429146978216,4.15)); #50523=CARTESIAN_POINT('',(0.249999999999992,17.9429146978216,3.6)); #50524=CARTESIAN_POINT('',(0.25,15.9999995623731,4.65)); #50525=CARTESIAN_POINT('',(0.25,15.2928927811865,3.94289321881345)); #50526=CARTESIAN_POINT('',(0.25,14.435786,4.8)); #50527=CARTESIAN_POINT('',(0.25,14.5822326094067,4.65355339059327)); #50528=CARTESIAN_POINT('',(0.25,14.2286792188135,4.3)); #50529=CARTESIAN_POINT('',(0.25,10.25,3.65)); #50530=CARTESIAN_POINT('',(11.65,20.25,3.65)); #50531=CARTESIAN_POINT('',(11.65,20.25,4.8)); #50532=CARTESIAN_POINT('',(4.914214,20.25,4.8)); #50533=CARTESIAN_POINT('',(6.27132078118655,20.25,4.8)); #50534=CARTESIAN_POINT('',(6.27132078118655,20.25,4.3)); #50535=CARTESIAN_POINT('',(5.91776739059327,20.25,4.65355339059327)); #50536=CARTESIAN_POINT('',(6.064214,20.25,4.8)); #50537=CARTESIAN_POINT('',(5.20710721881345,20.25,3.94289321881345)); #50538=CARTESIAN_POINT('',(4.5000004376269,20.25,4.65)); #50539=CARTESIAN_POINT('',(2.55708530217846,20.25,3.6)); #50540=CARTESIAN_POINT('',(2.55708530217846,20.25,4.15)); #50541=CARTESIAN_POINT('',(0.25,20.25,4.15)); #50542=CARTESIAN_POINT('',(4.50000021881345,20.25,4.15)); #50543=CARTESIAN_POINT('',(4.50000021881345,20.25,4.65)); #50544=CARTESIAN_POINT('',(4.85355360940673,20.25,4.29644660940673)); #50545=CARTESIAN_POINT('',(5.2785535,20.25,4.7214465)); #50546=CARTESIAN_POINT('',(5.56421378118655,20.25,5.00710678118655)); #50547=CARTESIAN_POINT('',(6.2713205623731,20.25,4.3)); #50548=CARTESIAN_POINT('',(6.35,20.25,3.65)); #50549=CARTESIAN_POINT('',(2.43190598582131,19.5927406917525,3.6)); #50550=CARTESIAN_POINT('',(2.43190598582131,19.5927406917525,4.15)); #50551=CARTESIAN_POINT('',(0.907259308247498,18.0680940141787,3.6)); #50552=CARTESIAN_POINT('',(0.9072593082475,18.0680940141787,4.15)); #50553=CARTESIAN_POINT('',(2.,18.5,4.15)); #50554=CARTESIAN_POINT('',(2.,18.5,4.15)); #50555=CARTESIAN_POINT('',(1.05,0.250000000000001,3.65)); #50556=CARTESIAN_POINT('',(1.05,0.250000000000001,4.8)); #50557=CARTESIAN_POINT('',(0.25,0.250000000000001,4.8)); #50558=CARTESIAN_POINT('',(6.42867921881345,0.250000000000001,4.8)); #50559=CARTESIAN_POINT('',(6.42867921881345,0.250000000000001,4.3)); #50560=CARTESIAN_POINT('',(6.78223260940673,0.250000000000001,4.65355339059327)); #50561=CARTESIAN_POINT('',(7.785786,0.250000000000001,3.65)); #50562=CARTESIAN_POINT('',(7.49289278118655,0.250000000000001,3.94289321881345)); #50563=CARTESIAN_POINT('',(8.1999995623731,0.250000000000001,4.65)); #50564=CARTESIAN_POINT('',(8.1999995623731,0.250000000000001,3.65)); #50565=CARTESIAN_POINT('',(6.35,0.250000000000001,3.65)); #50566=CARTESIAN_POINT('',(10.1429146978216,0.250000000000001,3.65)); #50567=CARTESIAN_POINT('',(10.1429146978216,0.250000000000001,3.6)); #50568=CARTESIAN_POINT('',(10.1429146978216,0.250000000000001,4.15)); #50569=CARTESIAN_POINT('',(7.992893,0.250000000000001,4.15)); #50570=CARTESIAN_POINT('',(8.19999978118655,0.250000000000001,4.15)); #50571=CARTESIAN_POINT('',(8.19999978118655,0.250000000000001,4.65)); #50572=CARTESIAN_POINT('',(7.84644639059327,0.250000000000001,4.29644660940673)); #50573=CARTESIAN_POINT('',(7.4214465,0.250000000000001,4.7214465)); #50574=CARTESIAN_POINT('',(7.13578621881345,0.250000000000001,5.00710678118655)); #50575=CARTESIAN_POINT('',(6.4286794376269,0.250000000000001,4.3)); #50576=CARTESIAN_POINT('',(6.35,0.250000000000001,3.65)); #50577=CARTESIAN_POINT('',(10.7,2.,3.65)); #50578=CARTESIAN_POINT('',(10.2680940141787,0.907259308247496,3.65)); #50579=CARTESIAN_POINT('',(11.7927406917525,2.43190598582126,3.65)); #50580=CARTESIAN_POINT('',(10.1429146978216,0.590550262205675,3.65)); #50581=CARTESIAN_POINT('',(8.1999995623731,1.707107,3.65)); #50582=CARTESIAN_POINT('',(8.1999995623731,1.707107,3.65)); #50583=CARTESIAN_POINT('',(10.992893,1.707107,3.65)); #50584=CARTESIAN_POINT('',(10.992893,4.50000043762691,3.65)); #50585=CARTESIAN_POINT('',(12.45,4.50000043762691,3.65)); #50586=CARTESIAN_POINT('',(12.1094497377943,2.5570853021784,3.65)); #50587=CARTESIAN_POINT('',(6.35,10.25,3.65)); #50588=CARTESIAN_POINT('',(12.45,16.4,4.8)); #50589=CARTESIAN_POINT('',(12.45,16.4,4.8)); #50590=CARTESIAN_POINT('',(12.45,4.914214,4.8)); #50591=CARTESIAN_POINT('',(12.45,19.45,4.8)); #50592=CARTESIAN_POINT('',(12.45,19.45,3.65)); #50593=CARTESIAN_POINT('',(12.45,10.25,3.65)); #50594=CARTESIAN_POINT('',(10.2680940141787,0.907259308247482,3.6)); #50595=CARTESIAN_POINT('',(10.2680940141787,0.907259308247482,4.15)); #50596=CARTESIAN_POINT('',(11.7927406917525,2.43190598582126,3.6)); #50597=CARTESIAN_POINT('',(11.7927406917525,2.43190598582126,4.15)); #50598=CARTESIAN_POINT('',(10.7,2.,4.15)); #50599=CARTESIAN_POINT('',(10.7,2.,4.15)); #50600=CARTESIAN_POINT('',(12.1094497377943,2.5570853021784,4.15)); #50601=CARTESIAN_POINT('',(7.992893,4.50000021881345,4.15)); #50602=CARTESIAN_POINT('',(10.7,4.50000021881345,4.15)); #50603=CARTESIAN_POINT('',(10.7,2.,4.15)); #50604=CARTESIAN_POINT('',(8.19999978118655,2.,4.15)); #50605=CARTESIAN_POINT('',(8.19999978118655,4.707107,4.15)); #50606=CARTESIAN_POINT('',(10.1429146978216,0.590550262205675,4.15)); #50607=CARTESIAN_POINT('',(7.992893,4.707107,4.15)); #50608=CARTESIAN_POINT('',(0.590550262205681,17.9429146978216,4.15)); #50609=CARTESIAN_POINT('',(0.25,15.9999997811865,4.15)); #50610=CARTESIAN_POINT('',(2.,15.9999997811865,4.15)); #50611=CARTESIAN_POINT('',(2.,18.5,4.15)); #50612=CARTESIAN_POINT('',(4.50000021881345,18.5,4.15)); #50613=CARTESIAN_POINT('',(4.50000021881345,20.25,4.15)); #50614=CARTESIAN_POINT('',(2.55708530217846,19.9094497377943,4.15)); #50615=CARTESIAN_POINT('',(0.25,20.25,4.15)); #50616=CARTESIAN_POINT('',(2.9,3.6,4.8)); #50617=CARTESIAN_POINT('',(3.65,3.6,4.8)); #50618=CARTESIAN_POINT('',(11.45,7.3,4.8)); #50619=CARTESIAN_POINT('',(11.45,7.3,4.8)); #50620=CARTESIAN_POINT('',(11.45,16.4,4.8)); #50621=CARTESIAN_POINT('',(11.45,7.3,4.8)); #50622=CARTESIAN_POINT('',(10.992893,6.27132078118655,4.8)); #50623=CARTESIAN_POINT('',(10.992893,6.27132078118655,4.8)); #50624=CARTESIAN_POINT('',(10.992893,1.707107,4.8)); #50625=CARTESIAN_POINT('',(6.42867921881346,1.707107,4.8)); #50626=CARTESIAN_POINT('',(6.42867921881345,0.250000000000001,4.8)); #50627=CARTESIAN_POINT('',(0.25,1.05,4.8)); #50628=CARTESIAN_POINT('',(1.707107,14.2286792188135,4.8)); #50629=CARTESIAN_POINT('',(1.707107,14.2286792188135,4.8)); #50630=CARTESIAN_POINT('',(1.707107,18.792893,4.8)); #50631=CARTESIAN_POINT('',(6.27132078118655,18.792893,4.8)); #50632=CARTESIAN_POINT('',(6.27132078118655,20.25,4.8)); #50633=CARTESIAN_POINT('',(12.45,19.45,4.8)); #50634=CARTESIAN_POINT('',(11.45,16.4,4.8)); #50635=CARTESIAN_POINT('',(12.3160254037844,16.4,4.8)); #50636=CARTESIAN_POINT('',(11.45,16.4,4.8)); #50637=CARTESIAN_POINT('',(0.25,0.250000000000001,4.8)); #50638=CARTESIAN_POINT('',(6.35,5.56421378118655,5.00710678118655)); #50639=CARTESIAN_POINT('',(10.7,5.56421378118655,5.00710678118655)); #50640=CARTESIAN_POINT('',(10.7,4.707107,4.15)); #50641=CARTESIAN_POINT('',(10.7,4.85355360940673,4.29644660940673)); #50642=CARTESIAN_POINT('',(6.35,4.85355360940673,4.29644660940673)); #50643=CARTESIAN_POINT('',(6.35,5.857107,5.3)); #50644=CARTESIAN_POINT('',(10.7,2.,5.00710678118655)); #50645=CARTESIAN_POINT('',(7.13578621881345,2.,5.00710678118655)); #50646=CARTESIAN_POINT('',(7.992893,2.,4.15)); #50647=CARTESIAN_POINT('',(7.84644639059327,2.,4.29644660940673)); #50648=CARTESIAN_POINT('',(10.7,2.,4.29644660940673)); #50649=CARTESIAN_POINT('',(10.7,2.,5.3)); #50650=CARTESIAN_POINT('',(7.13578621881345,4.707107,5.00710678118655)); #50651=CARTESIAN_POINT('',(7.84644639059327,4.707107,4.29644660940673)); #50652=CARTESIAN_POINT('',(7.992893,4.707107,4.15)); #50653=CARTESIAN_POINT('',(5.857107,18.5,5.3)); #50654=CARTESIAN_POINT('',(5.56421378118655,18.5,5.00710678118655)); #50655=CARTESIAN_POINT('',(4.85355360940673,18.5,4.29644660940673)); #50656=CARTESIAN_POINT('',(5.56421378118655,10.25,5.00710678118655)); #50657=CARTESIAN_POINT('',(4.85355360940673,10.25,4.29644660940673)); #50658=CARTESIAN_POINT('',(5.857107,10.25,5.3)); #50659=CARTESIAN_POINT('',(2.,14.642893,5.3)); #50660=CARTESIAN_POINT('',(2.,14.9357862188135,5.00710678118655)); #50661=CARTESIAN_POINT('',(2.,15.6464463905933,4.29644660940673)); #50662=CARTESIAN_POINT('',(2.,18.5,5.00710678118655)); #50663=CARTESIAN_POINT('',(2.,18.5,4.29644660940673)); #50664=CARTESIAN_POINT('',(2.,18.5,5.3)); #50665=CARTESIAN_POINT('',(0.25,14.9357862188135,5.00710678118655)); #50666=CARTESIAN_POINT('',(0.25,15.6464463905933,4.29644660940673)); #50667=CARTESIAN_POINT('',(0.25,15.792893,4.15)); #50668=CARTESIAN_POINT('',(1.707107,15.2928927811865,3.94289321881345)); #50669=CARTESIAN_POINT('',(1.707107,15.2928927811865,3.94289321881345)); #50670=CARTESIAN_POINT('',(1.707107,14.435786,4.8)); #50671=CARTESIAN_POINT('',(1.707107,14.5822326094067,4.65355339059327)); #50672=CARTESIAN_POINT('',(0.25,14.5822326094067,4.65355339059327)); #50673=CARTESIAN_POINT('',(0.25,14.435786,4.8)); #50674=CARTESIAN_POINT('',(1.707107,18.792893,3.94289321881345)); #50675=CARTESIAN_POINT('',(5.20710721881345,18.792893,3.94289321881345)); #50676=CARTESIAN_POINT('',(6.064214,18.792893,4.8)); #50677=CARTESIAN_POINT('',(5.91776739059327,18.792893,4.65355339059327)); #50678=CARTESIAN_POINT('',(1.707107,18.792893,4.65355339059327)); #50679=CARTESIAN_POINT('',(1.707107,18.792893,4.8)); #50680=CARTESIAN_POINT('',(5.20710721881345,20.25,3.94289321881345)); #50681=CARTESIAN_POINT('',(5.91776739059327,18.792893,4.65355339059327)); #50682=CARTESIAN_POINT('',(6.064214,0.250000000000001,4.8)); #50683=CARTESIAN_POINT('',(7.785786,1.707107,3.65)); #50684=CARTESIAN_POINT('',(7.49289278118655,1.707107,3.94289321881345)); #50685=CARTESIAN_POINT('',(6.78223260940673,1.707107,4.65355339059327)); #50686=CARTESIAN_POINT('',(7.49289278118655,0.250000000000001,3.94289321881345)); #50687=CARTESIAN_POINT('',(6.78223260940673,1.707107,4.65355339059327)); #50688=CARTESIAN_POINT('',(7.785786,15.585786,3.65)); #50689=CARTESIAN_POINT('',(10.992893,4.914214,3.65)); #50690=CARTESIAN_POINT('',(10.992893,5.20710721881345,3.94289321881345)); #50691=CARTESIAN_POINT('',(10.992893,5.91776739059327,4.65355339059327)); #50692=CARTESIAN_POINT('',(10.992893,1.707107,3.94289321881345)); #50693=CARTESIAN_POINT('',(10.992893,1.707107,4.65355339059327)); #50694=CARTESIAN_POINT('',(10.992893,1.707107,4.8)); #50695=CARTESIAN_POINT('',(10.992893,5.20710721881345,3.94289321881345)); #50696=CARTESIAN_POINT('',(12.45,5.91776739059327,4.65355339059327)); #50697=CARTESIAN_POINT('',(12.45,4.914214,3.65)); #50698=CARTESIAN_POINT('',(8.19999978118655,2.,4.65)); #50699=CARTESIAN_POINT('',(8.19999978118655,4.707107,4.65)); #50700=CARTESIAN_POINT('',(10.7,4.50000021881345,4.65)); #50701=CARTESIAN_POINT('',(10.7,2.,4.65)); #50702=CARTESIAN_POINT('',(6.35,4.50000021881345,4.65)); #50703=CARTESIAN_POINT('',(6.42867921881345,1.707107,4.3)); #50704=CARTESIAN_POINT('',(6.42867921881345,0.250000000000001,4.3)); #50705=CARTESIAN_POINT('',(10.992893,6.27132078118655,4.3)); #50706=CARTESIAN_POINT('',(10.992893,1.707107,4.3)); #50707=CARTESIAN_POINT('',(0.25,6.27132078118655,4.3)); #50708=CARTESIAN_POINT('',(6.27132078118655,18.792893,4.3)); #50709=CARTESIAN_POINT('',(6.27132078118655,0.250000000000001,4.3)); #50710=CARTESIAN_POINT('',(1.707107,14.2286792188135,4.3)); #50711=CARTESIAN_POINT('',(1.707107,18.792893,4.3)); #50712=CARTESIAN_POINT('',(0.25,14.2286792188135,4.3)); #50713=CARTESIAN_POINT('',(4.50000021881345,18.5,4.65)); #50714=CARTESIAN_POINT('',(4.50000021881345,10.25,4.65)); #50715=CARTESIAN_POINT('',(2.,15.9999997811865,4.65)); #50716=CARTESIAN_POINT('',(2.,18.5,4.65)); #50717=CARTESIAN_POINT('',(0.25,15.9999997811865,4.65)); #50718=CARTESIAN_POINT('',(1.707107,15.9999995623731,4.65)); #50719=CARTESIAN_POINT('',(0.25,15.9999995623731,4.65)); #50720=CARTESIAN_POINT('',(4.5000004376269,18.792893,4.65)); #50721=CARTESIAN_POINT('',(1.707107,18.792893,4.65)); #50722=CARTESIAN_POINT('',(4.5000004376269,0.250000000000001,4.65)); #50723=CARTESIAN_POINT('',(10.992893,4.50000043762691,4.65)); #50724=CARTESIAN_POINT('',(6.35,4.50000043762691,4.65)); #50725=CARTESIAN_POINT('',(8.1999995623731,1.707107,4.65)); #50726=CARTESIAN_POINT('',(10.992893,1.707107,4.65)); #50727=CARTESIAN_POINT('',(8.1999995623731,10.25,4.65)); #50728=CARTESIAN_POINT('',(2.,14.2286794376269,4.3)); #50729=CARTESIAN_POINT('',(6.35,14.2286794376269,4.3)); #50730=CARTESIAN_POINT('',(6.2713205623731,18.5,4.3)); #50731=CARTESIAN_POINT('',(2.,18.5,4.3)); #50732=CARTESIAN_POINT('',(6.2713205623731,10.25,4.3)); #50733=CARTESIAN_POINT('',(6.4286794376269,2.,4.3)); #50734=CARTESIAN_POINT('',(6.4286794376269,10.25,4.3)); #50735=CARTESIAN_POINT('',(10.7,6.2713205623731,4.3)); #50736=CARTESIAN_POINT('',(10.7,2.,4.3)); #50737=CARTESIAN_POINT('',(6.35,6.2713205623731,4.3)); #50738=CARTESIAN_POINT('',(12.1094497377943,2.5570853021784,3.6)); #50739=CARTESIAN_POINT('',(10.1429146978216,0.590550262205675,3.6)); #50740=CARTESIAN_POINT('',(0.590550262205681,17.9429146978216,3.6)); #50741=CARTESIAN_POINT('',(2.55708530217846,19.9094497377943,3.6)); #50742=CARTESIAN_POINT('',(12.45,19.45,3.65)); #50743=CARTESIAN_POINT('',(0.25,1.05,3.65)); #50744=CARTESIAN_POINT('',(11.45,16.4,4.3)); #50745=CARTESIAN_POINT('',(12.45,16.4,5.3)); #50746=CARTESIAN_POINT('',(11.45,16.4,4.3)); #50747=CARTESIAN_POINT('',(11.95,16.4,4.3)); #50748=CARTESIAN_POINT('',(11.45,16.4,4.3)); #50749=CARTESIAN_POINT('',(12.45,16.4,4.3)); #50750=CARTESIAN_POINT('',(12.45,16.4,4.3)); #50751=CARTESIAN_POINT('',(12.45,16.4,3.95)); #50752=CARTESIAN_POINT('',(12.45,16.4,3.95)); #50753=CARTESIAN_POINT('',(11.95,16.4,3.95)); #50754=CARTESIAN_POINT('',(11.95,16.4,3.95)); #50755=CARTESIAN_POINT('',(11.45,16.4,4.3)); #50756=CARTESIAN_POINT('',(11.45,7.3,4.3)); #50757=CARTESIAN_POINT('',(11.95,7.3,4.3)); #50758=CARTESIAN_POINT('',(11.95,7.3,3.95)); #50759=CARTESIAN_POINT('',(11.95,7.3,3.95)); #50760=CARTESIAN_POINT('',(12.45,7.3,3.95)); #50761=CARTESIAN_POINT('',(12.45,7.3,3.95)); #50762=CARTESIAN_POINT('',(12.45,7.3,4.3)); #50763=CARTESIAN_POINT('',(12.45,7.3,4.3)); #50764=CARTESIAN_POINT('',(11.45,7.3,4.3)); #50765=CARTESIAN_POINT('',(11.45,7.3,4.3)); #50766=CARTESIAN_POINT('',(12.45,16.4,3.95)); #50767=CARTESIAN_POINT('',(12.45,16.4,4.3)); #50768=CARTESIAN_POINT('',(12.45,16.4,4.3)); #50769=CARTESIAN_POINT('',(11.95,16.4,3.95)); #50770=CARTESIAN_POINT('',(12.45,16.4,3.95)); #50771=CARTESIAN_POINT('',(11.95,16.4,4.3)); #50772=CARTESIAN_POINT('',(11.95,16.4,3.95)); #50773=CARTESIAN_POINT('',(11.45,16.4,4.3)); #50774=CARTESIAN_POINT('',(11.45,16.4,4.3)); #50775=CARTESIAN_POINT('',(2.9,3.6,5.3)); #50776=CARTESIAN_POINT('',(4.92502549719531,1.2,5.25)); #50777=CARTESIAN_POINT('',(4.92502549719531,1.2,5.25)); #50778=CARTESIAN_POINT('',(4.92502549719531,1.2,5.25)); #50779=CARTESIAN_POINT('',(4.92502549719531,1.53333333333333,5.25)); #50780=CARTESIAN_POINT('',(4.92502549719531,1.86666666666667,5.25)); #50781=CARTESIAN_POINT('',(4.92502549719531,2.2,5.25)); #50782=CARTESIAN_POINT('',(4.92502549719531,2.2,5.25)); #50783=CARTESIAN_POINT('',(4.92502549719531,2.2,5.25)); #50784=CARTESIAN_POINT('',(4.92502549719531,1.2,5.25)); #50785=CARTESIAN_POINT('',(4.92502549719531,2.2,5.25)); #50786=CARTESIAN_POINT('',(4.95834183239843,2.2,5.25)); #50787=CARTESIAN_POINT('',(4.99165816760156,2.2,5.25)); #50788=CARTESIAN_POINT('',(5.02497450280469,2.2,5.25)); #50789=CARTESIAN_POINT('',(5.02497450280469,2.2,5.25)); #50790=CARTESIAN_POINT('',(5.02497450280469,2.2,5.25)); #50791=CARTESIAN_POINT('',(4.92502549719531,2.2,5.25)); #50792=CARTESIAN_POINT('',(5.02497450280469,2.2,5.25)); #50793=CARTESIAN_POINT('',(5.02497450280469,1.86666666666667,5.25)); #50794=CARTESIAN_POINT('',(5.02497450280469,1.53333333333333,5.25)); #50795=CARTESIAN_POINT('',(5.02497450280469,1.2,5.25)); #50796=CARTESIAN_POINT('',(5.02497450280469,1.2,5.25)); #50797=CARTESIAN_POINT('',(5.02497450280469,1.2,5.25)); #50798=CARTESIAN_POINT('',(5.02497450280469,2.2,5.25)); #50799=CARTESIAN_POINT('',(5.02497450280469,1.2,5.25)); #50800=CARTESIAN_POINT('',(4.99165816760156,1.2,5.25)); #50801=CARTESIAN_POINT('',(4.95834183239843,1.2,5.25)); #50802=CARTESIAN_POINT('',(4.92502549719531,1.2,5.25)); #50803=CARTESIAN_POINT('',(5.02497450280469,1.2,5.25)); #50804=CARTESIAN_POINT('',(4.92502549719531,1.7,5.25)); #50805=CARTESIAN_POINT('',(4.975,13.3521315655278,5.25)); #50806=CARTESIAN_POINT('',(4.975,13.3521315655278,5.25)); #50807=CARTESIAN_POINT('',(4.975,13.3521315655278,5.25)); #50808=CARTESIAN_POINT('',(4.44166666666667,13.3521315655278,5.25)); #50809=CARTESIAN_POINT('',(3.90833333333333,13.3521315655278,5.25)); #50810=CARTESIAN_POINT('',(3.375,13.3521315655278,5.25)); #50811=CARTESIAN_POINT('',(3.375,13.3521315655278,5.25)); #50812=CARTESIAN_POINT('',(3.375,13.3521315655278,5.25)); #50813=CARTESIAN_POINT('',(4.975,13.3521315655278,5.25)); #50814=CARTESIAN_POINT('',(3.375,13.3521315655278,5.25)); #50815=CARTESIAN_POINT('',(3.375,13.4001070882203,5.25)); #50816=CARTESIAN_POINT('',(3.375,13.4480826109128,5.25)); #50817=CARTESIAN_POINT('',(3.375,13.4960581336053,5.25)); #50818=CARTESIAN_POINT('',(3.375,13.4960581336053,5.25)); #50819=CARTESIAN_POINT('',(3.375,13.4960581336053,5.25)); #50820=CARTESIAN_POINT('',(3.375,13.3521315655278,5.25)); #50821=CARTESIAN_POINT('',(3.375,13.4960581336053,5.25)); #50822=CARTESIAN_POINT('',(3.90833333333333,13.4960581336053,5.25)); #50823=CARTESIAN_POINT('',(4.44166666666667,13.4960581336053,5.25)); #50824=CARTESIAN_POINT('',(4.975,13.4960581336053,5.25)); #50825=CARTESIAN_POINT('',(4.975,13.4960581336053,5.25)); #50826=CARTESIAN_POINT('',(4.975,13.4960581336053,5.25)); #50827=CARTESIAN_POINT('',(3.375,13.4960581336053,5.25)); #50828=CARTESIAN_POINT('',(4.975,13.4960581336053,5.25)); #50829=CARTESIAN_POINT('',(4.975,13.4480826109128,5.25)); #50830=CARTESIAN_POINT('',(4.975,13.4001070882203,5.25)); #50831=CARTESIAN_POINT('',(4.975,13.3521315655278,5.25)); #50832=CARTESIAN_POINT('',(4.975,13.4960581336053,5.25)); #50833=CARTESIAN_POINT('',(4.175,13.3521315655278,5.25)); #50834=CARTESIAN_POINT('',(4.975,12.4452473227945,5.25)); #50835=CARTESIAN_POINT('',(4.975,12.4452473227945,5.25)); #50836=CARTESIAN_POINT('',(4.975,12.4452473227945,5.25)); #50837=CARTESIAN_POINT('',(4.44166666666667,12.4452473227945,5.25)); #50838=CARTESIAN_POINT('',(3.90833333333333,12.4452473227945,5.25)); #50839=CARTESIAN_POINT('',(3.375,12.4452473227945,5.25)); #50840=CARTESIAN_POINT('',(3.375,12.4452473227945,5.25)); #50841=CARTESIAN_POINT('',(3.375,12.4452473227945,5.25)); #50842=CARTESIAN_POINT('',(4.975,12.4452473227945,5.25)); #50843=CARTESIAN_POINT('',(3.375,12.4452473227945,5.25)); #50844=CARTESIAN_POINT('',(3.375,12.493222845487,5.25)); #50845=CARTESIAN_POINT('',(3.375,12.5411983681795,5.25)); #50846=CARTESIAN_POINT('',(3.375,12.589173890872,5.25)); #50847=CARTESIAN_POINT('',(3.375,12.589173890872,5.25)); #50848=CARTESIAN_POINT('',(3.375,12.589173890872,5.25)); #50849=CARTESIAN_POINT('',(3.375,12.4452473227945,5.25)); #50850=CARTESIAN_POINT('',(3.375,12.589173890872,5.25)); #50851=CARTESIAN_POINT('',(3.90833333333333,12.589173890872,5.25)); #50852=CARTESIAN_POINT('',(4.44166666666667,12.589173890872,5.25)); #50853=CARTESIAN_POINT('',(4.975,12.589173890872,5.25)); #50854=CARTESIAN_POINT('',(4.975,12.589173890872,5.25)); #50855=CARTESIAN_POINT('',(4.975,12.589173890872,5.25)); #50856=CARTESIAN_POINT('',(3.375,12.589173890872,5.25)); #50857=CARTESIAN_POINT('',(4.975,12.589173890872,5.25)); #50858=CARTESIAN_POINT('',(4.975,12.5411983681795,5.25)); #50859=CARTESIAN_POINT('',(4.975,12.493222845487,5.25)); #50860=CARTESIAN_POINT('',(4.975,12.4452473227945,5.25)); #50861=CARTESIAN_POINT('',(4.975,12.589173890872,5.25)); #50862=CARTESIAN_POINT('',(4.175,12.4452473227945,5.25)); #50863=CARTESIAN_POINT('',(4.975,11.5383630800612,5.25)); #50864=CARTESIAN_POINT('',(4.975,11.5383630800612,5.25)); #50865=CARTESIAN_POINT('',(4.975,11.5383630800612,5.25)); #50866=CARTESIAN_POINT('',(4.44166666666667,11.5383630800612,5.25)); #50867=CARTESIAN_POINT('',(3.90833333333333,11.5383630800612,5.25)); #50868=CARTESIAN_POINT('',(3.375,11.5383630800612,5.25)); #50869=CARTESIAN_POINT('',(3.375,11.5383630800612,5.25)); #50870=CARTESIAN_POINT('',(3.375,11.5383630800612,5.25)); #50871=CARTESIAN_POINT('',(4.975,11.5383630800612,5.25)); #50872=CARTESIAN_POINT('',(3.375,11.5383630800612,5.25)); #50873=CARTESIAN_POINT('',(3.375,11.5863386027537,5.25)); #50874=CARTESIAN_POINT('',(3.375,11.6343141254462,5.25)); #50875=CARTESIAN_POINT('',(3.375,11.6822896481387,5.25)); #50876=CARTESIAN_POINT('',(3.375,11.6822896481387,5.25)); #50877=CARTESIAN_POINT('',(3.375,11.6822896481387,5.25)); #50878=CARTESIAN_POINT('',(3.375,11.5383630800612,5.25)); #50879=CARTESIAN_POINT('',(3.375,11.6822896481387,5.25)); #50880=CARTESIAN_POINT('',(3.90833333333333,11.6822896481387,5.25)); #50881=CARTESIAN_POINT('',(4.44166666666667,11.6822896481387,5.25)); #50882=CARTESIAN_POINT('',(4.975,11.6822896481387,5.25)); #50883=CARTESIAN_POINT('',(4.975,11.6822896481387,5.25)); #50884=CARTESIAN_POINT('',(4.975,11.6822896481387,5.25)); #50885=CARTESIAN_POINT('',(3.375,11.6822896481387,5.25)); #50886=CARTESIAN_POINT('',(4.975,11.6822896481387,5.25)); #50887=CARTESIAN_POINT('',(4.975,11.6343141254462,5.25)); #50888=CARTESIAN_POINT('',(4.975,11.5863386027537,5.25)); #50889=CARTESIAN_POINT('',(4.975,11.5383630800612,5.25)); #50890=CARTESIAN_POINT('',(4.975,11.6822896481387,5.25)); #50891=CARTESIAN_POINT('',(4.175,11.5383630800612,5.25)); #50892=CARTESIAN_POINT('',(4.975,10.6314788373279,5.25)); #50893=CARTESIAN_POINT('',(4.975,10.6314788373279,5.25)); #50894=CARTESIAN_POINT('',(4.975,10.6314788373279,5.25)); #50895=CARTESIAN_POINT('',(4.44166666666667,10.6314788373279,5.25)); #50896=CARTESIAN_POINT('',(3.90833333333333,10.6314788373279,5.25)); #50897=CARTESIAN_POINT('',(3.375,10.6314788373279,5.25)); #50898=CARTESIAN_POINT('',(3.375,10.6314788373279,5.25)); #50899=CARTESIAN_POINT('',(3.375,10.6314788373279,5.25)); #50900=CARTESIAN_POINT('',(4.975,10.6314788373279,5.25)); #50901=CARTESIAN_POINT('',(3.375,10.6314788373279,5.25)); #50902=CARTESIAN_POINT('',(3.375,10.6794543600204,5.25)); #50903=CARTESIAN_POINT('',(3.375,10.7274298827129,5.25)); #50904=CARTESIAN_POINT('',(3.375,10.7754054054054,5.25)); #50905=CARTESIAN_POINT('',(3.375,10.7754054054054,5.25)); #50906=CARTESIAN_POINT('',(3.375,10.7754054054054,5.25)); #50907=CARTESIAN_POINT('',(3.375,10.6314788373279,5.25)); #50908=CARTESIAN_POINT('',(3.375,10.7754054054054,5.25)); #50909=CARTESIAN_POINT('',(3.90833333333333,10.7754054054054,5.25)); #50910=CARTESIAN_POINT('',(4.44166666666667,10.7754054054054,5.25)); #50911=CARTESIAN_POINT('',(4.975,10.7754054054054,5.25)); #50912=CARTESIAN_POINT('',(4.975,10.7754054054054,5.25)); #50913=CARTESIAN_POINT('',(4.975,10.7754054054054,5.25)); #50914=CARTESIAN_POINT('',(3.375,10.7754054054054,5.25)); #50915=CARTESIAN_POINT('',(4.975,10.7754054054054,5.25)); #50916=CARTESIAN_POINT('',(4.975,10.7274298827129,5.25)); #50917=CARTESIAN_POINT('',(4.975,10.6794543600204,5.25)); #50918=CARTESIAN_POINT('',(4.975,10.6314788373279,5.25)); #50919=CARTESIAN_POINT('',(4.975,10.7754054054054,5.25)); #50920=CARTESIAN_POINT('',(4.175,10.6314788373279,5.25)); #50921=CARTESIAN_POINT('',(4.975,9.72459459459459,5.25)); #50922=CARTESIAN_POINT('',(4.975,9.72459459459459,5.25)); #50923=CARTESIAN_POINT('',(4.975,9.72459459459459,5.25)); #50924=CARTESIAN_POINT('',(4.44166666666667,9.72459459459459,5.25)); #50925=CARTESIAN_POINT('',(3.90833333333333,9.72459459459459,5.25)); #50926=CARTESIAN_POINT('',(3.375,9.72459459459459,5.25)); #50927=CARTESIAN_POINT('',(3.375,9.72459459459459,5.25)); #50928=CARTESIAN_POINT('',(3.375,9.72459459459459,5.25)); #50929=CARTESIAN_POINT('',(4.975,9.72459459459459,5.25)); #50930=CARTESIAN_POINT('',(3.375,9.72459459459459,5.25)); #50931=CARTESIAN_POINT('',(3.375,9.7725701172871,5.25)); #50932=CARTESIAN_POINT('',(3.375,9.8205456399796,5.25)); #50933=CARTESIAN_POINT('',(3.375,9.86852116267211,5.25)); #50934=CARTESIAN_POINT('',(3.375,9.86852116267211,5.25)); #50935=CARTESIAN_POINT('',(3.375,9.86852116267211,5.25)); #50936=CARTESIAN_POINT('',(3.375,9.72459459459459,5.25)); #50937=CARTESIAN_POINT('',(3.375,9.86852116267211,5.25)); #50938=CARTESIAN_POINT('',(3.90833333333333,9.86852116267211,5.25)); #50939=CARTESIAN_POINT('',(4.44166666666667,9.86852116267211,5.25)); #50940=CARTESIAN_POINT('',(4.975,9.86852116267211,5.25)); #50941=CARTESIAN_POINT('',(4.975,9.86852116267211,5.25)); #50942=CARTESIAN_POINT('',(4.975,9.86852116267211,5.25)); #50943=CARTESIAN_POINT('',(3.375,9.86852116267211,5.25)); #50944=CARTESIAN_POINT('',(4.975,9.86852116267211,5.25)); #50945=CARTESIAN_POINT('',(4.975,9.8205456399796,5.25)); #50946=CARTESIAN_POINT('',(4.975,9.7725701172871,5.25)); #50947=CARTESIAN_POINT('',(4.975,9.72459459459459,5.25)); #50948=CARTESIAN_POINT('',(4.975,9.86852116267211,5.25)); #50949=CARTESIAN_POINT('',(4.175,9.72459459459459,5.25)); #50950=CARTESIAN_POINT('',(4.975,8.8177103518613,5.25)); #50951=CARTESIAN_POINT('',(4.975,8.8177103518613,5.25)); #50952=CARTESIAN_POINT('',(4.975,8.8177103518613,5.25)); #50953=CARTESIAN_POINT('',(4.44166666666667,8.8177103518613,5.25)); #50954=CARTESIAN_POINT('',(3.90833333333333,8.8177103518613,5.25)); #50955=CARTESIAN_POINT('',(3.375,8.8177103518613,5.25)); #50956=CARTESIAN_POINT('',(3.375,8.8177103518613,5.25)); #50957=CARTESIAN_POINT('',(3.375,8.8177103518613,5.25)); #50958=CARTESIAN_POINT('',(4.975,8.8177103518613,5.25)); #50959=CARTESIAN_POINT('',(3.375,8.8177103518613,5.25)); #50960=CARTESIAN_POINT('',(3.375,8.8656858745538,5.25)); #50961=CARTESIAN_POINT('',(3.375,8.9136613972463,5.25)); #50962=CARTESIAN_POINT('',(3.375,8.96163691993881,5.25)); #50963=CARTESIAN_POINT('',(3.375,8.96163691993881,5.25)); #50964=CARTESIAN_POINT('',(3.375,8.96163691993881,5.25)); #50965=CARTESIAN_POINT('',(3.375,8.8177103518613,5.25)); #50966=CARTESIAN_POINT('',(3.375,8.96163691993881,5.25)); #50967=CARTESIAN_POINT('',(3.90833333333333,8.96163691993881,5.25)); #50968=CARTESIAN_POINT('',(4.44166666666667,8.96163691993881,5.25)); #50969=CARTESIAN_POINT('',(4.975,8.96163691993881,5.25)); #50970=CARTESIAN_POINT('',(4.975,8.96163691993881,5.25)); #50971=CARTESIAN_POINT('',(4.975,8.96163691993881,5.25)); #50972=CARTESIAN_POINT('',(3.375,8.96163691993881,5.25)); #50973=CARTESIAN_POINT('',(4.975,8.96163691993881,5.25)); #50974=CARTESIAN_POINT('',(4.975,8.9136613972463,5.25)); #50975=CARTESIAN_POINT('',(4.975,8.8656858745538,5.25)); #50976=CARTESIAN_POINT('',(4.975,8.8177103518613,5.25)); #50977=CARTESIAN_POINT('',(4.975,8.96163691993881,5.25)); #50978=CARTESIAN_POINT('',(4.175,8.8177103518613,5.25)); #50979=CARTESIAN_POINT('',(4.975,7.91082610912799,5.25)); #50980=CARTESIAN_POINT('',(4.975,7.91082610912799,5.25)); #50981=CARTESIAN_POINT('',(4.975,7.91082610912799,5.25)); #50982=CARTESIAN_POINT('',(4.44166666666667,7.91082610912799,5.25)); #50983=CARTESIAN_POINT('',(3.90833333333333,7.91082610912799,5.25)); #50984=CARTESIAN_POINT('',(3.375,7.91082610912799,5.25)); #50985=CARTESIAN_POINT('',(3.375,7.91082610912799,5.25)); #50986=CARTESIAN_POINT('',(3.375,7.91082610912799,5.25)); #50987=CARTESIAN_POINT('',(4.975,7.91082610912799,5.25)); #50988=CARTESIAN_POINT('',(3.375,7.91082610912799,5.25)); #50989=CARTESIAN_POINT('',(3.375,7.9588016318205,5.25)); #50990=CARTESIAN_POINT('',(3.375,8.006777154513,5.25)); #50991=CARTESIAN_POINT('',(3.375,8.05475267720551,5.25)); #50992=CARTESIAN_POINT('',(3.375,8.05475267720551,5.25)); #50993=CARTESIAN_POINT('',(3.375,8.05475267720551,5.25)); #50994=CARTESIAN_POINT('',(3.375,7.91082610912799,5.25)); #50995=CARTESIAN_POINT('',(3.375,8.05475267720551,5.25)); #50996=CARTESIAN_POINT('',(3.90833333333333,8.05475267720551,5.25)); #50997=CARTESIAN_POINT('',(4.44166666666667,8.05475267720551,5.25)); #50998=CARTESIAN_POINT('',(4.975,8.05475267720551,5.25)); #50999=CARTESIAN_POINT('',(4.975,8.05475267720551,5.25)); #51000=CARTESIAN_POINT('',(4.975,8.05475267720551,5.25)); #51001=CARTESIAN_POINT('',(3.375,8.05475267720551,5.25)); #51002=CARTESIAN_POINT('',(4.975,8.05475267720551,5.25)); #51003=CARTESIAN_POINT('',(4.975,8.006777154513,5.25)); #51004=CARTESIAN_POINT('',(4.975,7.9588016318205,5.25)); #51005=CARTESIAN_POINT('',(4.975,7.91082610912799,5.25)); #51006=CARTESIAN_POINT('',(4.975,8.05475267720551,5.25)); #51007=CARTESIAN_POINT('',(4.175,7.91082610912799,5.25)); #51008=CARTESIAN_POINT('',(4.975,7.00394186639469,5.25)); #51009=CARTESIAN_POINT('',(4.975,7.00394186639469,5.25)); #51010=CARTESIAN_POINT('',(4.975,7.00394186639469,5.25)); #51011=CARTESIAN_POINT('',(4.44166666666667,7.00394186639469,5.25)); #51012=CARTESIAN_POINT('',(3.90833333333333,7.00394186639469,5.25)); #51013=CARTESIAN_POINT('',(3.375,7.00394186639469,5.25)); #51014=CARTESIAN_POINT('',(3.375,7.00394186639469,5.25)); #51015=CARTESIAN_POINT('',(3.375,7.00394186639469,5.25)); #51016=CARTESIAN_POINT('',(4.975,7.00394186639469,5.25)); #51017=CARTESIAN_POINT('',(3.375,7.00394186639469,5.25)); #51018=CARTESIAN_POINT('',(3.375,7.0519173890872,5.25)); #51019=CARTESIAN_POINT('',(3.375,7.0998929117797,5.25)); #51020=CARTESIAN_POINT('',(3.375,7.14786843447221,5.25)); #51021=CARTESIAN_POINT('',(3.375,7.14786843447221,5.25)); #51022=CARTESIAN_POINT('',(3.375,7.14786843447221,5.25)); #51023=CARTESIAN_POINT('',(3.375,7.00394186639469,5.25)); #51024=CARTESIAN_POINT('',(3.375,7.14786843447221,5.25)); #51025=CARTESIAN_POINT('',(3.90833333333333,7.14786843447221,5.25)); #51026=CARTESIAN_POINT('',(4.44166666666667,7.14786843447221,5.25)); #51027=CARTESIAN_POINT('',(4.975,7.14786843447221,5.25)); #51028=CARTESIAN_POINT('',(4.975,7.14786843447221,5.25)); #51029=CARTESIAN_POINT('',(4.975,7.14786843447221,5.25)); #51030=CARTESIAN_POINT('',(3.375,7.14786843447221,5.25)); #51031=CARTESIAN_POINT('',(4.975,7.14786843447221,5.25)); #51032=CARTESIAN_POINT('',(4.975,7.0998929117797,5.25)); #51033=CARTESIAN_POINT('',(4.975,7.0519173890872,5.25)); #51034=CARTESIAN_POINT('',(4.975,7.00394186639469,5.25)); #51035=CARTESIAN_POINT('',(4.975,7.14786843447221,5.25)); #51036=CARTESIAN_POINT('',(4.175,7.00394186639469,5.25)); #51037=CARTESIAN_POINT('',(1.2,10.2000254971953,5.25)); #51038=CARTESIAN_POINT('',(1.2,10.2000254971953,5.25)); #51039=CARTESIAN_POINT('',(1.2,10.2999745028047,5.25)); #51040=CARTESIAN_POINT('',(1.2,10.2666581676016,5.25)); #51041=CARTESIAN_POINT('',(1.2,10.2333418323984,5.25)); #51042=CARTESIAN_POINT('',(1.2,10.2000254971953,5.25)); #51043=CARTESIAN_POINT('',(1.2,10.2999745028047,5.25)); #51044=CARTESIAN_POINT('',(1.2,10.2999745028047,5.25)); #51045=CARTESIAN_POINT('',(1.2,10.2999745028047,5.25)); #51046=CARTESIAN_POINT('',(2.2,10.2000254971953,5.25)); #51047=CARTESIAN_POINT('',(2.2,10.2000254971953,5.25)); #51048=CARTESIAN_POINT('',(1.2,10.2000254971953,5.25)); #51049=CARTESIAN_POINT('',(1.53333333333333,10.2000254971953,5.25)); #51050=CARTESIAN_POINT('',(1.86666666666667,10.2000254971953,5.25)); #51051=CARTESIAN_POINT('',(2.2,10.2000254971953,5.25)); #51052=CARTESIAN_POINT('',(1.2,10.2000254971953,5.25)); #51053=CARTESIAN_POINT('',(2.2,10.2999745028047,5.25)); #51054=CARTESIAN_POINT('',(2.2,10.2999745028047,5.25)); #51055=CARTESIAN_POINT('',(2.2,10.2000254971953,5.25)); #51056=CARTESIAN_POINT('',(2.2,10.2333418323984,5.25)); #51057=CARTESIAN_POINT('',(2.2,10.2666581676016,5.25)); #51058=CARTESIAN_POINT('',(2.2,10.2999745028047,5.25)); #51059=CARTESIAN_POINT('',(2.2,10.2000254971953,5.25)); #51060=CARTESIAN_POINT('',(2.2,10.2999745028047,5.25)); #51061=CARTESIAN_POINT('',(1.86666666666667,10.2999745028047,5.25)); #51062=CARTESIAN_POINT('',(1.53333333333333,10.2999745028047,5.25)); #51063=CARTESIAN_POINT('',(1.2,10.2999745028047,5.25)); #51064=CARTESIAN_POINT('',(2.2,10.2999745028047,5.25)); #51065=CARTESIAN_POINT('',(1.2,10.25,5.25)); #51066=CARTESIAN_POINT('',(4.975,6.55049974502804,5.25)); #51067=CARTESIAN_POINT('',(4.975,6.55049974502804,5.25)); #51068=CARTESIAN_POINT('',(4.975,6.55049974502804,5.25)); #51069=CARTESIAN_POINT('',(4.44166666666667,6.55049974502804,5.25)); #51070=CARTESIAN_POINT('',(3.90833333333333,6.55049974502804,5.25)); #51071=CARTESIAN_POINT('',(3.375,6.55049974502804,5.25)); #51072=CARTESIAN_POINT('',(3.375,6.55049974502804,5.25)); #51073=CARTESIAN_POINT('',(3.375,6.55049974502804,5.25)); #51074=CARTESIAN_POINT('',(4.975,6.55049974502804,5.25)); #51075=CARTESIAN_POINT('',(3.375,6.55049974502804,5.25)); #51076=CARTESIAN_POINT('',(3.375,6.59847526772055,5.25)); #51077=CARTESIAN_POINT('',(3.375,6.64645079041305,5.25)); #51078=CARTESIAN_POINT('',(3.375,6.69442631310556,5.25)); #51079=CARTESIAN_POINT('',(3.375,6.69442631310556,5.25)); #51080=CARTESIAN_POINT('',(3.375,6.69442631310556,5.25)); #51081=CARTESIAN_POINT('',(3.375,6.55049974502804,5.25)); #51082=CARTESIAN_POINT('',(3.375,6.69442631310556,5.25)); #51083=CARTESIAN_POINT('',(3.90833333333333,6.69442631310556,5.25)); #51084=CARTESIAN_POINT('',(4.44166666666667,6.69442631310556,5.25)); #51085=CARTESIAN_POINT('',(4.975,6.69442631310556,5.25)); #51086=CARTESIAN_POINT('',(4.975,6.69442631310556,5.25)); #51087=CARTESIAN_POINT('',(4.975,6.69442631310556,5.25)); #51088=CARTESIAN_POINT('',(3.375,6.69442631310556,5.25)); #51089=CARTESIAN_POINT('',(4.975,6.69442631310556,5.25)); #51090=CARTESIAN_POINT('',(4.975,6.64645079041305,5.25)); #51091=CARTESIAN_POINT('',(4.975,6.59847526772055,5.25)); #51092=CARTESIAN_POINT('',(4.975,6.55049974502804,5.25)); #51093=CARTESIAN_POINT('',(4.975,6.69442631310556,5.25)); #51094=CARTESIAN_POINT('',(4.175,6.55049974502804,5.25)); #51095=CARTESIAN_POINT('',(4.975,7.45738398776134,5.25)); #51096=CARTESIAN_POINT('',(4.975,7.45738398776134,5.25)); #51097=CARTESIAN_POINT('',(4.975,7.45738398776134,5.25)); #51098=CARTESIAN_POINT('',(4.44166666666667,7.45738398776134,5.25)); #51099=CARTESIAN_POINT('',(3.90833333333333,7.45738398776134,5.25)); #51100=CARTESIAN_POINT('',(3.375,7.45738398776134,5.25)); #51101=CARTESIAN_POINT('',(3.375,7.45738398776134,5.25)); #51102=CARTESIAN_POINT('',(3.375,7.45738398776134,5.25)); #51103=CARTESIAN_POINT('',(4.975,7.45738398776134,5.25)); #51104=CARTESIAN_POINT('',(3.375,7.45738398776134,5.25)); #51105=CARTESIAN_POINT('',(3.375,7.50535951045385,5.25)); #51106=CARTESIAN_POINT('',(3.375,7.55333503314635,5.25)); #51107=CARTESIAN_POINT('',(3.375,7.60131055583885,5.25)); #51108=CARTESIAN_POINT('',(3.375,7.60131055583885,5.25)); #51109=CARTESIAN_POINT('',(3.375,7.60131055583885,5.25)); #51110=CARTESIAN_POINT('',(3.375,7.45738398776134,5.25)); #51111=CARTESIAN_POINT('',(3.375,7.60131055583885,5.25)); #51112=CARTESIAN_POINT('',(3.90833333333333,7.60131055583885,5.25)); #51113=CARTESIAN_POINT('',(4.44166666666667,7.60131055583885,5.25)); #51114=CARTESIAN_POINT('',(4.975,7.60131055583885,5.25)); #51115=CARTESIAN_POINT('',(4.975,7.60131055583885,5.25)); #51116=CARTESIAN_POINT('',(4.975,7.60131055583885,5.25)); #51117=CARTESIAN_POINT('',(3.375,7.60131055583885,5.25)); #51118=CARTESIAN_POINT('',(4.975,7.60131055583885,5.25)); #51119=CARTESIAN_POINT('',(4.975,7.55333503314635,5.25)); #51120=CARTESIAN_POINT('',(4.975,7.50535951045385,5.25)); #51121=CARTESIAN_POINT('',(4.975,7.45738398776134,5.25)); #51122=CARTESIAN_POINT('',(4.975,7.60131055583885,5.25)); #51123=CARTESIAN_POINT('',(4.175,7.45738398776134,5.25)); #51124=CARTESIAN_POINT('',(4.975,8.36426823049464,5.25)); #51125=CARTESIAN_POINT('',(4.975,8.36426823049464,5.25)); #51126=CARTESIAN_POINT('',(4.975,8.36426823049464,5.25)); #51127=CARTESIAN_POINT('',(4.44166666666667,8.36426823049464,5.25)); #51128=CARTESIAN_POINT('',(3.90833333333333,8.36426823049464,5.25)); #51129=CARTESIAN_POINT('',(3.375,8.36426823049464,5.25)); #51130=CARTESIAN_POINT('',(3.375,8.36426823049464,5.25)); #51131=CARTESIAN_POINT('',(3.375,8.36426823049464,5.25)); #51132=CARTESIAN_POINT('',(4.975,8.36426823049464,5.25)); #51133=CARTESIAN_POINT('',(3.375,8.36426823049464,5.25)); #51134=CARTESIAN_POINT('',(3.375,8.41224375318715,5.25)); #51135=CARTESIAN_POINT('',(3.375,8.46021927587965,5.25)); #51136=CARTESIAN_POINT('',(3.375,8.50819479857216,5.25)); #51137=CARTESIAN_POINT('',(3.375,8.50819479857216,5.25)); #51138=CARTESIAN_POINT('',(3.375,8.50819479857216,5.25)); #51139=CARTESIAN_POINT('',(3.375,8.36426823049464,5.25)); #51140=CARTESIAN_POINT('',(3.375,8.50819479857216,5.25)); #51141=CARTESIAN_POINT('',(3.90833333333333,8.50819479857216,5.25)); #51142=CARTESIAN_POINT('',(4.44166666666667,8.50819479857216,5.25)); #51143=CARTESIAN_POINT('',(4.975,8.50819479857216,5.25)); #51144=CARTESIAN_POINT('',(4.975,8.50819479857216,5.25)); #51145=CARTESIAN_POINT('',(4.975,8.50819479857216,5.25)); #51146=CARTESIAN_POINT('',(3.375,8.50819479857216,5.25)); #51147=CARTESIAN_POINT('',(4.975,8.50819479857216,5.25)); #51148=CARTESIAN_POINT('',(4.975,8.46021927587965,5.25)); #51149=CARTESIAN_POINT('',(4.975,8.41224375318715,5.25)); #51150=CARTESIAN_POINT('',(4.975,8.36426823049464,5.25)); #51151=CARTESIAN_POINT('',(4.975,8.50819479857216,5.25)); #51152=CARTESIAN_POINT('',(4.175,8.36426823049464,5.25)); #51153=CARTESIAN_POINT('',(4.975,9.27115247322794,5.25)); #51154=CARTESIAN_POINT('',(4.975,9.27115247322794,5.25)); #51155=CARTESIAN_POINT('',(4.975,9.27115247322794,5.25)); #51156=CARTESIAN_POINT('',(4.44166666666667,9.27115247322794,5.25)); #51157=CARTESIAN_POINT('',(3.90833333333333,9.27115247322794,5.25)); #51158=CARTESIAN_POINT('',(3.375,9.27115247322794,5.25)); #51159=CARTESIAN_POINT('',(3.375,9.27115247322794,5.25)); #51160=CARTESIAN_POINT('',(3.375,9.27115247322794,5.25)); #51161=CARTESIAN_POINT('',(4.975,9.27115247322794,5.25)); #51162=CARTESIAN_POINT('',(3.375,9.27115247322794,5.25)); #51163=CARTESIAN_POINT('',(3.375,9.31912799592045,5.25)); #51164=CARTESIAN_POINT('',(3.375,9.36710351861295,5.25)); #51165=CARTESIAN_POINT('',(3.375,9.41507904130546,5.25)); #51166=CARTESIAN_POINT('',(3.375,9.41507904130546,5.25)); #51167=CARTESIAN_POINT('',(3.375,9.41507904130546,5.25)); #51168=CARTESIAN_POINT('',(3.375,9.27115247322794,5.25)); #51169=CARTESIAN_POINT('',(3.375,9.41507904130546,5.25)); #51170=CARTESIAN_POINT('',(3.90833333333333,9.41507904130546,5.25)); #51171=CARTESIAN_POINT('',(4.44166666666667,9.41507904130546,5.25)); #51172=CARTESIAN_POINT('',(4.975,9.41507904130546,5.25)); #51173=CARTESIAN_POINT('',(4.975,9.41507904130546,5.25)); #51174=CARTESIAN_POINT('',(4.975,9.41507904130546,5.25)); #51175=CARTESIAN_POINT('',(3.375,9.41507904130546,5.25)); #51176=CARTESIAN_POINT('',(4.975,9.41507904130546,5.25)); #51177=CARTESIAN_POINT('',(4.975,9.36710351861295,5.25)); #51178=CARTESIAN_POINT('',(4.975,9.31912799592045,5.25)); #51179=CARTESIAN_POINT('',(4.975,9.27115247322794,5.25)); #51180=CARTESIAN_POINT('',(4.975,9.41507904130546,5.25)); #51181=CARTESIAN_POINT('',(4.175,9.27115247322794,5.25)); #51182=CARTESIAN_POINT('',(4.975,10.1780367159612,5.25)); #51183=CARTESIAN_POINT('',(4.975,10.1780367159612,5.25)); #51184=CARTESIAN_POINT('',(4.975,10.1780367159612,5.25)); #51185=CARTESIAN_POINT('',(4.44166666666667,10.1780367159612,5.25)); #51186=CARTESIAN_POINT('',(3.90833333333333,10.1780367159612,5.25)); #51187=CARTESIAN_POINT('',(3.375,10.1780367159612,5.25)); #51188=CARTESIAN_POINT('',(3.375,10.1780367159612,5.25)); #51189=CARTESIAN_POINT('',(3.375,10.1780367159612,5.25)); #51190=CARTESIAN_POINT('',(4.975,10.1780367159612,5.25)); #51191=CARTESIAN_POINT('',(3.375,10.1780367159612,5.25)); #51192=CARTESIAN_POINT('',(3.375,10.2260122386538,5.25)); #51193=CARTESIAN_POINT('',(3.375,10.2739877613463,5.25)); #51194=CARTESIAN_POINT('',(3.375,10.3219632840388,5.25)); #51195=CARTESIAN_POINT('',(3.375,10.3219632840388,5.25)); #51196=CARTESIAN_POINT('',(3.375,10.3219632840388,5.25)); #51197=CARTESIAN_POINT('',(3.375,10.1780367159612,5.25)); #51198=CARTESIAN_POINT('',(3.375,10.3219632840388,5.25)); #51199=CARTESIAN_POINT('',(3.90833333333333,10.3219632840388,5.25)); #51200=CARTESIAN_POINT('',(4.44166666666667,10.3219632840388,5.25)); #51201=CARTESIAN_POINT('',(4.975,10.3219632840388,5.25)); #51202=CARTESIAN_POINT('',(4.975,10.3219632840388,5.25)); #51203=CARTESIAN_POINT('',(4.975,10.3219632840388,5.25)); #51204=CARTESIAN_POINT('',(3.375,10.3219632840388,5.25)); #51205=CARTESIAN_POINT('',(4.975,10.4898776134625,5.25)); #51206=CARTESIAN_POINT('',(4.975,10.3299592044875,5.25)); #51207=CARTESIAN_POINT('',(4.975,10.1700407955125,5.25)); #51208=CARTESIAN_POINT('',(4.975,10.0101223865375,5.25)); #51209=CARTESIAN_POINT('',(4.975,10.0101223865375,5.25)); #51210=CARTESIAN_POINT('',(4.975,10.0101223865375,5.25)); #51211=CARTESIAN_POINT('',(5.50833333333333,10.0101223865375,5.25)); #51212=CARTESIAN_POINT('',(6.04166666666667,10.0101223865375,5.25)); #51213=CARTESIAN_POINT('',(6.575,10.0101223865375,5.25)); #51214=CARTESIAN_POINT('',(6.575,10.0101223865375,5.25)); #51215=CARTESIAN_POINT('',(6.575,10.0101223865375,5.25)); #51216=CARTESIAN_POINT('',(6.575,10.1700407955125,5.25)); #51217=CARTESIAN_POINT('',(6.575,10.3299592044875,5.25)); #51218=CARTESIAN_POINT('',(6.575,10.4898776134625,5.25)); #51219=CARTESIAN_POINT('',(6.575,10.4898776134625,5.25)); #51220=CARTESIAN_POINT('',(6.575,10.4898776134625,5.25)); #51221=CARTESIAN_POINT('',(6.04166666666667,10.4898776134625,5.25)); #51222=CARTESIAN_POINT('',(5.50833333333333,10.4898776134625,5.25)); #51223=CARTESIAN_POINT('',(4.975,10.4898776134625,5.25)); #51224=CARTESIAN_POINT('',(4.975,10.4898776134625,5.25)); #51225=CARTESIAN_POINT('',(4.975,10.4898776134625,5.25)); #51226=CARTESIAN_POINT('',(4.975,10.3299592044875,5.25)); #51227=CARTESIAN_POINT('',(4.975,10.1700407955125,5.25)); #51228=CARTESIAN_POINT('',(4.975,10.0101223865375,5.25)); #51229=CARTESIAN_POINT('',(4.175,10.1780367159612,5.25)); #51230=CARTESIAN_POINT('',(4.975,11.0849209586945,5.25)); #51231=CARTESIAN_POINT('',(4.975,11.0849209586945,5.25)); #51232=CARTESIAN_POINT('',(4.975,11.0849209586945,5.25)); #51233=CARTESIAN_POINT('',(4.44166666666667,11.0849209586945,5.25)); #51234=CARTESIAN_POINT('',(3.90833333333333,11.0849209586945,5.25)); #51235=CARTESIAN_POINT('',(3.375,11.0849209586945,5.25)); #51236=CARTESIAN_POINT('',(3.375,11.0849209586945,5.25)); #51237=CARTESIAN_POINT('',(3.375,11.0849209586945,5.25)); #51238=CARTESIAN_POINT('',(4.975,11.0849209586945,5.25)); #51239=CARTESIAN_POINT('',(3.375,11.0849209586945,5.25)); #51240=CARTESIAN_POINT('',(3.375,11.132896481387,5.25)); #51241=CARTESIAN_POINT('',(3.375,11.1808720040796,5.25)); #51242=CARTESIAN_POINT('',(3.375,11.2288475267721,5.25)); #51243=CARTESIAN_POINT('',(3.375,11.2288475267721,5.25)); #51244=CARTESIAN_POINT('',(3.375,11.2288475267721,5.25)); #51245=CARTESIAN_POINT('',(3.375,11.0849209586945,5.25)); #51246=CARTESIAN_POINT('',(3.375,11.2288475267721,5.25)); #51247=CARTESIAN_POINT('',(3.90833333333333,11.2288475267721,5.25)); #51248=CARTESIAN_POINT('',(4.44166666666667,11.2288475267721,5.25)); #51249=CARTESIAN_POINT('',(4.975,11.2288475267721,5.25)); #51250=CARTESIAN_POINT('',(4.975,11.2288475267721,5.25)); #51251=CARTESIAN_POINT('',(4.975,11.2288475267721,5.25)); #51252=CARTESIAN_POINT('',(3.375,11.2288475267721,5.25)); #51253=CARTESIAN_POINT('',(4.975,11.2288475267721,5.25)); #51254=CARTESIAN_POINT('',(4.975,11.1808720040796,5.25)); #51255=CARTESIAN_POINT('',(4.975,11.132896481387,5.25)); #51256=CARTESIAN_POINT('',(4.975,11.0849209586945,5.25)); #51257=CARTESIAN_POINT('',(4.975,11.2288475267721,5.25)); #51258=CARTESIAN_POINT('',(4.175,11.0849209586945,5.25)); #51259=CARTESIAN_POINT('',(4.975,11.9918052014278,5.25)); #51260=CARTESIAN_POINT('',(4.975,11.9918052014278,5.25)); #51261=CARTESIAN_POINT('',(4.975,11.9918052014278,5.25)); #51262=CARTESIAN_POINT('',(4.44166666666667,11.9918052014278,5.25)); #51263=CARTESIAN_POINT('',(3.90833333333333,11.9918052014278,5.25)); #51264=CARTESIAN_POINT('',(3.375,11.9918052014278,5.25)); #51265=CARTESIAN_POINT('',(3.375,11.9918052014278,5.25)); #51266=CARTESIAN_POINT('',(3.375,11.9918052014278,5.25)); #51267=CARTESIAN_POINT('',(4.975,11.9918052014278,5.25)); #51268=CARTESIAN_POINT('',(3.375,11.9918052014278,5.25)); #51269=CARTESIAN_POINT('',(3.375,12.0397807241203,5.25)); #51270=CARTESIAN_POINT('',(3.375,12.0877562468129,5.25)); #51271=CARTESIAN_POINT('',(3.375,12.1357317695054,5.25)); #51272=CARTESIAN_POINT('',(3.375,12.1357317695054,5.25)); #51273=CARTESIAN_POINT('',(3.375,12.1357317695054,5.25)); #51274=CARTESIAN_POINT('',(3.375,11.9918052014278,5.25)); #51275=CARTESIAN_POINT('',(3.375,12.1357317695054,5.25)); #51276=CARTESIAN_POINT('',(3.90833333333333,12.1357317695054,5.25)); #51277=CARTESIAN_POINT('',(4.44166666666667,12.1357317695054,5.25)); #51278=CARTESIAN_POINT('',(4.975,12.1357317695054,5.25)); #51279=CARTESIAN_POINT('',(4.975,12.1357317695054,5.25)); #51280=CARTESIAN_POINT('',(4.975,12.1357317695054,5.25)); #51281=CARTESIAN_POINT('',(3.375,12.1357317695054,5.25)); #51282=CARTESIAN_POINT('',(4.975,12.1357317695054,5.25)); #51283=CARTESIAN_POINT('',(4.975,12.0877562468129,5.25)); #51284=CARTESIAN_POINT('',(4.975,12.0397807241203,5.25)); #51285=CARTESIAN_POINT('',(4.975,11.9918052014278,5.25)); #51286=CARTESIAN_POINT('',(4.975,12.1357317695054,5.25)); #51287=CARTESIAN_POINT('',(4.175,11.9918052014278,5.25)); #51288=CARTESIAN_POINT('',(4.975,12.8986894441611,5.25)); #51289=CARTESIAN_POINT('',(4.975,12.8986894441611,5.25)); #51290=CARTESIAN_POINT('',(4.975,12.8986894441611,5.25)); #51291=CARTESIAN_POINT('',(4.44166666666667,12.8986894441611,5.25)); #51292=CARTESIAN_POINT('',(3.90833333333333,12.8986894441611,5.25)); #51293=CARTESIAN_POINT('',(3.375,12.8986894441611,5.25)); #51294=CARTESIAN_POINT('',(3.375,12.8986894441611,5.25)); #51295=CARTESIAN_POINT('',(3.375,12.8986894441611,5.25)); #51296=CARTESIAN_POINT('',(4.975,12.8986894441611,5.25)); #51297=CARTESIAN_POINT('',(3.375,12.8986894441611,5.25)); #51298=CARTESIAN_POINT('',(3.375,12.9466649668536,5.25)); #51299=CARTESIAN_POINT('',(3.375,12.9946404895462,5.25)); #51300=CARTESIAN_POINT('',(3.375,13.0426160122387,5.25)); #51301=CARTESIAN_POINT('',(3.375,13.0426160122387,5.25)); #51302=CARTESIAN_POINT('',(3.375,13.0426160122387,5.25)); #51303=CARTESIAN_POINT('',(3.375,12.8986894441611,5.25)); #51304=CARTESIAN_POINT('',(3.375,13.0426160122387,5.25)); #51305=CARTESIAN_POINT('',(3.90833333333333,13.0426160122387,5.25)); #51306=CARTESIAN_POINT('',(4.44166666666667,13.0426160122387,5.25)); #51307=CARTESIAN_POINT('',(4.975,13.0426160122387,5.25)); #51308=CARTESIAN_POINT('',(4.975,13.0426160122387,5.25)); #51309=CARTESIAN_POINT('',(4.975,13.0426160122387,5.25)); #51310=CARTESIAN_POINT('',(3.375,13.0426160122387,5.25)); #51311=CARTESIAN_POINT('',(4.975,13.0426160122387,5.25)); #51312=CARTESIAN_POINT('',(4.975,12.9946404895462,5.25)); #51313=CARTESIAN_POINT('',(4.975,12.9466649668536,5.25)); #51314=CARTESIAN_POINT('',(4.975,12.8986894441611,5.25)); #51315=CARTESIAN_POINT('',(4.975,13.0426160122387,5.25)); #51316=CARTESIAN_POINT('',(4.175,12.8986894441611,5.25)); #51317=CARTESIAN_POINT('',(4.975,13.8055736868944,5.25)); #51318=CARTESIAN_POINT('',(4.975,13.8055736868944,5.25)); #51319=CARTESIAN_POINT('',(4.975,13.8055736868944,5.25)); #51320=CARTESIAN_POINT('',(4.44166666666667,13.8055736868944,5.25)); #51321=CARTESIAN_POINT('',(3.90833333333333,13.8055736868944,5.25)); #51322=CARTESIAN_POINT('',(3.375,13.8055736868944,5.25)); #51323=CARTESIAN_POINT('',(3.375,13.8055736868944,5.25)); #51324=CARTESIAN_POINT('',(3.375,13.8055736868944,5.25)); #51325=CARTESIAN_POINT('',(4.975,13.8055736868944,5.25)); #51326=CARTESIAN_POINT('',(3.375,13.8055736868944,5.25)); #51327=CARTESIAN_POINT('',(3.375,13.8535492095869,5.25)); #51328=CARTESIAN_POINT('',(3.375,13.9015247322795,5.25)); #51329=CARTESIAN_POINT('',(3.375,13.949500254972,5.25)); #51330=CARTESIAN_POINT('',(3.375,13.949500254972,5.25)); #51331=CARTESIAN_POINT('',(3.375,13.949500254972,5.25)); #51332=CARTESIAN_POINT('',(3.375,13.8055736868944,5.25)); #51333=CARTESIAN_POINT('',(3.375,13.949500254972,5.25)); #51334=CARTESIAN_POINT('',(3.90833333333333,13.949500254972,5.25)); #51335=CARTESIAN_POINT('',(4.44166666666667,13.949500254972,5.25)); #51336=CARTESIAN_POINT('',(4.975,13.949500254972,5.25)); #51337=CARTESIAN_POINT('',(4.975,13.949500254972,5.25)); #51338=CARTESIAN_POINT('',(4.975,13.949500254972,5.25)); #51339=CARTESIAN_POINT('',(3.375,13.949500254972,5.25)); #51340=CARTESIAN_POINT('',(4.975,13.949500254972,5.25)); #51341=CARTESIAN_POINT('',(4.975,13.9015247322795,5.25)); #51342=CARTESIAN_POINT('',(4.975,13.8535492095869,5.25)); #51343=CARTESIAN_POINT('',(4.975,13.8055736868944,5.25)); #51344=CARTESIAN_POINT('',(4.975,13.949500254972,5.25)); #51345=CARTESIAN_POINT('',(4.175,13.8055736868944,5.25)); #51346=CARTESIAN_POINT('',(7.55995379283789,10.9930689256835,5.25)); #51347=CARTESIAN_POINT('',(7.55995379283789,10.9930689256835,5.25)); #51348=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.25)); #51349=CARTESIAN_POINT('',(8.54000770119369,10.9930689256835,5.25)); #51350=CARTESIAN_POINT('',(8.04998074701579,10.9930689256835,5.25)); #51351=CARTESIAN_POINT('',(7.55995379283789,10.9930689256835,5.25)); #51352=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.25)); #51353=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.25)); #51354=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.25)); #51355=CARTESIAN_POINT('',(7.55995379283789,10.7075086638429,5.25)); #51356=CARTESIAN_POINT('',(7.55995379283789,10.7075086638429,5.25)); #51357=CARTESIAN_POINT('',(7.55995379283789,10.9930689256835,5.25)); #51358=CARTESIAN_POINT('',(7.55995379283789,10.8978821717366,5.25)); #51359=CARTESIAN_POINT('',(7.55995379283789,10.8026954177898,5.25)); #51360=CARTESIAN_POINT('',(7.55995379283789,10.7075086638429,5.25)); #51361=CARTESIAN_POINT('',(7.55995379283789,10.9930689256835,5.25)); #51362=CARTESIAN_POINT('',(8.4360415864459,10.7075086638429,5.25)); #51363=CARTESIAN_POINT('',(8.4360415864459,10.7075086638429,5.25)); #51364=CARTESIAN_POINT('',(7.55995379283789,10.7075086638429,5.25)); #51365=CARTESIAN_POINT('',(7.85198305737389,10.7075086638429,5.25)); #51366=CARTESIAN_POINT('',(8.1440123219099,10.7075086638429,5.25)); #51367=CARTESIAN_POINT('',(8.4360415864459,10.7075086638429,5.25)); #51368=CARTESIAN_POINT('',(7.55995379283789,10.7075086638429,5.25)); #51369=CARTESIAN_POINT('',(7.78278783211398,10.2850596842511,5.25)); #51370=CARTESIAN_POINT('',(7.78278783211398,10.2850596842511,5.25)); #51371=CARTESIAN_POINT('',(8.4360415864459,10.7075086638429,5.25)); #51372=CARTESIAN_POINT('',(8.21829033500193,10.5666923373123,5.25)); #51373=CARTESIAN_POINT('',(8.00053908355795,10.4258760107817,5.25)); #51374=CARTESIAN_POINT('',(7.78278783211398,10.2850596842511,5.25)); #51375=CARTESIAN_POINT('',(8.4360415864459,10.7075086638429,5.25)); #51376=CARTESIAN_POINT('',(9.3,9.64636118598385,5.25)); #51377=CARTESIAN_POINT('',(9.3,9.64636118598385,5.25)); #51378=CARTESIAN_POINT('',(7.78278783211398,10.2850596842511,5.25)); #51379=CARTESIAN_POINT('',(7.70619946091644,10.2382749326146,5.25)); #51380=CARTESIAN_POINT('',(7.65179052753177,10.1921832884097,5.25)); #51381=CARTESIAN_POINT('',(7.57961605250538,10.0931353386395,5.25)); #51382=CARTESIAN_POINT('',(7.55995379283789,10.0258375048133,5.25)); #51383=CARTESIAN_POINT('',(7.55995379283789,9.86191759722759,5.25)); #51384=CARTESIAN_POINT('',(7.57762803234502,9.79607239122066,5.25)); #51385=CARTESIAN_POINT('',(7.6419436229328,9.70819564368487,5.25)); #51386=CARTESIAN_POINT('',(7.6829803619561,9.67997689641896,5.25)); #51387=CARTESIAN_POINT('',(7.77521587599194,9.65242602859008,5.25)); #51388=CARTESIAN_POINT('',(7.82333461686562,9.64636118598385,5.25)); #51389=CARTESIAN_POINT('',(8.3536773199846,9.64636118598385,5.25)); #51390=CARTESIAN_POINT('',(8.8268386599923,9.64636118598385,5.25)); #51391=CARTESIAN_POINT('',(9.3,9.64636118598385,5.25)); #51392=CARTESIAN_POINT('',(7.78278783211398,10.2850596842511,5.25)); #51393=CARTESIAN_POINT('',(7.70619946091644,10.2382749326146,5.25)); #51394=CARTESIAN_POINT('',(7.65179052753177,10.1921832884097,5.25)); #51395=CARTESIAN_POINT('',(7.57961605250538,10.0931353386395,5.25)); #51396=CARTESIAN_POINT('',(7.55995379283789,10.0258375048133,5.25)); #51397=CARTESIAN_POINT('',(7.55995379283789,9.86191759722759,5.25)); #51398=CARTESIAN_POINT('',(7.57762803234502,9.79607239122066,5.25)); #51399=CARTESIAN_POINT('',(7.6419436229328,9.70819564368487,5.25)); #51400=CARTESIAN_POINT('',(7.6829803619561,9.67997689641896,5.25)); #51401=CARTESIAN_POINT('',(7.77521587599194,9.65242602859008,5.25)); #51402=CARTESIAN_POINT('',(7.82333461686562,9.64636118598385,5.25)); #51403=CARTESIAN_POINT('',(8.3536773199846,9.64636118598385,5.25)); #51404=CARTESIAN_POINT('',(8.8268386599923,9.64636118598385,5.25)); #51405=CARTESIAN_POINT('',(9.3,9.64636118598385,5.25)); #51406=CARTESIAN_POINT('',(9.3,9.93192144782444,5.25)); #51407=CARTESIAN_POINT('',(9.3,9.93192144782444,5.25)); #51408=CARTESIAN_POINT('',(9.3,9.64636118598385,5.25)); #51409=CARTESIAN_POINT('',(9.3,9.74154793993071,5.25)); #51410=CARTESIAN_POINT('',(9.3,9.83673469387757,5.25)); #51411=CARTESIAN_POINT('',(9.3,9.93192144782444,5.25)); #51412=CARTESIAN_POINT('',(9.3,9.64636118598385,5.25)); #51413=CARTESIAN_POINT('',(8.19484020023104,9.93192144782444,5.25)); #51414=CARTESIAN_POINT('',(8.19484020023104,9.93192144782444,5.25)); #51415=CARTESIAN_POINT('',(9.3,9.93192144782444,5.25)); #51416=CARTESIAN_POINT('',(8.93161340007701,9.93192144782444,5.25)); #51417=CARTESIAN_POINT('',(8.56322680015403,9.93192144782444,5.25)); #51418=CARTESIAN_POINT('',(8.19484020023104,9.93192144782444,5.25)); #51419=CARTESIAN_POINT('',(9.3,9.93192144782444,5.25)); #51420=CARTESIAN_POINT('',(9.17350789372353,10.5456680785522,5.25)); #51421=CARTESIAN_POINT('',(9.17350789372353,10.5456680785522,5.25)); #51422=CARTESIAN_POINT('',(8.19484020023104,9.93192144782444,5.25)); #51423=CARTESIAN_POINT('',(8.52106276472853,10.136503658067,5.25)); #51424=CARTESIAN_POINT('',(8.84728532922603,10.3410858683096,5.25)); #51425=CARTESIAN_POINT('',(9.17350789372353,10.5456680785522,5.25)); #51426=CARTESIAN_POINT('',(8.19484020023104,9.93192144782444,5.25)); #51427=CARTESIAN_POINT('',(9.17350789372353,10.5456680785522,5.25)); #51428=CARTESIAN_POINT('',(9.22445128994994,10.5751251443974,5.25)); #51429=CARTESIAN_POINT('',(9.26118598382749,10.6073546399692,5.25)); #51430=CARTESIAN_POINT('',(9.3046963503507,10.677109354554,5.25)); #51431=CARTESIAN_POINT('',(9.31559491721217,10.7241432422026,5.25)); #51432=CARTESIAN_POINT('',(9.31559491721217,10.8644974971121,5.25)); #51433=CARTESIAN_POINT('',(9.28683095879861,10.9216788602234,5.25)); #51434=CARTESIAN_POINT('',(9.18468432643775,10.9803978824188,5.25)); #51435=CARTESIAN_POINT('',(9.1184058529072,10.9930689256835,5.25)); #51436=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.25)); #51437=CARTESIAN_POINT('',(9.17350789372353,10.5456680785522,5.25)); #51438=CARTESIAN_POINT('',(9.22445128994994,10.5751251443974,5.25)); #51439=CARTESIAN_POINT('',(9.26118598382749,10.6073546399692,5.25)); #51440=CARTESIAN_POINT('',(9.3046963503507,10.677109354554,5.25)); #51441=CARTESIAN_POINT('',(9.31559491721217,10.7241432422026,5.25)); #51442=CARTESIAN_POINT('',(9.31559491721217,10.8644974971121,5.25)); #51443=CARTESIAN_POINT('',(9.28683095879861,10.9216788602234,5.25)); #51444=CARTESIAN_POINT('',(9.18468432643775,10.9803978824188,5.25)); #51445=CARTESIAN_POINT('',(9.1184058529072,10.9930689256835,5.25)); #51446=CARTESIAN_POINT('',(9.03003465537158,10.9930689256835,5.25)); #51447=CARTESIAN_POINT('',(7.9972257052064,9.64636118598385,5.25)); #51448=CARTESIAN_POINT('',(8.744474393531,15.7269926838661,5.3)); #51449=CARTESIAN_POINT('',(8.51170581440123,15.7990758567579,5.3)); #51450=CARTESIAN_POINT('',(8.27893723527147,15.8711590296497,5.3)); #51451=CARTESIAN_POINT('',(8.0461686561417,15.9432422025414,5.3)); #51452=CARTESIAN_POINT('',(8.744474393531,15.7269926838661,5.3)); #51453=CARTESIAN_POINT('',(8.0461686561417,15.9432422025414,5.3)); #51454=CARTESIAN_POINT('',(8.0461686561417,15.9432422025414,5.25)); #51455=CARTESIAN_POINT('',(8.0461686561417,15.9432422025414,5.25)); #51456=CARTESIAN_POINT('',(8.744474393531,15.7269926838661,5.25)); #51457=CARTESIAN_POINT('',(8.51170581440123,15.7990758567579,5.25)); #51458=CARTESIAN_POINT('',(8.27893723527147,15.8711590296497,5.25)); #51459=CARTESIAN_POINT('',(8.0461686561417,15.9432422025414,5.25)); #51460=CARTESIAN_POINT('',(8.744474393531,15.7269926838661,5.25)); #51461=CARTESIAN_POINT('',(8.744474393531,15.7269926838661,5.25)); #51462=CARTESIAN_POINT('',(8.744474393531,15.7269926838661,5.25)); #51463=CARTESIAN_POINT('',(8.0461686561417,15.9432422025414,5.3)); #51464=CARTESIAN_POINT('',(8.27893723527147,16.0213323065076,5.3)); #51465=CARTESIAN_POINT('',(8.51170581440123,16.0904120138622,5.3)); #51466=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.3)); #51467=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.3)); #51468=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.25)); #51469=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.25)); #51470=CARTESIAN_POINT('',(8.0461686561417,15.9432422025414,5.25)); #51471=CARTESIAN_POINT('',(8.27893723527147,16.0213323065076,5.25)); #51472=CARTESIAN_POINT('',(8.51170581440123,16.0904120138622,5.25)); #51473=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.25)); #51474=CARTESIAN_POINT('',(8.0461686561417,15.9432422025414,5.25)); #51475=CARTESIAN_POINT('',(8.27893723527147,16.0213323065076,5.25)); #51476=CARTESIAN_POINT('',(8.51170581440123,16.0904120138622,5.25)); #51477=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.25)); #51478=CARTESIAN_POINT('',(9.3,15.5402002310359,5.25)); #51479=CARTESIAN_POINT('',(9.3,15.5402002310359,5.25)); #51480=CARTESIAN_POINT('',(9.3,15.2795918367347,5.25)); #51481=CARTESIAN_POINT('',(9.3,15.3664613015018,5.25)); #51482=CARTESIAN_POINT('',(9.3,15.4533307662688,5.25)); #51483=CARTESIAN_POINT('',(9.3,15.5402002310359,5.25)); #51484=CARTESIAN_POINT('',(9.3,15.2795918367347,5.25)); #51485=CARTESIAN_POINT('',(9.3,15.2795918367347,5.25)); #51486=CARTESIAN_POINT('',(9.3,15.2795918367347,5.25)); #51487=CARTESIAN_POINT('',(8.9822102425876,15.6483249903736,5.25)); #51488=CARTESIAN_POINT('',(8.9822102425876,15.6483249903736,5.25)); #51489=CARTESIAN_POINT('',(9.3,15.5402002310359,5.25)); #51490=CARTESIAN_POINT('',(9.19407008086253,15.5762418174818,5.25)); #51491=CARTESIAN_POINT('',(9.08814016172507,15.6122834039277,5.25)); #51492=CARTESIAN_POINT('',(8.9822102425876,15.6483249903736,5.25)); #51493=CARTESIAN_POINT('',(9.3,15.5402002310359,5.25)); #51494=CARTESIAN_POINT('',(8.9822102425876,16.2350404312669,5.25)); #51495=CARTESIAN_POINT('',(8.9822102425876,16.2350404312669,5.25)); #51496=CARTESIAN_POINT('',(8.9822102425876,15.6483249903736,5.25)); #51497=CARTESIAN_POINT('',(8.9822102425876,15.8438968040047,5.25)); #51498=CARTESIAN_POINT('',(8.9822102425876,16.0394686176358,5.25)); #51499=CARTESIAN_POINT('',(8.9822102425876,16.2350404312669,5.25)); #51500=CARTESIAN_POINT('',(8.9822102425876,15.6483249903736,5.25)); #51501=CARTESIAN_POINT('',(9.3,16.3338082402773,5.25)); #51502=CARTESIAN_POINT('',(9.3,16.3338082402773,5.25)); #51503=CARTESIAN_POINT('',(8.9822102425876,16.2350404312669,5.25)); #51504=CARTESIAN_POINT('',(9.08814016172507,16.2679630342704,5.25)); #51505=CARTESIAN_POINT('',(9.19407008086253,16.3008856372738,5.25)); #51506=CARTESIAN_POINT('',(9.3,16.3338082402773,5.25)); #51507=CARTESIAN_POINT('',(8.9822102425876,16.2350404312669,5.25)); #51508=CARTESIAN_POINT('',(9.3,16.9049287639585,5.25)); #51509=CARTESIAN_POINT('',(9.3,16.9049287639585,5.25)); #51510=CARTESIAN_POINT('',(9.3,16.3338082402773,5.25)); #51511=CARTESIAN_POINT('',(9.3,16.524181748171,5.25)); #51512=CARTESIAN_POINT('',(9.3,16.7145552560648,5.25)); #51513=CARTESIAN_POINT('',(9.3,16.9049287639585,5.25)); #51514=CARTESIAN_POINT('',(9.3,16.3338082402773,5.25)); #51515=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.25)); #51516=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.25)); #51517=CARTESIAN_POINT('',(9.3,16.9049287639585,5.25)); #51518=CARTESIAN_POINT('',(8.82995764343473,16.7505968425107,5.25)); #51519=CARTESIAN_POINT('',(8.35991528686946,16.5962649210628,5.25)); #51520=CARTESIAN_POINT('',(7.8216715885176,16.419540030181,5.25)); #51521=CARTESIAN_POINT('',(7.76719291490181,16.3975741239893,5.25)); #51522=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.25)); #51523=CARTESIAN_POINT('',(9.3,16.9049287639585,5.25)); #51524=CARTESIAN_POINT('',(8.82995764343473,16.7505968425107,5.25)); #51525=CARTESIAN_POINT('',(8.35991528686946,16.5962649210628,5.25)); #51526=CARTESIAN_POINT('',(7.8216715885176,16.419540030181,5.25)); #51527=CARTESIAN_POINT('',(7.76719291490181,16.3975741239893,5.25)); #51528=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.25)); #51529=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.25)); #51530=CARTESIAN_POINT('',(7.67431651906046,16.3452445128996,5.25)); #51531=CARTESIAN_POINT('',(7.63411628802464,16.3095494801695,5.25)); #51532=CARTESIAN_POINT('',(7.57583942351358,16.2206399048257,5.25)); #51533=CARTESIAN_POINT('',(7.55995379283789,16.1646900269542,5.25)); #51534=CARTESIAN_POINT('',(7.55995379283789,16.0236426646131,5.25)); #51535=CARTESIAN_POINT('',(7.57485560261841,15.9602233346169,5.25)); #51536=CARTESIAN_POINT('',(7.63109519840541,15.8642852006273,5.25)); #51537=CARTESIAN_POINT('',(7.67154408933385,15.8257604928765,5.25)); #51538=CARTESIAN_POINT('',(7.76920976692663,15.7695100076806,5.25)); #51539=CARTESIAN_POINT('',(7.82957258375048,15.7453600308048,5.25)); #51540=CARTESIAN_POINT('',(8.37183864969327,15.576817875174,5.25)); #51541=CARTESIAN_POINT('',(8.83550250288795,15.4268771659608,5.25)); #51542=CARTESIAN_POINT('',(9.3,15.2795918367347,5.25)); #51543=CARTESIAN_POINT('',(7.72733923758183,16.3747015787448,5.25)); #51544=CARTESIAN_POINT('',(7.67431651906046,16.3452445128996,5.25)); #51545=CARTESIAN_POINT('',(7.63411628802464,16.3095494801695,5.25)); #51546=CARTESIAN_POINT('',(7.57583942351358,16.2206399048257,5.25)); #51547=CARTESIAN_POINT('',(7.55995379283789,16.1646900269542,5.25)); #51548=CARTESIAN_POINT('',(7.55995379283789,16.0236426646131,5.25)); #51549=CARTESIAN_POINT('',(7.57485560261841,15.9602233346169,5.25)); #51550=CARTESIAN_POINT('',(7.63109519840541,15.8642852006273,5.25)); #51551=CARTESIAN_POINT('',(7.67154408933385,15.8257604928765,5.25)); #51552=CARTESIAN_POINT('',(7.76920976692663,15.7695100076806,5.25)); #51553=CARTESIAN_POINT('',(7.82957258375048,15.7453600308048,5.25)); #51554=CARTESIAN_POINT('',(8.37183864969327,15.576817875174,5.25)); #51555=CARTESIAN_POINT('',(8.83550250288795,15.4268771659608,5.25)); #51556=CARTESIAN_POINT('',(9.3,15.2795918367347,5.25)); #51557=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.3)); #51558=CARTESIAN_POINT('',(8.744474393531,16.0153253754333,5.3)); #51559=CARTESIAN_POINT('',(8.744474393531,15.8711590296497,5.3)); #51560=CARTESIAN_POINT('',(8.744474393531,15.7269926838661,5.3)); #51561=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.25)); #51562=CARTESIAN_POINT('',(8.744474393531,16.0153253754333,5.25)); #51563=CARTESIAN_POINT('',(8.744474393531,15.8711590296497,5.25)); #51564=CARTESIAN_POINT('',(8.744474393531,15.7269926838661,5.25)); #51565=CARTESIAN_POINT('',(8.744474393531,16.1594917212168,5.25)); #51566=CARTESIAN_POINT('',(8.4926344524722,16.6398414276358,5.25)); #51567=CARTESIAN_POINT('',(6.35,10.25,5.3)); #51568=CARTESIAN_POINT('',(9.3,6.5,5.25)); #51569=CARTESIAN_POINT('',(9.3,6.5,5.25)); #51570=CARTESIAN_POINT('',(7.57554871005006,6.5,5.25)); #51571=CARTESIAN_POINT('',(8.15036580670004,6.5,5.25)); #51572=CARTESIAN_POINT('',(8.72518290335002,6.5,5.25)); #51573=CARTESIAN_POINT('',(9.3,6.5,5.25)); #51574=CARTESIAN_POINT('',(7.57554871005006,6.5,5.25)); #51575=CARTESIAN_POINT('',(7.57554871005006,6.5,5.25)); #51576=CARTESIAN_POINT('',(7.57554871005006,6.5,5.25)); #51577=CARTESIAN_POINT('',(9.3,7.07112052368118,5.25)); #51578=CARTESIAN_POINT('',(9.3,7.07112052368118,5.25)); #51579=CARTESIAN_POINT('',(9.3,6.5,5.25)); #51580=CARTESIAN_POINT('',(9.3,6.69037350789373,5.25)); #51581=CARTESIAN_POINT('',(9.3,6.88074701578745,5.25)); #51582=CARTESIAN_POINT('',(9.3,7.07112052368118,5.25)); #51583=CARTESIAN_POINT('',(9.3,6.5,5.25)); #51584=CARTESIAN_POINT('',(7.81397766653831,7.07112052368118,5.25)); #51585=CARTESIAN_POINT('',(7.81397766653831,7.07112052368118,5.25)); #51586=CARTESIAN_POINT('',(9.3,7.07112052368118,5.25)); #51587=CARTESIAN_POINT('',(8.80465922217944,7.07112052368118,5.25)); #51588=CARTESIAN_POINT('',(8.30931844435888,7.07112052368118,5.25)); #51589=CARTESIAN_POINT('',(7.81397766653831,7.07112052368118,5.25)); #51590=CARTESIAN_POINT('',(9.3,7.07112052368118,5.25)); #51591=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.25)); #51592=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.25)); #51593=CARTESIAN_POINT('',(7.81397766653831,7.07112052368118,5.25)); #51594=CARTESIAN_POINT('',(7.81397766653831,7.09237581825183,5.25)); #51595=CARTESIAN_POINT('',(7.81397766653831,7.11363111282249,5.25)); #51596=CARTESIAN_POINT('',(7.81397766653831,7.21112822487486,5.25)); #51597=CARTESIAN_POINT('',(7.84100885637274,7.27454755487101,5.25)); #51598=CARTESIAN_POINT('',(7.95169543294551,7.37804669140659,5.25)); #51599=CARTESIAN_POINT('',(8.02884097035041,7.40485175202157,5.25)); #51600=CARTESIAN_POINT('',(8.23018867924528,7.40485175202157,5.25)); #51601=CARTESIAN_POINT('',(8.30989603388525,7.38267231420871,5.25)); #51602=CARTESIAN_POINT('',(8.42319955790123,7.29115792942657,5.25)); #51603=CARTESIAN_POINT('',(8.45267616480555,7.21632653061225,5.25)); #51604=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.25)); #51605=CARTESIAN_POINT('',(7.81397766653831,7.07112052368118,5.25)); #51606=CARTESIAN_POINT('',(7.81397766653831,7.09237581825183,5.25)); #51607=CARTESIAN_POINT('',(7.81397766653831,7.11363111282249,5.25)); #51608=CARTESIAN_POINT('',(7.81397766653831,7.21112822487486,5.25)); #51609=CARTESIAN_POINT('',(7.84100885637274,7.27454755487101,5.25)); #51610=CARTESIAN_POINT('',(7.95169543294551,7.37804669140659,5.25)); #51611=CARTESIAN_POINT('',(8.02884097035041,7.40485175202157,5.25)); #51612=CARTESIAN_POINT('',(8.23018867924528,7.40485175202157,5.25)); #51613=CARTESIAN_POINT('',(8.30989603388525,7.38267231420871,5.25)); #51614=CARTESIAN_POINT('',(8.42319955790123,7.29115792942657,5.25)); #51615=CARTESIAN_POINT('',(8.45267616480555,7.21632653061225,5.25)); #51616=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.25)); #51617=CARTESIAN_POINT('',(9.3,7.50361956103197,5.25)); #51618=CARTESIAN_POINT('',(9.3,7.50361956103197,5.25)); #51619=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.25)); #51620=CARTESIAN_POINT('',(8.7351174432037,7.23862148633039,5.25)); #51621=CARTESIAN_POINT('',(9.01755872160185,7.37112052368118,5.25)); #51622=CARTESIAN_POINT('',(9.3,7.50361956103197,5.25)); #51623=CARTESIAN_POINT('',(8.45267616480555,7.1154793993069,5.25)); #51624=CARTESIAN_POINT('',(8.7351174432037,7.23862148633039,5.25)); #51625=CARTESIAN_POINT('',(9.01755872160185,7.37112052368118,5.25)); #51626=CARTESIAN_POINT('',(9.3,7.50361956103197,5.25)); #51627=CARTESIAN_POINT('',(9.3,8.14751636503659,5.25)); #51628=CARTESIAN_POINT('',(9.3,8.14751636503659,5.25)); #51629=CARTESIAN_POINT('',(9.3,7.50361956103197,5.25)); #51630=CARTESIAN_POINT('',(9.3,7.71825182903351,5.25)); #51631=CARTESIAN_POINT('',(9.3,7.93288409703505,5.25)); #51632=CARTESIAN_POINT('',(9.3,8.14751636503659,5.25)); #51633=CARTESIAN_POINT('',(9.3,7.50361956103197,5.25)); #51634=CARTESIAN_POINT('',(8.5375818251829,7.64951867539469,5.25)); #51635=CARTESIAN_POINT('',(8.5375818251829,7.64951867539469,5.25)); #51636=CARTESIAN_POINT('',(9.3,8.14751636503659,5.25)); #51637=CARTESIAN_POINT('',(9.0458606083943,7.98151713515596,5.25)); #51638=CARTESIAN_POINT('',(8.7917212167886,7.81551790527533,5.25)); #51639=CARTESIAN_POINT('',(8.5375818251829,7.64951867539469,5.25)); #51640=CARTESIAN_POINT('',(9.3,8.14751636503659,5.25)); #51641=CARTESIAN_POINT('',(8.5375818251829,7.64951867539469,5.25)); #51642=CARTESIAN_POINT('',(8.50985752791683,7.75868309587987,5.25)); #51643=CARTESIAN_POINT('',(8.45787447054293,7.84081632653062,5.25)); #51644=CARTESIAN_POINT('',(8.30757492015233,7.95012509045106,5.25)); #51645=CARTESIAN_POINT('',(8.21355410088564,7.9766653831344,5.25)); #51646=CARTESIAN_POINT('',(7.94324220254139,7.9766653831344,5.25)); #51647=CARTESIAN_POINT('',(7.81536388140162,7.91879091259146,5.25)); #51648=CARTESIAN_POINT('',(7.62239114396505,7.69343114229841,5.25)); #51649=CARTESIAN_POINT('',(7.57554871005006,7.55976126299577,5.25)); #51650=CARTESIAN_POINT('',(7.57554871005006,7.10138621486331,5.25)); #51651=CARTESIAN_POINT('',(7.57554871005006,6.80069310743165,5.25)); #51652=CARTESIAN_POINT('',(7.57554871005006,6.5,5.25)); #51653=CARTESIAN_POINT('',(8.5375818251829,7.64951867539469,5.25)); #51654=CARTESIAN_POINT('',(8.50985752791683,7.75868309587987,5.25)); #51655=CARTESIAN_POINT('',(8.45787447054293,7.84081632653062,5.25)); #51656=CARTESIAN_POINT('',(8.30757492015233,7.95012509045106,5.25)); #51657=CARTESIAN_POINT('',(8.21355410088564,7.9766653831344,5.25)); #51658=CARTESIAN_POINT('',(7.94324220254139,7.9766653831344,5.25)); #51659=CARTESIAN_POINT('',(7.81536388140162,7.91879091259146,5.25)); #51660=CARTESIAN_POINT('',(7.62239114396505,7.69343114229841,5.25)); #51661=CARTESIAN_POINT('',(7.57554871005006,7.55976126299577,5.25)); #51662=CARTESIAN_POINT('',(7.57554871005006,7.10138621486331,5.25)); #51663=CARTESIAN_POINT('',(7.57554871005006,6.80069310743165,5.25)); #51664=CARTESIAN_POINT('',(7.57554871005006,6.5,5.25)); #51665=CARTESIAN_POINT('',(8.13672473401702,7.40476571934147,5.25)); #51666=CARTESIAN_POINT('',(8.31578744705429,9.33896804004623,5.25)); #51667=CARTESIAN_POINT('',(8.31578744705429,9.33896804004623,5.25)); #51668=CARTESIAN_POINT('',(8.57015787447054,9.33896804004623,5.25)); #51669=CARTESIAN_POINT('',(8.48536773199846,9.33896804004623,5.25)); #51670=CARTESIAN_POINT('',(8.40057758952638,9.33896804004623,5.25)); #51671=CARTESIAN_POINT('',(8.31578744705429,9.33896804004623,5.25)); #51672=CARTESIAN_POINT('',(8.57015787447054,9.33896804004623,5.25)); #51673=CARTESIAN_POINT('',(8.57015787447054,9.33896804004623,5.25)); #51674=CARTESIAN_POINT('',(8.57015787447054,9.33896804004623,5.25)); #51675=CARTESIAN_POINT('',(8.31578744705429,8.79903735078939,5.25)); #51676=CARTESIAN_POINT('',(8.31578744705429,8.79903735078939,5.25)); #51677=CARTESIAN_POINT('',(8.31578744705429,9.33896804004623,5.25)); #51678=CARTESIAN_POINT('',(8.31578744705429,9.15899114362728,5.25)); #51679=CARTESIAN_POINT('',(8.31578744705429,8.97901424720834,5.25)); #51680=CARTESIAN_POINT('',(8.31578744705429,8.79903735078939,5.25)); #51681=CARTESIAN_POINT('',(8.31578744705429,9.33896804004623,5.25)); #51682=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.25)); #51683=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.25)); #51684=CARTESIAN_POINT('',(8.31578744705429,8.79903735078939,5.25)); #51685=CARTESIAN_POINT('',(8.20558336542164,8.79903735078939,5.25)); #51686=CARTESIAN_POINT('',(8.09537928378899,8.79903735078939,5.25)); #51687=CARTESIAN_POINT('',(7.93319214478244,8.79903735078939,5.25)); #51688=CARTESIAN_POINT('',(7.89437812860994,8.80666153253756,5.25)); #51689=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.25)); #51690=CARTESIAN_POINT('',(8.31578744705429,8.79903735078939,5.25)); #51691=CARTESIAN_POINT('',(8.20558336542164,8.79903735078939,5.25)); #51692=CARTESIAN_POINT('',(8.09537928378899,8.79903735078939,5.25)); #51693=CARTESIAN_POINT('',(7.93319214478244,8.79903735078939,5.25)); #51694=CARTESIAN_POINT('',(7.89437812860994,8.80666153253756,5.25)); #51695=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.25)); #51696=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.25)); #51697=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.25)); #51698=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.25)); #51699=CARTESIAN_POINT('',(7.84274162495187,8.83681170581442,5.25)); #51700=CARTESIAN_POINT('',(7.82991913746631,8.86314978821719,5.25)); #51701=CARTESIAN_POINT('',(7.82991913746631,9.0680785521756,5.25)); #51702=CARTESIAN_POINT('',(7.82991913746631,9.23523296110899,5.25)); #51703=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.25)); #51704=CARTESIAN_POINT('',(7.86873315363881,8.8219098960339,5.25)); #51705=CARTESIAN_POINT('',(7.84274162495187,8.83681170581442,5.25)); #51706=CARTESIAN_POINT('',(7.82991913746631,8.86314978821719,5.25)); #51707=CARTESIAN_POINT('',(7.82991913746631,9.0680785521756,5.25)); #51708=CARTESIAN_POINT('',(7.82991913746631,9.23523296110899,5.25)); #51709=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.25)); #51710=CARTESIAN_POINT('',(7.57554871005006,9.40238737004237,5.25)); #51711=CARTESIAN_POINT('',(7.57554871005006,9.40238737004237,5.25)); #51712=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.25)); #51713=CARTESIAN_POINT('',(7.74512899499423,9.40238737004237,5.25)); #51714=CARTESIAN_POINT('',(7.66033885252214,9.40238737004237,5.25)); #51715=CARTESIAN_POINT('',(7.57554871005006,9.40238737004237,5.25)); #51716=CARTESIAN_POINT('',(7.82991913746631,9.40238737004237,5.25)); #51717=CARTESIAN_POINT('',(9.3,9.42733923758184,5.25)); #51718=CARTESIAN_POINT('',(9.3,9.42733923758184,5.25)); #51719=CARTESIAN_POINT('',(7.57554871005006,9.40238737004237,5.25)); #51720=CARTESIAN_POINT('',(7.57554871005006,9.1396996534463,5.25)); #51721=CARTESIAN_POINT('',(7.57554871005006,8.87701193685023,5.25)); #51722=CARTESIAN_POINT('',(7.57554871005006,8.50654601463228,5.25)); #51723=CARTESIAN_POINT('',(7.6081247593377,8.41609549480171,5.25)); #51724=CARTESIAN_POINT('',(7.74161551302168,8.26609781996326,5.25)); #51725=CARTESIAN_POINT('',(7.83234501347709,8.22722371967656,5.25)); #51726=CARTESIAN_POINT('',(8.28194070080863,8.22722371967656,5.25)); #51727=CARTESIAN_POINT('',(8.61959953792838,8.22722371967656,5.25)); #51728=CARTESIAN_POINT('',(9.06087793608009,8.22722371967656,5.25)); #51729=CARTESIAN_POINT('',(9.1437042741625,8.25668078552177,5.25)); #51730=CARTESIAN_POINT('',(9.26847373087312,8.37521176939686,5.25)); #51731=CARTESIAN_POINT('',(9.3,8.45144397381596,5.25)); #51732=CARTESIAN_POINT('',(9.3,8.83912206391992,5.25)); #51733=CARTESIAN_POINT('',(9.3,9.13323065075089,5.25)); #51734=CARTESIAN_POINT('',(9.3,9.42733923758184,5.25)); #51735=CARTESIAN_POINT('',(7.57554871005006,9.40238737004237,5.25)); #51736=CARTESIAN_POINT('',(7.57554871005006,9.1396996534463,5.25)); #51737=CARTESIAN_POINT('',(7.57554871005006,8.87701193685023,5.25)); #51738=CARTESIAN_POINT('',(7.57554871005006,8.50654601463228,5.25)); #51739=CARTESIAN_POINT('',(7.6081247593377,8.41609549480171,5.25)); #51740=CARTESIAN_POINT('',(7.74161551302168,8.26609781996326,5.25)); #51741=CARTESIAN_POINT('',(7.83234501347709,8.22722371967656,5.25)); #51742=CARTESIAN_POINT('',(8.28194070080863,8.22722371967656,5.25)); #51743=CARTESIAN_POINT('',(8.61959953792838,8.22722371967656,5.25)); #51744=CARTESIAN_POINT('',(9.06087793608009,8.22722371967656,5.25)); #51745=CARTESIAN_POINT('',(9.1437042741625,8.25668078552177,5.25)); #51746=CARTESIAN_POINT('',(9.26847373087312,8.37521176939686,5.25)); #51747=CARTESIAN_POINT('',(9.3,8.45144397381596,5.25)); #51748=CARTESIAN_POINT('',(9.3,8.83912206391992,5.25)); #51749=CARTESIAN_POINT('',(9.3,9.13323065075089,5.25)); #51750=CARTESIAN_POINT('',(9.3,9.42733923758184,5.25)); #51751=CARTESIAN_POINT('',(9.04597612629958,9.42733923758184,5.25)); #51752=CARTESIAN_POINT('',(9.04597612629958,9.42733923758184,5.25)); #51753=CARTESIAN_POINT('',(9.3,9.42733923758184,5.25)); #51754=CARTESIAN_POINT('',(9.21532537543319,9.42733923758184,5.25)); #51755=CARTESIAN_POINT('',(9.13065075086639,9.42733923758184,5.25)); #51756=CARTESIAN_POINT('',(9.04597612629958,9.42733923758184,5.25)); #51757=CARTESIAN_POINT('',(9.3,9.42733923758184,5.25)); #51758=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.25)); #51759=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.25)); #51760=CARTESIAN_POINT('',(9.04597612629958,9.42733923758184,5.25)); #51761=CARTESIAN_POINT('',(9.04597612629958,9.26538313438585,5.25)); #51762=CARTESIAN_POINT('',(9.04597612629958,9.10342703118985,5.25)); #51763=CARTESIAN_POINT('',(9.04597612629958,8.89468617635735,5.25)); #51764=CARTESIAN_POINT('',(9.0355795148248,8.8593376973431,5.25)); #51765=CARTESIAN_POINT('',(8.9936211782786,8.81118878655238,5.25)); #51766=CARTESIAN_POINT('',(8.96384289564883,8.79903735078939,5.25)); #51767=CARTESIAN_POINT('',(8.80720061609549,8.79903735078939,5.25)); #51768=CARTESIAN_POINT('',(8.68867924528302,8.79903735078939,5.25)); #51769=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.25)); #51770=CARTESIAN_POINT('',(9.04597612629958,9.42733923758184,5.25)); #51771=CARTESIAN_POINT('',(9.04597612629958,9.26538313438585,5.25)); #51772=CARTESIAN_POINT('',(9.04597612629958,9.10342703118985,5.25)); #51773=CARTESIAN_POINT('',(9.04597612629958,8.89468617635735,5.25)); #51774=CARTESIAN_POINT('',(9.0355795148248,8.8593376973431,5.25)); #51775=CARTESIAN_POINT('',(8.9936211782786,8.81118878655238,5.25)); #51776=CARTESIAN_POINT('',(8.96384289564883,8.79903735078939,5.25)); #51777=CARTESIAN_POINT('',(8.80720061609549,8.79903735078939,5.25)); #51778=CARTESIAN_POINT('',(8.68867924528302,8.79903735078939,5.25)); #51779=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.25)); #51780=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.25)); #51781=CARTESIAN_POINT('',(8.57015787447054,8.97901424720834,5.25)); #51782=CARTESIAN_POINT('',(8.57015787447054,9.15899114362728,5.25)); #51783=CARTESIAN_POINT('',(8.57015787447054,9.33896804004623,5.25)); #51784=CARTESIAN_POINT('',(8.57015787447054,8.79903735078939,5.25)); #51785=CARTESIAN_POINT('',(8.07250673854448,8.79903735078939,5.25)); #51786=CARTESIAN_POINT('',(9.3,11.3042741624952,5.25)); #51787=CARTESIAN_POINT('',(9.3,11.3042741624952,5.25)); #51788=CARTESIAN_POINT('',(7.57554871005006,11.3042741624952,5.25)); #51789=CARTESIAN_POINT('',(8.15036580670004,11.3042741624952,5.25)); #51790=CARTESIAN_POINT('',(8.72518290335002,11.3042741624952,5.25)); #51791=CARTESIAN_POINT('',(9.3,11.3042741624952,5.25)); #51792=CARTESIAN_POINT('',(7.57554871005006,11.3042741624952,5.25)); #51793=CARTESIAN_POINT('',(7.57554871005006,11.3042741624952,5.25)); #51794=CARTESIAN_POINT('',(7.57554871005006,11.3042741624952,5.25)); #51795=CARTESIAN_POINT('',(9.3,11.8753946861764,5.25)); #51796=CARTESIAN_POINT('',(9.3,11.8753946861764,5.25)); #51797=CARTESIAN_POINT('',(9.3,11.3042741624952,5.25)); #51798=CARTESIAN_POINT('',(9.3,11.4946476703889,5.25)); #51799=CARTESIAN_POINT('',(9.3,11.6850211782827,5.25)); #51800=CARTESIAN_POINT('',(9.3,11.8753946861764,5.25)); #51801=CARTESIAN_POINT('',(9.3,11.3042741624952,5.25)); #51802=CARTESIAN_POINT('',(7.57554871005006,11.8753946861764,5.25)); #51803=CARTESIAN_POINT('',(7.57554871005006,11.8753946861764,5.25)); #51804=CARTESIAN_POINT('',(9.3,11.8753946861764,5.25)); #51805=CARTESIAN_POINT('',(8.72518290335002,11.8753946861764,5.25)); #51806=CARTESIAN_POINT('',(8.15036580670004,11.8753946861764,5.25)); #51807=CARTESIAN_POINT('',(7.57554871005006,11.8753946861764,5.25)); #51808=CARTESIAN_POINT('',(9.3,11.8753946861764,5.25)); #51809=CARTESIAN_POINT('',(7.57554871005006,11.8753946861764,5.25)); #51810=CARTESIAN_POINT('',(7.57554871005006,11.6850211782827,5.25)); #51811=CARTESIAN_POINT('',(7.57554871005006,11.4946476703889,5.25)); #51812=CARTESIAN_POINT('',(7.57554871005006,11.3042741624952,5.25)); #51813=CARTESIAN_POINT('',(7.57554871005006,11.8753946861764,5.25)); #51814=CARTESIAN_POINT('',(8.43777435502503,11.3042741624952,5.25)); #51815=CARTESIAN_POINT('',(7.82991913746631,13.2217558721602,5.25)); #51816=CARTESIAN_POINT('',(7.82991913746631,13.2217558721602,5.25)); #51817=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.25)); #51818=CARTESIAN_POINT('',(7.82991913746631,12.9592991913747,5.25)); #51819=CARTESIAN_POINT('',(7.82991913746631,13.0905275317675,5.25)); #51820=CARTESIAN_POINT('',(7.82991913746631,13.2217558721602,5.25)); #51821=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.25)); #51822=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.25)); #51823=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.25)); #51824=CARTESIAN_POINT('',(7.57554871005006,13.2217558721602,5.25)); #51825=CARTESIAN_POINT('',(7.57554871005006,13.2217558721602,5.25)); #51826=CARTESIAN_POINT('',(7.82991913746631,13.2217558721602,5.25)); #51827=CARTESIAN_POINT('',(7.74512899499423,13.2217558721602,5.25)); #51828=CARTESIAN_POINT('',(7.66033885252214,13.2217558721602,5.25)); #51829=CARTESIAN_POINT('',(7.57554871005006,13.2217558721602,5.25)); #51830=CARTESIAN_POINT('',(7.82991913746631,13.2217558721602,5.25)); #51831=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.25)); #51832=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.25)); #51833=CARTESIAN_POINT('',(7.57554871005006,13.2217558721602,5.25)); #51834=CARTESIAN_POINT('',(7.57554871005006,13.0387755102041,5.25)); #51835=CARTESIAN_POINT('',(7.57554871005006,12.855795148248,5.25)); #51836=CARTESIAN_POINT('',(7.57554871005006,12.4971120523682,5.25)); #51837=CARTESIAN_POINT('',(7.62268001540239,12.356064690027,5.25)); #51838=CARTESIAN_POINT('',(7.81512888821871,12.1351048730897,5.25)); #51839=CARTESIAN_POINT('',(7.9525991528687,12.0788217173662,5.25)); #51840=CARTESIAN_POINT('',(8.31544089333847,12.0788217173662,5.25)); #51841=CARTESIAN_POINT('',(8.44990373507894,12.1498652291105,5.25)); #51842=CARTESIAN_POINT('',(8.55592312318564,12.3352863717142,5.25)); #51843=CARTESIAN_POINT('',(8.59822872545245,12.4680015402388,5.25)); #51844=CARTESIAN_POINT('',(8.69778813496331,12.8332423454158,5.25)); #51845=CARTESIAN_POINT('',(8.75798998844821,12.9046592221795,5.25)); #51846=CARTESIAN_POINT('',(8.92849441663458,12.9046592221795,5.25)); #51847=CARTESIAN_POINT('',(8.98706199460917,12.8807470157875,5.25)); #51848=CARTESIAN_POINT('',(9.03577275975216,12.7981763285329,5.25)); #51849=CARTESIAN_POINT('',(9.04597612629958,12.7341547939931,5.25)); #51850=CARTESIAN_POINT('',(9.04597612629958,12.4797843665769,5.25)); #51851=CARTESIAN_POINT('',(9.04597612629958,12.3189834424336,5.25)); #51852=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.25)); #51853=CARTESIAN_POINT('',(7.57554871005006,13.2217558721602,5.25)); #51854=CARTESIAN_POINT('',(7.57554871005006,13.0387755102041,5.25)); #51855=CARTESIAN_POINT('',(7.57554871005006,12.855795148248,5.25)); #51856=CARTESIAN_POINT('',(7.57554871005006,12.4971120523682,5.25)); #51857=CARTESIAN_POINT('',(7.62268001540239,12.356064690027,5.25)); #51858=CARTESIAN_POINT('',(7.81512888821871,12.1351048730897,5.25)); #51859=CARTESIAN_POINT('',(7.9525991528687,12.0788217173662,5.25)); #51860=CARTESIAN_POINT('',(8.31544089333847,12.0788217173662,5.25)); #51861=CARTESIAN_POINT('',(8.44990373507894,12.1498652291105,5.25)); #51862=CARTESIAN_POINT('',(8.55592312318564,12.3352863717142,5.25)); #51863=CARTESIAN_POINT('',(8.59822872545245,12.4680015402388,5.25)); #51864=CARTESIAN_POINT('',(8.69778813496331,12.8332423454158,5.25)); #51865=CARTESIAN_POINT('',(8.75798998844821,12.9046592221795,5.25)); #51866=CARTESIAN_POINT('',(8.92849441663458,12.9046592221795,5.25)); #51867=CARTESIAN_POINT('',(8.98706199460917,12.8807470157875,5.25)); #51868=CARTESIAN_POINT('',(9.03577275975216,12.7981763285329,5.25)); #51869=CARTESIAN_POINT('',(9.04597612629958,12.7341547939931,5.25)); #51870=CARTESIAN_POINT('',(9.04597612629958,12.4797843665769,5.25)); #51871=CARTESIAN_POINT('',(9.04597612629958,12.3189834424336,5.25)); #51872=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.25)); #51873=CARTESIAN_POINT('',(9.3,12.1581825182904,5.25)); #51874=CARTESIAN_POINT('',(9.3,12.1581825182904,5.25)); #51875=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.25)); #51876=CARTESIAN_POINT('',(9.13065075086639,12.1581825182904,5.25)); #51877=CARTESIAN_POINT('',(9.21532537543319,12.1581825182904,5.25)); #51878=CARTESIAN_POINT('',(9.3,12.1581825182904,5.25)); #51879=CARTESIAN_POINT('',(9.04597612629958,12.1581825182904,5.25)); #51880=CARTESIAN_POINT('',(9.3,12.1581825182904,5.25)); #51881=CARTESIAN_POINT('',(9.3,12.3644974971121,5.25)); #51882=CARTESIAN_POINT('',(9.3,12.5708124759338,5.25)); #51883=CARTESIAN_POINT('',(9.3,12.9608009241433,5.25)); #51884=CARTESIAN_POINT('',(9.24940315748941,13.108779360801,5.25)); #51885=CARTESIAN_POINT('',(9.04081216529167,13.3403081940651,5.25)); #51886=CARTESIAN_POINT('',(8.8900269541779,13.4002310358106,5.25)); #51887=CARTESIAN_POINT('',(8.49530227185214,13.4002310358106,5.25)); #51888=CARTESIAN_POINT('',(8.35252214093185,13.3340392760878,5.25)); #51889=CARTESIAN_POINT('',(8.23845745294943,13.1535154103967,5.25)); #51890=CARTESIAN_POINT('',(8.19761262995764,13.0297651135926,5.25)); #51891=CARTESIAN_POINT('',(8.11142482152448,12.6977395965414,5.25)); #51892=CARTESIAN_POINT('',(8.05379283788987,12.6312283403928,5.25)); #51893=CARTESIAN_POINT('',(7.92695417789758,12.6312283403928,5.25)); #51894=CARTESIAN_POINT('',(7.89229880631498,12.6440508278784,5.25)); #51895=CARTESIAN_POINT('',(7.84297863130853,12.7000964812948,5.25)); #51896=CARTESIAN_POINT('',(7.82991913746631,12.7528686946477,5.25)); #51897=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.25)); #51898=CARTESIAN_POINT('',(9.3,12.1581825182904,5.25)); #51899=CARTESIAN_POINT('',(9.3,12.3644974971121,5.25)); #51900=CARTESIAN_POINT('',(9.3,12.5708124759338,5.25)); #51901=CARTESIAN_POINT('',(9.3,12.9608009241433,5.25)); #51902=CARTESIAN_POINT('',(9.24940315748941,13.108779360801,5.25)); #51903=CARTESIAN_POINT('',(9.04081216529167,13.3403081940651,5.25)); #51904=CARTESIAN_POINT('',(8.8900269541779,13.4002310358106,5.25)); #51905=CARTESIAN_POINT('',(8.49530227185214,13.4002310358106,5.25)); #51906=CARTESIAN_POINT('',(8.35252214093185,13.3340392760878,5.25)); #51907=CARTESIAN_POINT('',(8.23845745294943,13.1535154103967,5.25)); #51908=CARTESIAN_POINT('',(8.19761262995764,13.0297651135926,5.25)); #51909=CARTESIAN_POINT('',(8.11142482152448,12.6977395965414,5.25)); #51910=CARTESIAN_POINT('',(8.05379283788987,12.6312283403928,5.25)); #51911=CARTESIAN_POINT('',(7.92695417789758,12.6312283403928,5.25)); #51912=CARTESIAN_POINT('',(7.89229880631498,12.6440508278784,5.25)); #51913=CARTESIAN_POINT('',(7.84297863130853,12.7000964812948,5.25)); #51914=CARTESIAN_POINT('',(7.82991913746631,12.7528686946477,5.25)); #51915=CARTESIAN_POINT('',(7.82991913746631,12.8280708509819,5.25)); #51916=CARTESIAN_POINT('',(7.96214822074815,12.0984324184732,5.25)); #51917=CARTESIAN_POINT('',(9.3,14.1810165575665,5.25)); #51918=CARTESIAN_POINT('',(9.3,14.1810165575665,5.25)); #51919=CARTESIAN_POINT('',(9.3,13.6098960338853,5.25)); #51920=CARTESIAN_POINT('',(9.3,13.800269541779,5.25)); #51921=CARTESIAN_POINT('',(9.3,13.9906430496727,5.25)); #51922=CARTESIAN_POINT('',(9.3,14.1810165575665,5.25)); #51923=CARTESIAN_POINT('',(9.3,13.6098960338853,5.25)); #51924=CARTESIAN_POINT('',(9.3,13.6098960338853,5.25)); #51925=CARTESIAN_POINT('',(9.3,13.6098960338853,5.25)); #51926=CARTESIAN_POINT('',(8.43326915671929,14.1810165575665,5.25)); #51927=CARTESIAN_POINT('',(8.43326915671929,14.1810165575665,5.25)); #51928=CARTESIAN_POINT('',(9.3,14.1810165575665,5.25)); #51929=CARTESIAN_POINT('',(9.01108971890643,14.1810165575665,5.25)); #51930=CARTESIAN_POINT('',(8.72217943781286,14.1810165575665,5.25)); #51931=CARTESIAN_POINT('',(8.43326915671929,14.1810165575665,5.25)); #51932=CARTESIAN_POINT('',(9.3,14.1810165575665,5.25)); #51933=CARTESIAN_POINT('',(8.43326915671929,14.5747015787448,5.25)); #51934=CARTESIAN_POINT('',(8.43326915671929,14.5747015787448,5.25)); #51935=CARTESIAN_POINT('',(8.43326915671929,14.1810165575665,5.25)); #51936=CARTESIAN_POINT('',(8.43326915671929,14.3122448979592,5.25)); #51937=CARTESIAN_POINT('',(8.43326915671929,14.443473238352,5.25)); #51938=CARTESIAN_POINT('',(8.43326915671929,14.5747015787448,5.25)); #51939=CARTESIAN_POINT('',(8.43326915671929,14.1810165575665,5.25)); #51940=CARTESIAN_POINT('',(9.3,14.5747015787448,5.25)); #51941=CARTESIAN_POINT('',(9.3,14.5747015787448,5.25)); #51942=CARTESIAN_POINT('',(8.43326915671929,14.5747015787448,5.25)); #51943=CARTESIAN_POINT('',(8.72217943781286,14.5747015787448,5.25)); #51944=CARTESIAN_POINT('',(9.01108971890643,14.5747015787448,5.25)); #51945=CARTESIAN_POINT('',(9.3,14.5747015787448,5.25)); #51946=CARTESIAN_POINT('',(8.43326915671929,14.5747015787448,5.25)); #51947=CARTESIAN_POINT('',(9.3,15.1465152098576,5.25)); #51948=CARTESIAN_POINT('',(9.3,15.1465152098576,5.25)); #51949=CARTESIAN_POINT('',(9.3,14.5747015787448,5.25)); #51950=CARTESIAN_POINT('',(9.3,14.765306122449,5.25)); #51951=CARTESIAN_POINT('',(9.3,14.9559106661533,5.25)); #51952=CARTESIAN_POINT('',(9.3,15.1465152098576,5.25)); #51953=CARTESIAN_POINT('',(9.3,14.5747015787448,5.25)); #51954=CARTESIAN_POINT('',(7.57554871005006,15.1465152098576,5.25)); #51955=CARTESIAN_POINT('',(7.57554871005006,15.1465152098576,5.25)); #51956=CARTESIAN_POINT('',(9.3,15.1465152098576,5.25)); #51957=CARTESIAN_POINT('',(8.72518290335002,15.1465152098576,5.25)); #51958=CARTESIAN_POINT('',(8.15036580670004,15.1465152098576,5.25)); #51959=CARTESIAN_POINT('',(7.57554871005006,15.1465152098576,5.25)); #51960=CARTESIAN_POINT('',(9.3,15.1465152098576,5.25)); #51961=CARTESIAN_POINT('',(7.57554871005006,14.5747015787448,5.25)); #51962=CARTESIAN_POINT('',(7.57554871005006,14.5747015787448,5.25)); #51963=CARTESIAN_POINT('',(7.57554871005006,15.1465152098576,5.25)); #51964=CARTESIAN_POINT('',(7.57554871005006,14.9559106661533,5.25)); #51965=CARTESIAN_POINT('',(7.57554871005006,14.765306122449,5.25)); #51966=CARTESIAN_POINT('',(7.57554871005006,14.5747015787448,5.25)); #51967=CARTESIAN_POINT('',(7.57554871005006,15.1465152098576,5.25)); #51968=CARTESIAN_POINT('',(8.17924528301887,14.5747015787448,5.25)); #51969=CARTESIAN_POINT('',(8.17924528301887,14.5747015787448,5.25)); #51970=CARTESIAN_POINT('',(7.57554871005006,14.5747015787448,5.25)); #51971=CARTESIAN_POINT('',(7.77678090103966,14.5747015787448,5.25)); #51972=CARTESIAN_POINT('',(7.97801309202926,14.5747015787448,5.25)); #51973=CARTESIAN_POINT('',(8.17924528301887,14.5747015787448,5.25)); #51974=CARTESIAN_POINT('',(7.57554871005006,14.5747015787448,5.25)); #51975=CARTESIAN_POINT('',(8.17924528301887,14.1810165575665,5.25)); #51976=CARTESIAN_POINT('',(8.17924528301887,14.1810165575665,5.25)); #51977=CARTESIAN_POINT('',(8.17924528301887,14.5747015787448,5.25)); #51978=CARTESIAN_POINT('',(8.17924528301887,14.443473238352,5.25)); #51979=CARTESIAN_POINT('',(8.17924528301887,14.3122448979592,5.25)); #51980=CARTESIAN_POINT('',(8.17924528301887,14.1810165575665,5.25)); #51981=CARTESIAN_POINT('',(8.17924528301887,14.5747015787448,5.25)); #51982=CARTESIAN_POINT('',(7.57554871005006,14.1810165575665,5.25)); #51983=CARTESIAN_POINT('',(7.57554871005006,14.1810165575665,5.25)); #51984=CARTESIAN_POINT('',(8.17924528301887,14.1810165575665,5.25)); #51985=CARTESIAN_POINT('',(7.97801309202926,14.1810165575665,5.25)); #51986=CARTESIAN_POINT('',(7.77678090103966,14.1810165575665,5.25)); #51987=CARTESIAN_POINT('',(7.57554871005006,14.1810165575665,5.25)); #51988=CARTESIAN_POINT('',(8.17924528301887,14.1810165575665,5.25)); #51989=CARTESIAN_POINT('',(7.57554871005006,13.6098960338853,5.25)); #51990=CARTESIAN_POINT('',(7.57554871005006,13.6098960338853,5.25)); #51991=CARTESIAN_POINT('',(7.57554871005006,14.1810165575665,5.25)); #51992=CARTESIAN_POINT('',(7.57554871005006,13.9906430496727,5.25)); #51993=CARTESIAN_POINT('',(7.57554871005006,13.800269541779,5.25)); #51994=CARTESIAN_POINT('',(7.57554871005006,13.6098960338853,5.25)); #51995=CARTESIAN_POINT('',(7.57554871005006,14.1810165575665,5.25)); #51996=CARTESIAN_POINT('',(7.57554871005006,13.6098960338853,5.25)); #51997=CARTESIAN_POINT('',(8.15036580670004,13.6098960338853,5.25)); #51998=CARTESIAN_POINT('',(8.72518290335002,13.6098960338853,5.25)); #51999=CARTESIAN_POINT('',(9.3,13.6098960338853,5.25)); #52000=CARTESIAN_POINT('',(7.57554871005006,13.6098960338853,5.25)); #52001=CARTESIAN_POINT('',(9.3,13.8954562957259,5.25)); #52002=CARTESIAN_POINT('',(7.57554871005006,16.6990758567579,5.25)); #52003=CARTESIAN_POINT('',(7.57554871005006,16.6990758567579,5.25)); #52004=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.25)); #52005=CARTESIAN_POINT('',(7.57554871005006,17.0821332306508,5.25)); #52006=CARTESIAN_POINT('',(7.57554871005006,16.8906045437043,5.25)); #52007=CARTESIAN_POINT('',(7.57554871005006,16.6990758567579,5.25)); #52008=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.25)); #52009=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.25)); #52010=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.25)); #52011=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.25)); #52012=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.25)); #52013=CARTESIAN_POINT('',(7.57554871005006,16.6990758567579,5.25)); #52014=CARTESIAN_POINT('',(8.05090489025799,16.8323835194456,5.25)); #52015=CARTESIAN_POINT('',(8.52626107046592,16.9656911821333,5.25)); #52016=CARTESIAN_POINT('',(9.10475000232819,17.127921128031,5.25)); #52017=CARTESIAN_POINT('',(9.18217173661918,17.1696958028495,5.25)); #52018=CARTESIAN_POINT('',(9.28791220215037,17.2878334264085,5.25)); #52019=CARTESIAN_POINT('',(9.31559491721217,17.371736619176,5.25)); #52020=CARTESIAN_POINT('',(9.31559491721217,17.5807085098191,5.25)); #52021=CARTESIAN_POINT('',(9.28232576049288,17.6642279553331,5.25)); #52022=CARTESIAN_POINT('',(9.15886334253692,17.7819627353427,5.25)); #52023=CARTESIAN_POINT('',(9.07716596072391,17.8229495571814,5.25)); #52024=CARTESIAN_POINT('',(8.76734370171085,17.9051677618386,5.25)); #52025=CARTESIAN_POINT('',(8.56530612244898,17.9615710435118,5.25)); #52026=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.25)); #52027=CARTESIAN_POINT('',(7.57554871005006,16.6990758567579,5.25)); #52028=CARTESIAN_POINT('',(8.05090489025799,16.8323835194456,5.25)); #52029=CARTESIAN_POINT('',(8.52626107046592,16.9656911821333,5.25)); #52030=CARTESIAN_POINT('',(9.10475000232819,17.127921128031,5.25)); #52031=CARTESIAN_POINT('',(9.18217173661918,17.1696958028495,5.25)); #52032=CARTESIAN_POINT('',(9.28791220215037,17.2878334264085,5.25)); #52033=CARTESIAN_POINT('',(9.31559491721217,17.371736619176,5.25)); #52034=CARTESIAN_POINT('',(9.31559491721217,17.5807085098191,5.25)); #52035=CARTESIAN_POINT('',(9.28232576049288,17.6642279553331,5.25)); #52036=CARTESIAN_POINT('',(9.15886334253692,17.7819627353427,5.25)); #52037=CARTESIAN_POINT('',(9.07716596072391,17.8229495571814,5.25)); #52038=CARTESIAN_POINT('',(8.76734370171085,17.9051677618386,5.25)); #52039=CARTESIAN_POINT('',(8.56530612244898,17.9615710435118,5.25)); #52040=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.25)); #52041=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.25)); #52042=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.25)); #52043=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.25)); #52044=CARTESIAN_POINT('',(8.58101655756642,18.0770889487871,5.25)); #52045=CARTESIAN_POINT('',(8.79911436272622,18.1309202926454,5.25)); #52046=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.25)); #52047=CARTESIAN_POINT('',(8.36291875240662,18.0166730843281,5.25)); #52048=CARTESIAN_POINT('',(8.58101655756642,18.0770889487871,5.25)); #52049=CARTESIAN_POINT('',(8.79911436272622,18.1309202926454,5.25)); #52050=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.25)); #52051=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.25)); #52052=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.25)); #52053=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.25)); #52054=CARTESIAN_POINT('',(9.11944551405468,18.212129380054,5.25)); #52055=CARTESIAN_POINT('',(9.19499422410474,18.2568348093955,5.25)); #52056=CARTESIAN_POINT('',(9.2916344148246,18.3812851269413,5.25)); #52057=CARTESIAN_POINT('',(9.31559491721217,18.4654601463228,5.25)); #52058=CARTESIAN_POINT('',(9.31559491721217,18.6498267231422,5.25)); #52059=CARTESIAN_POINT('',(9.30346553715826,18.7097805159801,5.25)); #52060=CARTESIAN_POINT('',(9.25045127158561,18.8016221309862,5.25)); #52061=CARTESIAN_POINT('',(9.20157874470543,18.8369657296882,5.25)); #52062=CARTESIAN_POINT('',(8.61291152195452,19.0185446740193,5.25)); #52063=CARTESIAN_POINT('',(8.09433962264151,19.1800539083559,5.25)); #52064=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.25)); #52065=CARTESIAN_POINT('',(9.01721216788602,18.1847516365037,5.25)); #52066=CARTESIAN_POINT('',(9.11944551405468,18.212129380054,5.25)); #52067=CARTESIAN_POINT('',(9.19499422410474,18.2568348093955,5.25)); #52068=CARTESIAN_POINT('',(9.2916344148246,18.3812851269413,5.25)); #52069=CARTESIAN_POINT('',(9.31559491721217,18.4654601463228,5.25)); #52070=CARTESIAN_POINT('',(9.31559491721217,18.6498267231422,5.25)); #52071=CARTESIAN_POINT('',(9.30346553715826,18.7097805159801,5.25)); #52072=CARTESIAN_POINT('',(9.25045127158561,18.8016221309862,5.25)); #52073=CARTESIAN_POINT('',(9.20157874470543,18.8369657296882,5.25)); #52074=CARTESIAN_POINT('',(8.61291152195452,19.0185446740193,5.25)); #52075=CARTESIAN_POINT('',(8.09433962264151,19.1800539083559,5.25)); #52076=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.25)); #52077=CARTESIAN_POINT('',(7.57554871005006,19.0518290335003,5.25)); #52078=CARTESIAN_POINT('',(7.57554871005006,19.0518290335003,5.25)); #52079=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.25)); #52080=CARTESIAN_POINT('',(7.57554871005006,19.2445128994995,5.25)); #52081=CARTESIAN_POINT('',(7.57554871005006,19.1481709664999,5.25)); #52082=CARTESIAN_POINT('',(7.57554871005006,19.0518290335003,5.25)); #52083=CARTESIAN_POINT('',(7.57554871005006,19.3408548324991,5.25)); #52084=CARTESIAN_POINT('',(8.82972660762418,18.6997304582211,5.25)); #52085=CARTESIAN_POINT('',(8.82972660762418,18.6997304582211,5.25)); #52086=CARTESIAN_POINT('',(7.57554871005006,19.0518290335003,5.25)); #52087=CARTESIAN_POINT('',(7.99360800924144,18.9344628417405,5.25)); #52088=CARTESIAN_POINT('',(8.41166730843281,18.8170966499808,5.25)); #52089=CARTESIAN_POINT('',(8.82972660762418,18.6997304582211,5.25)); #52090=CARTESIAN_POINT('',(7.57554871005006,19.0518290335003,5.25)); #52091=CARTESIAN_POINT('',(8.82972660762418,18.6893338467463,5.25)); #52092=CARTESIAN_POINT('',(8.82972660762418,18.6893338467463,5.25)); #52093=CARTESIAN_POINT('',(8.82972660762418,18.6997304582211,5.25)); #52094=CARTESIAN_POINT('',(8.82972660762418,18.6962649210628,5.25)); #52095=CARTESIAN_POINT('',(8.82972660762418,18.6927993839046,5.25)); #52096=CARTESIAN_POINT('',(8.82972660762418,18.6893338467463,5.25)); #52097=CARTESIAN_POINT('',(8.82972660762418,18.6997304582211,5.25)); #52098=CARTESIAN_POINT('',(7.57554871005006,18.35941470928,5.25)); #52099=CARTESIAN_POINT('',(7.57554871005006,18.35941470928,5.25)); #52100=CARTESIAN_POINT('',(8.82972660762418,18.6893338467463,5.25)); #52101=CARTESIAN_POINT('',(8.41166730843281,18.5793608009242,5.25)); #52102=CARTESIAN_POINT('',(7.99360800924143,18.4693877551021,5.25)); #52103=CARTESIAN_POINT('',(7.57554871005006,18.35941470928,5.25)); #52104=CARTESIAN_POINT('',(8.82972660762418,18.6893338467463,5.25)); #52105=CARTESIAN_POINT('',(7.57554871005006,17.7848286484406,5.25)); #52106=CARTESIAN_POINT('',(7.57554871005006,17.7848286484406,5.25)); #52107=CARTESIAN_POINT('',(7.57554871005006,18.35941470928,5.25)); #52108=CARTESIAN_POINT('',(7.57554871005006,18.1678860223335,5.25)); #52109=CARTESIAN_POINT('',(7.57554871005006,17.9763573353871,5.25)); #52110=CARTESIAN_POINT('',(7.57554871005006,17.7848286484406,5.25)); #52111=CARTESIAN_POINT('',(7.57554871005006,18.35941470928,5.25)); #52112=CARTESIAN_POINT('',(7.94428186368887,17.8773584905661,5.25)); #52113=CARTESIAN_POINT('',(7.94428186368887,17.8773584905661,5.25)); #52114=CARTESIAN_POINT('',(7.57554871005006,17.7848286484406,5.25)); #52115=CARTESIAN_POINT('',(7.698459761263,17.8156719291491,5.25)); #52116=CARTESIAN_POINT('',(7.82137081247593,17.8465152098576,5.25)); #52117=CARTESIAN_POINT('',(7.94428186368887,17.8773584905661,5.25)); #52118=CARTESIAN_POINT('',(7.57554871005006,17.7848286484406,5.25)); #52119=CARTESIAN_POINT('',(8.81101270696958,17.6295725837506,5.25)); #52120=CARTESIAN_POINT('',(8.81101270696958,17.6295725837506,5.25)); #52121=CARTESIAN_POINT('',(7.94428186368887,17.8773584905661,5.25)); #52122=CARTESIAN_POINT('',(8.23319214478244,17.7947631882943,5.25)); #52123=CARTESIAN_POINT('',(8.52210242587601,17.7121678860224,5.25)); #52124=CARTESIAN_POINT('',(8.81101270696958,17.6295725837506,5.25)); #52125=CARTESIAN_POINT('',(7.94428186368887,17.8773584905661,5.25)); #52126=CARTESIAN_POINT('',(8.81101270696958,17.6295725837506,5.25)); #52127=CARTESIAN_POINT('',(8.39919137466307,17.5065460146323,5.25)); #52128=CARTESIAN_POINT('',(7.98737004235656,17.3901039661148,5.25)); #52129=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.25)); #52130=CARTESIAN_POINT('',(8.81101270696958,17.6295725837506,5.25)); #52131=CARTESIAN_POINT('',(8.39919137466307,17.5065460146323,5.25)); #52132=CARTESIAN_POINT('',(7.98737004235656,17.3901039661148,5.25)); #52133=CARTESIAN_POINT('',(7.57554871005006,17.2736619175973,5.25)); #52134=CARTESIAN_POINT('',(9.16018755662943,17.1659035490564,5.25)); #52135=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.25)); #52136=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.25)); #52137=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.25)); #52138=CARTESIAN_POINT('',(9.19638043896804,19.0670773969966,5.25)); #52139=CARTESIAN_POINT('',(9.15721986907971,19.0514824797844,5.25)); #52140=CARTESIAN_POINT('',(9.06157104351174,19.0514824797844,5.25)); #52141=CARTESIAN_POINT('',(9.02171736619176,19.0670773969966,5.25)); #52142=CARTESIAN_POINT('',(8.95743879727448,19.1285912962831,5.25)); #52143=CARTESIAN_POINT('',(8.94166345783596,19.1672314208703,5.25)); #52144=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.25)); #52145=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.25)); #52146=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.25)); #52147=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.25)); #52148=CARTESIAN_POINT('',(9.19638043896804,19.0670773969966,5.25)); #52149=CARTESIAN_POINT('',(9.15721986907971,19.0514824797844,5.25)); #52150=CARTESIAN_POINT('',(9.06157104351174,19.0514824797844,5.25)); #52151=CARTESIAN_POINT('',(9.02171736619176,19.0670773969966,5.25)); #52152=CARTESIAN_POINT('',(8.95743879727448,19.1285912962831,5.25)); #52153=CARTESIAN_POINT('',(8.94166345783596,19.1672314208703,5.25)); #52154=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.25)); #52155=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.25)); #52156=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.25)); #52157=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.25)); #52158=CARTESIAN_POINT('',(8.94166345783596,19.25941470928,5.25)); #52159=CARTESIAN_POINT('',(8.95760492876396,19.2982287254525,5.25)); #52160=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.25)); #52161=CARTESIAN_POINT('',(8.94131690412014,19.2133230650752,5.25)); #52162=CARTESIAN_POINT('',(8.94166345783596,19.25941470928,5.25)); #52163=CARTESIAN_POINT('',(8.95760492876396,19.2982287254525,5.25)); #52164=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.25)); #52165=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.25)); #52166=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.25)); #52167=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.25)); #52168=CARTESIAN_POINT('',(9.28994994224105,19.1117828263382,5.25)); #52169=CARTESIAN_POINT('',(9.3093569503273,19.1592606854063,5.25)); #52170=CARTESIAN_POINT('',(9.3093569503273,19.2701578744706,5.25)); #52171=CARTESIAN_POINT('',(9.29029649595688,19.3179822872546,5.25)); #52172=CARTESIAN_POINT('',(9.21352983630537,19.3968237214913,5.25)); #52173=CARTESIAN_POINT('',(9.16623026569118,19.416750096265,5.25)); #52174=CARTESIAN_POINT('',(9.05186753946862,19.416750096265,5.25)); #52175=CARTESIAN_POINT('',(9.00404312668464,19.3969965344629,5.25)); #52176=CARTESIAN_POINT('',(8.92796952947447,19.3188482027834,5.25)); #52177=CARTESIAN_POINT('',(8.90908740854833,19.2705044281864,5.25)); #52178=CARTESIAN_POINT('',(8.90908740854833,19.1585675779747,5.25)); #52179=CARTESIAN_POINT('',(8.92814786291875,19.1110897189065,5.25)); #52180=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.25)); #52181=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.25)); #52182=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.25)); #52183=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.25)); #52184=CARTESIAN_POINT('',(9.28994994224105,19.1117828263382,5.25)); #52185=CARTESIAN_POINT('',(9.3093569503273,19.1592606854063,5.25)); #52186=CARTESIAN_POINT('',(9.3093569503273,19.2701578744706,5.25)); #52187=CARTESIAN_POINT('',(9.29029649595688,19.3179822872546,5.25)); #52188=CARTESIAN_POINT('',(9.21352983630537,19.3968237214913,5.25)); #52189=CARTESIAN_POINT('',(9.16623026569118,19.416750096265,5.25)); #52190=CARTESIAN_POINT('',(9.05186753946862,19.416750096265,5.25)); #52191=CARTESIAN_POINT('',(9.00404312668464,19.3969965344629,5.25)); #52192=CARTESIAN_POINT('',(8.92796952947447,19.3188482027834,5.25)); #52193=CARTESIAN_POINT('',(8.90908740854833,19.2705044281864,5.25)); #52194=CARTESIAN_POINT('',(8.90908740854833,19.1585675779747,5.25)); #52195=CARTESIAN_POINT('',(8.92814786291875,19.1110897189065,5.25)); #52196=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.25)); #52197=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.25)); #52198=CARTESIAN_POINT('',(9.00473623411629,19.0310358105507,5.25)); #52199=CARTESIAN_POINT('',(9.05256064690027,19.0109356950328,5.25)); #52200=CARTESIAN_POINT('',(9.16588371197536,19.0109356950328,5.25)); #52201=CARTESIAN_POINT('',(9.21301501732769,19.0310358105507,5.25)); #52202=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.25)); #52203=CARTESIAN_POINT('',(8.96626877165961,19.0708894878707,5.25)); #52204=CARTESIAN_POINT('',(9.00473623411629,19.0310358105507,5.25)); #52205=CARTESIAN_POINT('',(9.05256064690027,19.0109356950328,5.25)); #52206=CARTESIAN_POINT('',(9.16588371197536,19.0109356950328,5.25)); #52207=CARTESIAN_POINT('',(9.21301501732769,19.0310358105507,5.25)); #52208=CARTESIAN_POINT('',(9.25148247978437,19.0715825953023,5.25)); #52209=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.25)); #52210=CARTESIAN_POINT('',(9.02241047362341,19.3602618405854,5.25)); #52211=CARTESIAN_POINT('',(9.0622641509434,19.3758567577975,5.25)); #52212=CARTESIAN_POINT('',(9.15721986907971,19.3758567577975,5.25)); #52213=CARTESIAN_POINT('',(9.19638043896804,19.3606083943012,5.25)); #52214=CARTESIAN_POINT('',(9.25945321524836,19.2989218328842,5.25)); #52215=CARTESIAN_POINT('',(9.27504813246053,19.2601078167117,5.25)); #52216=CARTESIAN_POINT('',(9.27504813246053,19.1672314208703,5.25)); #52217=CARTESIAN_POINT('',(9.25945321524836,19.1287639584136,5.25)); #52218=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.25)); #52219=CARTESIAN_POINT('',(8.98983442433577,19.3294185598769,5.25)); #52220=CARTESIAN_POINT('',(9.02241047362341,19.3602618405854,5.25)); #52221=CARTESIAN_POINT('',(9.0622641509434,19.3758567577975,5.25)); #52222=CARTESIAN_POINT('',(9.15721986907971,19.3758567577975,5.25)); #52223=CARTESIAN_POINT('',(9.19638043896804,19.3606083943012,5.25)); #52224=CARTESIAN_POINT('',(9.25945321524836,19.2989218328842,5.25)); #52225=CARTESIAN_POINT('',(9.27504813246053,19.2601078167117,5.25)); #52226=CARTESIAN_POINT('',(9.27504813246053,19.1672314208703,5.25)); #52227=CARTESIAN_POINT('',(9.25945321524836,19.1287639584136,5.25)); #52228=CARTESIAN_POINT('',(9.2279168271082,19.0979206777051,5.25)); #52229=CARTESIAN_POINT('',(9.10455712653439,19.4166967025655,5.25)); #52230=CARTESIAN_POINT('',(11.05,10.2000254971953,5.25)); #52231=CARTESIAN_POINT('',(11.05,10.2000254971953,5.25)); #52232=CARTESIAN_POINT('',(11.05,10.2000254971953,5.25)); #52233=CARTESIAN_POINT('',(10.7166666666667,10.2000254971953,5.25)); #52234=CARTESIAN_POINT('',(10.3833333333333,10.2000254971953,5.25)); #52235=CARTESIAN_POINT('',(10.05,10.2000254971953,5.25)); #52236=CARTESIAN_POINT('',(10.05,10.2000254971953,5.25)); #52237=CARTESIAN_POINT('',(10.05,10.2000254971953,5.25)); #52238=CARTESIAN_POINT('',(11.05,10.2000254971953,5.25)); #52239=CARTESIAN_POINT('',(10.05,10.2000254971953,5.25)); #52240=CARTESIAN_POINT('',(10.05,10.2333418323984,5.25)); #52241=CARTESIAN_POINT('',(10.05,10.2666581676016,5.25)); #52242=CARTESIAN_POINT('',(10.05,10.2999745028047,5.25)); #52243=CARTESIAN_POINT('',(10.05,10.2999745028047,5.25)); #52244=CARTESIAN_POINT('',(10.05,10.2999745028047,5.25)); #52245=CARTESIAN_POINT('',(10.05,10.2000254971953,5.25)); #52246=CARTESIAN_POINT('',(10.05,10.2999745028047,5.25)); #52247=CARTESIAN_POINT('',(10.3833333333333,10.2999745028047,5.25)); #52248=CARTESIAN_POINT('',(10.7166666666667,10.2999745028047,5.25)); #52249=CARTESIAN_POINT('',(11.05,10.2999745028047,5.25)); #52250=CARTESIAN_POINT('',(11.05,10.2999745028047,5.25)); #52251=CARTESIAN_POINT('',(11.05,10.2999745028047,5.25)); #52252=CARTESIAN_POINT('',(10.05,10.2999745028047,5.25)); #52253=CARTESIAN_POINT('',(11.05,10.2999745028047,5.25)); #52254=CARTESIAN_POINT('',(11.05,10.2666581676016,5.25)); #52255=CARTESIAN_POINT('',(11.05,10.2333418323984,5.25)); #52256=CARTESIAN_POINT('',(11.05,10.2000254971953,5.25)); #52257=CARTESIAN_POINT('',(11.05,10.2999745028047,5.25)); #52258=CARTESIAN_POINT('',(10.55,10.2000254971953,5.25)); #52259=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.3)); #52260=CARTESIAN_POINT('',(9.09380053908356,19.199807470158,5.3)); #52261=CARTESIAN_POINT('',(9.09380053908356,19.1862918752407,5.3)); #52262=CARTESIAN_POINT('',(9.09380053908356,19.1727762803235,5.3)); #52263=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.3)); #52264=CARTESIAN_POINT('',(9.09380053908356,19.1727762803235,5.3)); #52265=CARTESIAN_POINT('',(9.09380053908356,19.1727762803235,5.25)); #52266=CARTESIAN_POINT('',(9.09380053908356,19.1727762803235,5.25)); #52267=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.25)); #52268=CARTESIAN_POINT('',(9.09380053908356,19.199807470158,5.25)); #52269=CARTESIAN_POINT('',(9.09380053908356,19.1862918752407,5.25)); #52270=CARTESIAN_POINT('',(9.09380053908356,19.1727762803235,5.25)); #52271=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.25)); #52272=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.25)); #52273=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.25)); #52274=CARTESIAN_POINT('',(9.09380053908356,19.1727762803235,5.3)); #52275=CARTESIAN_POINT('',(9.07046592221794,19.1727762803235,5.3)); #52276=CARTESIAN_POINT('',(9.04713130535233,19.1727762803235,5.3)); #52277=CARTESIAN_POINT('',(9.02379668848672,19.1727762803235,5.3)); #52278=CARTESIAN_POINT('',(9.02379668848672,19.1727762803235,5.3)); #52279=CARTESIAN_POINT('',(9.02379668848672,19.1727762803235,5.25)); #52280=CARTESIAN_POINT('',(9.02379668848672,19.1727762803235,5.25)); #52281=CARTESIAN_POINT('',(9.09380053908356,19.1727762803235,5.25)); #52282=CARTESIAN_POINT('',(9.07046592221794,19.1727762803235,5.25)); #52283=CARTESIAN_POINT('',(9.04713130535233,19.1727762803235,5.25)); #52284=CARTESIAN_POINT('',(9.02379668848672,19.1727762803235,5.25)); #52285=CARTESIAN_POINT('',(9.09380053908356,19.1727762803235,5.25)); #52286=CARTESIAN_POINT('',(9.02379668848672,19.1727762803235,5.3)); #52287=CARTESIAN_POINT('',(9.02379668848672,19.188486715441,5.3)); #52288=CARTESIAN_POINT('',(9.02379668848672,19.2041971505584,5.3)); #52289=CARTESIAN_POINT('',(9.02379668848672,19.2365421640355,5.3)); #52290=CARTESIAN_POINT('',(9.02587601078167,19.2490180978052,5.3)); #52291=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.3)); #52292=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.3)); #52293=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.25)); #52294=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.25)); #52295=CARTESIAN_POINT('',(9.02379668848672,19.1727762803235,5.25)); #52296=CARTESIAN_POINT('',(9.02379668848672,19.188486715441,5.25)); #52297=CARTESIAN_POINT('',(9.02379668848672,19.2041971505584,5.25)); #52298=CARTESIAN_POINT('',(9.02379668848672,19.2365421640355,5.25)); #52299=CARTESIAN_POINT('',(9.02587601078167,19.2490180978052,5.25)); #52300=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.25)); #52301=CARTESIAN_POINT('',(9.02379668848672,19.1727762803235,5.25)); #52302=CARTESIAN_POINT('',(9.02379668848672,19.188486715441,5.25)); #52303=CARTESIAN_POINT('',(9.02379668848672,19.2041971505584,5.25)); #52304=CARTESIAN_POINT('',(9.02379668848672,19.2365421640355,5.25)); #52305=CARTESIAN_POINT('',(9.02587601078167,19.2490180978052,5.25)); #52306=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.25)); #52307=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.3)); #52308=CARTESIAN_POINT('',(9.03384674624567,19.2663457835965,5.3)); #52309=CARTESIAN_POINT('',(9.04216403542549,19.2705044281864,5.3)); #52310=CARTESIAN_POINT('',(9.07231420870235,19.2705044281864,5.3)); #52311=CARTESIAN_POINT('',(9.08375048132461,19.2646130150174,5.3)); #52312=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.3)); #52313=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.3)); #52314=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.25)); #52315=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.25)); #52316=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.25)); #52317=CARTESIAN_POINT('',(9.03384674624567,19.2663457835965,5.25)); #52318=CARTESIAN_POINT('',(9.04216403542549,19.2705044281864,5.25)); #52319=CARTESIAN_POINT('',(9.07231420870235,19.2705044281864,5.25)); #52320=CARTESIAN_POINT('',(9.08375048132461,19.2646130150174,5.25)); #52321=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.25)); #52322=CARTESIAN_POINT('',(9.02968810165576,19.2576819407009,5.25)); #52323=CARTESIAN_POINT('',(9.03384674624567,19.2663457835965,5.25)); #52324=CARTESIAN_POINT('',(9.04216403542549,19.2705044281864,5.25)); #52325=CARTESIAN_POINT('',(9.07231420870235,19.2705044281864,5.25)); #52326=CARTESIAN_POINT('',(9.08375048132461,19.2646130150174,5.25)); #52327=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.25)); #52328=CARTESIAN_POINT('',(9.12533692722372,19.1727762803235,5.25)); #52329=CARTESIAN_POINT('',(9.12533692722372,19.1727762803235,5.25)); #52330=CARTESIAN_POINT('',(9.22410473623412,19.1727762803235,5.25)); #52331=CARTESIAN_POINT('',(9.19118213323065,19.1727762803235,5.25)); #52332=CARTESIAN_POINT('',(9.15825953022719,19.1727762803235,5.25)); #52333=CARTESIAN_POINT('',(9.12533692722372,19.1727762803235,5.25)); #52334=CARTESIAN_POINT('',(9.22410473623412,19.1727762803235,5.25)); #52335=CARTESIAN_POINT('',(9.22410473623412,19.1727762803235,5.25)); #52336=CARTESIAN_POINT('',(9.22410473623412,19.1727762803235,5.25)); #52337=CARTESIAN_POINT('',(9.12533692722372,19.2133230650752,5.25)); #52338=CARTESIAN_POINT('',(9.12533692722372,19.2133230650752,5.25)); #52339=CARTESIAN_POINT('',(9.12533692722372,19.1727762803235,5.25)); #52340=CARTESIAN_POINT('',(9.12533692722372,19.1862918752407,5.25)); #52341=CARTESIAN_POINT('',(9.12533692722372,19.199807470158,5.25)); #52342=CARTESIAN_POINT('',(9.12533692722372,19.2133230650752,5.25)); #52343=CARTESIAN_POINT('',(9.12533692722372,19.1727762803235,5.25)); #52344=CARTESIAN_POINT('',(9.22410473623412,19.2743165190605,5.25)); #52345=CARTESIAN_POINT('',(9.22410473623412,19.2743165190605,5.25)); #52346=CARTESIAN_POINT('',(9.12533692722372,19.2133230650752,5.25)); #52347=CARTESIAN_POINT('',(9.15825953022719,19.2336542164036,5.25)); #52348=CARTESIAN_POINT('',(9.19118213323065,19.2539853677321,5.25)); #52349=CARTESIAN_POINT('',(9.22410473623412,19.2743165190605,5.25)); #52350=CARTESIAN_POINT('',(9.12533692722372,19.2133230650752,5.25)); #52351=CARTESIAN_POINT('',(9.22410473623412,19.3120908740856,5.25)); #52352=CARTESIAN_POINT('',(9.22410473623412,19.3120908740856,5.25)); #52353=CARTESIAN_POINT('',(9.22410473623412,19.2743165190605,5.25)); #52354=CARTESIAN_POINT('',(9.22410473623412,19.2869079707355,5.25)); #52355=CARTESIAN_POINT('',(9.22410473623412,19.2994994224105,5.25)); #52356=CARTESIAN_POINT('',(9.22410473623412,19.3120908740856,5.25)); #52357=CARTESIAN_POINT('',(9.22410473623412,19.2743165190605,5.25)); #52358=CARTESIAN_POINT('',(9.12256449749711,19.245552560647,5.25)); #52359=CARTESIAN_POINT('',(9.12256449749711,19.245552560647,5.25)); #52360=CARTESIAN_POINT('',(9.22410473623412,19.3120908740856,5.25)); #52361=CARTESIAN_POINT('',(9.19025798998845,19.2899114362727,5.25)); #52362=CARTESIAN_POINT('',(9.15641124374278,19.2677319984598,5.25)); #52363=CARTESIAN_POINT('',(9.12256449749711,19.245552560647,5.25)); #52364=CARTESIAN_POINT('',(9.22410473623412,19.3120908740856,5.25)); #52365=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.25)); #52366=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.25)); #52367=CARTESIAN_POINT('',(9.12256449749711,19.245552560647,5.25)); #52368=CARTESIAN_POINT('',(9.12083172891798,19.2642664613016,5.25)); #52369=CARTESIAN_POINT('',(9.11494031574894,19.2788217173663,5.25)); #52370=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.25)); #52371=CARTESIAN_POINT('',(9.12256449749711,19.245552560647,5.25)); #52372=CARTESIAN_POINT('',(9.12083172891798,19.2642664613016,5.25)); #52373=CARTESIAN_POINT('',(9.11494031574894,19.2788217173663,5.25)); #52374=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.25)); #52375=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.25)); #52376=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.25)); #52377=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.25)); #52378=CARTESIAN_POINT('',(9.09449364651521,19.3003080477475,5.25)); #52379=CARTESIAN_POINT('',(9.07924528301887,19.3058529072007,5.25)); #52380=CARTESIAN_POINT('',(9.01443973815942,19.3058529072007,5.25)); #52381=CARTESIAN_POINT('',(8.99226030034655,19.2791682710821,5.25)); #52382=CARTESIAN_POINT('',(8.99226030034655,19.1965729688102,5.25)); #52383=CARTESIAN_POINT('',(8.99226030034655,19.1670003850598,5.25)); #52384=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.25)); #52385=CARTESIAN_POINT('',(9.10454370427416,19.2895648825569,5.25)); #52386=CARTESIAN_POINT('',(9.09449364651521,19.3003080477475,5.25)); #52387=CARTESIAN_POINT('',(9.07924528301887,19.3058529072007,5.25)); #52388=CARTESIAN_POINT('',(9.01443973815942,19.3058529072007,5.25)); #52389=CARTESIAN_POINT('',(8.99226030034655,19.2791682710821,5.25)); #52390=CARTESIAN_POINT('',(8.99226030034655,19.1965729688102,5.25)); #52391=CARTESIAN_POINT('',(8.99226030034655,19.1670003850598,5.25)); #52392=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.25)); #52393=CARTESIAN_POINT('',(9.22410473623412,19.1374278013093,5.25)); #52394=CARTESIAN_POINT('',(9.22410473623412,19.1374278013093,5.25)); #52395=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.25)); #52396=CARTESIAN_POINT('',(9.06954177897574,19.1374278013093,5.25)); #52397=CARTESIAN_POINT('',(9.14682325760493,19.1374278013093,5.25)); #52398=CARTESIAN_POINT('',(9.22410473623412,19.1374278013093,5.25)); #52399=CARTESIAN_POINT('',(8.99226030034655,19.1374278013093,5.25)); #52400=CARTESIAN_POINT('',(9.22410473623412,19.1374278013093,5.25)); #52401=CARTESIAN_POINT('',(9.22410473623412,19.1492106276474,5.25)); #52402=CARTESIAN_POINT('',(9.22410473623412,19.1609934539854,5.25)); #52403=CARTESIAN_POINT('',(9.22410473623412,19.1727762803235,5.25)); #52404=CARTESIAN_POINT('',(9.22410473623412,19.1374278013093,5.25)); #52405=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.3)); #52406=CARTESIAN_POINT('',(9.09241432422025,19.2462456680786,5.3)); #52407=CARTESIAN_POINT('',(9.09380053908356,19.2330766268772,5.3)); #52408=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.3)); #52409=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.25)); #52410=CARTESIAN_POINT('',(9.09241432422025,19.2462456680786,5.25)); #52411=CARTESIAN_POINT('',(9.09380053908356,19.2330766268772,5.25)); #52412=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.25)); #52413=CARTESIAN_POINT('',(9.08929534077782,19.2531767423951,5.25)); #52414=CARTESIAN_POINT('',(9.09241432422025,19.2462456680786,5.25)); #52415=CARTESIAN_POINT('',(9.09380053908356,19.2330766268772,5.25)); #52416=CARTESIAN_POINT('',(9.09380053908356,19.2133230650752,5.25)); #52417=CARTESIAN_POINT('',(9.11680304197151,19.2705477474009,5.25)); #52418=CARTESIAN_POINT('',(6.35,10.25,5.3)); #52419=CARTESIAN_POINT('',(4.975,10.4898776134625,5.25)); #52420=CARTESIAN_POINT('',(4.975,10.4898776134625,5.25)); #52421=CARTESIAN_POINT('',(6.575,10.4898776134625,5.25)); #52422=CARTESIAN_POINT('',(6.575,10.0101223865375,5.25)); #52423=CARTESIAN_POINT('',(6.575,10.0101223865375,5.25)); #52424=CARTESIAN_POINT('',(6.575,10.4898776134625,5.25)); #52425=CARTESIAN_POINT('',(4.975,10.0101223865375,5.25)); #52426=CARTESIAN_POINT('',(4.975,10.4898776134625,5.25)); #52427=CARTESIAN_POINT('',(4.975,10.0101223865375,5.25)); #52428=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#525, #526,#32,#33,#34,#35,#36,#37,#38,#39,#40,#41,#42,#43,#44,#45,#46,#47,#48, #49,#50,#51,#52,#53,#54,#55,#56,#57,#58,#59,#60,#61,#62,#63,#64,#65,#66, #67,#68,#69,#70,#71,#72,#73,#74,#75,#76,#77,#78,#79,#80,#81,#82,#83,#84, #85,#86,#87,#88,#89,#90,#91,#92,#93,#94,#95,#96,#97,#98,#99,#100,#101,#102, #103,#104,#105,#106,#107,#108,#109,#110,#111,#112,#113,#114,#115,#116,#117, #118,#119,#120,#121,#122,#123,#124,#125,#126,#127,#128,#129,#130,#131,#132, #133,#134,#135,#136,#137,#138,#139,#140,#141,#142,#143,#144,#145,#146,#147, #148,#149,#150,#151,#152,#153,#154,#155,#156,#157,#158,#159,#160,#161,#162, #163,#164,#165,#166,#167,#168,#169,#170,#171,#172,#173,#174,#175,#176,#177, #178,#179,#180,#181,#182,#183,#184,#185,#186,#187,#188,#189,#190,#191,#192, #193,#194,#195,#196,#197,#198,#199,#200,#201,#202,#203,#204,#205,#206,#207, #208,#209,#210,#211,#212,#213,#214,#215,#216,#217,#218,#219,#220,#221,#222, #223,#224,#225,#226,#227,#228,#229,#230,#231,#232,#233,#234,#235,#236,#237, #238,#239,#240,#241,#242,#243,#244,#245,#246,#247,#248,#249,#250,#251,#252, #253,#254,#255,#256,#257,#258,#259,#260,#261,#262,#263,#264,#265,#266,#267, #268,#269,#270,#271,#272,#273,#274,#275,#276,#277,#278,#279,#280,#281,#282, #283,#284,#285,#286,#287,#288,#289,#290,#291,#292,#293,#294,#295,#296,#297, #298,#299,#300,#301,#302,#303,#304,#305,#306,#307,#308,#309,#310,#311,#312, #313,#314,#315,#316,#317,#318,#319,#320,#321,#322,#323,#324,#325,#326,#327, #328,#329,#330,#331,#332,#333,#334,#335,#336,#337,#338,#339,#340,#341,#342, #343,#344,#345,#346,#347,#348,#349,#350,#351,#352,#353,#354,#355,#356,#357, #358,#359,#360,#361,#362,#363,#364,#365,#366,#367,#368,#369,#370,#371,#372, #373,#374,#375,#376,#377,#378,#379,#380,#381,#382,#383,#384,#385,#386,#387, #388,#389,#390,#391,#392,#393,#394,#395,#396,#397,#398,#399,#400,#401,#402, #403,#404,#405,#406,#407,#408,#409,#410,#411,#412,#413,#414,#415,#416,#417, #418,#419,#420,#421,#422,#423,#424,#425,#426,#427,#428,#429,#430,#431,#432, #433,#434,#435,#436,#437,#438,#439,#440,#441,#442,#443,#444,#445,#446,#447, #448,#449,#450,#451,#452,#453,#454,#455,#456,#457,#458,#459,#460,#461,#462, #463,#464,#465,#466,#467,#468,#469,#470,#471,#472,#473,#474,#475,#476,#477, #478,#479,#480,#481,#482,#483,#484,#485,#486,#487,#488,#489,#490,#491,#492, #493,#494,#495,#496,#497,#498,#499,#500,#501,#502,#503,#504,#505,#506,#507, #508,#509,#510,#511,#512,#513,#514,#515,#516,#517,#518,#519,#520,#521,#522, #523,#527),#52429); #52429=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#52430)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#52436,#52432,#52431)) REPRESENTATION_CONTEXT('ATOM 40um FPC','TOP_LEVEL_ASSEMBLY_PART') ); #52430=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.0508),#52436, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #52431=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #52432=( CONVERSION_BASED_UNIT('DEGREE',#52434) NAMED_UNIT(#52433) PLANE_ANGLE_UNIT() ); #52433=DIMENSIONAL_EXPONENTS(0.,0.,0.,0.,0.,0.,0.); #52434=PLANE_ANGLE_MEASURE_WITH_UNIT(PLANE_ANGLE_MEASURE(0.0174532925),#52435); #52435=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #52436=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); ENDSEC; END-ISO-10303-21;